WorldWideScience

Sample records for tungsten plasma-facing components

  1. Tungsten-microdiamond composites for plasma facing components

    International Nuclear Information System (INIS)

    Livramento, V.; Nunes, D.; Correia, J.B.; Carvalho, P.A.; Mardolcar, U.; Mateus, R.; Hanada, K.; Shohoji, N.; Fernandes, H.; Silva, C.; Alves, E.

    2011-01-01

    Tungsten is considered as one of promising candidate materials for plasma facing component in nuclear fusion reactors due to its resistance to sputtering and high melting point. High thermal conductivity is also a prerequisite for plasma facing components under the unique service environment of fusion reactor characterised by the massive heat load, especially in the divertor area. The feasibility of mechanical alloying of nanodiamond and tungsten, and the consolidation of the composite powders with Spark Plasma Sintering (SPS) was previously demonstrated. In the present research we report on the use of microdiamond instead of nanodiamond in such composites. Microdiamond is more favourable than nanodiamond in view of phonon transport performance leading to better thermal conductivity. However, there is a trade off between densification and thermal conductivity as the SPS temperature increases tungsten carbide formation from microdiamond is accelerated inevitably while the consolidation density would rise.

  2. Tungsten fibre-reinforced composites for advanced plasma facing components

    OpenAIRE

    Neu, R.; Riesch, J.; Müller, A.v.; Balden, M.; Coenen, J.W.; Gietl, H.; Höschen, T.; Li, M.; Wurster, S.; You, J.-H.

    2016-01-01

    The European Fusion Roadmap foresees water cooled plasma facing components in a first DEMO design in order to provide enough margin for the cooling capacity and to only moderately extrapolate the technology which was developed and tested for ITER. In order to make best use of the water cooling concept copper (Cu) and copper-chromium-zirconium alloy (CuCrZr) are envisaged as heat sink whereas as armour tungsten (W) based materials will be used. Combining both materials in a high heat flux comp...

  3. Tungsten thick coatings for plasma facing components

    International Nuclear Information System (INIS)

    Riccardi, B.; Pizzuto, A.; Orsini, A.; Libera, S.; Visca, E.; Bertamini, L.; Casadei, F.; Severini, E.; Montanari, R.; Litunovsky, N.

    1998-01-01

    The aim of the R and D activity was to realize thick W coatings on CuCrZr hollow bars and to test the mock ups with respect to thermal fatigue. Eight mock ups provided of 4 mm thick W coating were finally manufactured. The bonding integrity between coating and substrate was checked by means of an Ultrasonic apparatus. Characterisation of coatings was performed in order to assess microstructure, impurity content, density, tensile strength, adhesion strength, thermal conductivity and thermal expansion coefficient. Macroscopic residual strain measurements were performed by means of 'hole drilling' technique. The activities performed demonstrated the feasibility of thick Tungsten coatings on geometries with more complex residual strain distribution. These coatings are reliable armour of medium heat flux plasma facing component. (author)

  4. Silicon Carbide as a tritium permeation barrier in tungsten plasma-facing components

    Science.gov (United States)

    Wright, G. M.; Durrett, M. G.; Hoover, K. W.; Kesler, L. A.; Whyte, D. G.

    2015-03-01

    The control of tritium inventory is of great importance in future fusion reactors, not only from a safety standpoint but also to maximize a reactor's efficiency. Due to the high mobility of hydrogenic species in tungsten (W) one concern is the loss of tritium from the system via permeation through the tungsten plasma-facing components (PFC). This can lead to loss of tritium through the cooling channels of the wall thereby mandating tritium monitoring and recovery methods for the cooling system of the first wall. The permeated tritium is then out of the fuel cycle and cannot contribute to energy production until it is recovered and recycled into the system.

  5. Tungsten fibre-reinforced composites for advanced plasma facing components

    Directory of Open Access Journals (Sweden)

    R. Neu

    2017-08-01

    Full Text Available The European Fusion Roadmap foresees water cooled plasma facing components in a first DEMO design in order to provide enough margin for the cooling capacity and to only moderately extrapolate the technology which was developed and tested for ITER. In order to make best use of the water cooling concept copper (Cu and copper-chromium-zirconium alloy (CuCrZr are envisaged as heat sink whereas as armour tungsten (W based materials will be used. Combining both materials in a high heat flux component asks for an increase of their operational range towards higher temperature in case of Cu/CuCrZr and lower temperatures for W. A remedy for both issues- brittleness of W and degrading strength of CuCrZr- could be the use of W fibres (Wf in W and Cu based composites. Fibre preforms could be manufactured with industrially viable textile techniques. Flat textiles with a combination of 150/70 µm W wires have been chosen for layered deposition of tungsten-fibre reinforced tungsten (Wf/W samples and tubular multi-layered braidings with W wire thickness of 50 µm were produced as a preform for tungsten-fibre reinforced copper (Wf /Cu tubes. Cu melt infiltration was performed together with an industrial partner resulting in sample tubes without any blowholes. Property estimation by mean field homogenisation predicts strongly enhanced strength of the Wf/CuCrZr composite compared to its pure CuCrZr counterpart. Wf /W composites show very high toughness and damage tolerance even at room temperature. Cyclic load tests reveal that the extrinsic toughening mechanisms counteracting the crack growth are active and stable. FEM simulations of the Wf/W composite suggest that the influence of fibre debonding, which is an integral part of the toughening mechanisms, and reduced thermal conductivity of the fibre due to the necessary interlayers do not strongly influence the thermal properties of future components.

  6. Development of novel tungsten processing technologies for electro-chemical machining (ECM) of plasma facing components

    International Nuclear Information System (INIS)

    Holstein, Nils; Krauss, Wolfgang; Konys, Juergen

    2011-01-01

    Plasma facing components for fusion applications must exhibit long-term stability under extreme conditions, and therefore material imperfections cannot be tolerated due to a high risk of technical failures. To prevent or abolish defects in refractory metals components during the manufacturing process, some methods of electro-chemical machining as S-ECM and C-ECM were developed, enabling both the processing of smooth plain defect-free surfaces of different geometry and the removal of bulk material for the shaping of three-dimensional structures, also without cracks. It is discussed, that tungsten ablation with accurate electro-chemical molding is very sensitive to the kind of electric current, and therefore current investigations focused also on the effects of frequency profiles on the sharpness of edge rounding.

  7. Hydrogen transport behavior of metal coatings for plasma-facing components

    Energy Technology Data Exchange (ETDEWEB)

    Anderl, R.A.; Holland, D.F.; Longhurst, G.R. (Idaho National Engineering Lab., Idaho Falls (USA))

    1990-12-01

    Plasma-facing components for experimental and commercial fusion reactor studies may include cladding or coatings of refractory metals like tungsten on metallic structural substrates such as copper, vanadium alloys and austenitic stainless steel. Issues of safety and fuel economy include the potential for inventory buildup and permeation of tritium implanted into the plasma-facing surface. This paper reports on laboratory-scale studies with 3 keV D{sub 3}{sup +} ion beams to investigate the hydrogen transport behavior in tungsten coatings on substrates of copper. These experiments entailed measurements of the deuterium re-emission and permeation rates of tungsten, copper, and tungsten-coated copper specimens at temperatures ranging from 638 to 825 K and implanting particle fluxes of approximately 5x10{sup 19} D/m{sup 2} s. Diffusion constants and surface recombination coefficients with enhancement factors due to sputtering were obtained from these measurements. These data may be used in calculations to estimate permeation rates and inventory buildups for proposed diverter designs. (orig.).

  8. Hydrogen transport behavior of metal coatings for plasma-facing components

    Science.gov (United States)

    Anderl, R. A.; Holland, D. F.; Longhurst, G. R.

    1990-12-01

    Plasma-facing components for experimental and commercial fusion reactor studies may include cladding or coatings of refractory metals like tungsten on metallic structural substrates such as copper, vanadium alloys and austenitic stainless steel. Issues of safety and fuel economy include the potential for inventory buildup and permeation of tritium implanted into the plasma-facing surface. This paper reports on laboratory-scale studies with 3 keV D +3 ion beams to investigate the hydrogen transport behavior in tungsten coatings on substrates of copper. These experiments entailed measurements of the deuterium re-emission and permeation rates for tungsten, copper, and tungsten-coated copper specimens at temperatures ranging from 638 to 825 K and implanting particle fluxes of approximately 5 × 10 19 D/m 2 s. Diffusion constants and surface recombination coefficients with enhancement factors due to sputtering were obtained from these measurements. These data may be used in calculations to estimate permeation rates and inventory buildups for proposed diverter designs.

  9. Hydrogen transport behavior of metal coatings for plasma facing components

    International Nuclear Information System (INIS)

    Anderl, R.A.; Holland, D.F.; Longhurst, G.R.

    1990-01-01

    Plasma-facing components for experimental and commercial fusion reactor studies may include cladding or coatings of refractory metals like tungsten on metallic structural substrates such as copper, vanadium alloys and austenitic stainless steel. Issues of safety and fuel economy include the potential for inventory buildup and permeation of tritium implanted into the plasma-facing surface. This paper reports on laboratory-scale studies with 3-keV D 3 + ion beams to investigate the hydrogen transport behavior in tungsten coatings on substrates of copper. These experiments entailed measurements of the deuterium re-emission and permeation rates for tungsten, copper, and tungsten-coated copper specimens at temperatures ranging from 638 K to 825 K and implanting particle fluxes of approximately 5 x 10 19 D/m 2 s. Diffusion constants and surface recombination coefficients with enhancement factors due to sputtering were obtained from these measurements. These data may be used in calculations to estimate permeation rates and inventory buildups for proposed diverter designs. 18 refs., 3 figs., 3 tabs

  10. Development of bonding techniques between tungsten and copper alloy for plasma facing components by HIP method. 1. Bonding between tungsten and oxygen free copper

    International Nuclear Information System (INIS)

    Saito, Shigeru; Fukaya, Kiyoshi; Ishiyama, Shintaro; Eto, Motokuni; Akiba, Masato

    1999-08-01

    In recent years, it has been considered that W (tungsten) is one of candidate materials for armor tiles of plasma facing components, like first wall or divertor, of fusion reactor. On the other hand, oxygen free high thermal conductivity (OFHC)-copper is proposed as heat sink materials behind the plasma facing materials because of its high thermal conductivity. However, plasma facing components are exposed to cyclic high heat load and heavily irradiated by 14 MeV neutron. Under these conditions, many unfavorable effects, for instance, thermal stresses of bonding interface, irradiation damage and He atom production by nuclear transmutation, will be decreased bonding strength between W and Cu alloys. Therefore, it is necessary to develop a reliable bonding techniques in order to make plasma facing components which can resist them. Then, we started the bonding technology development by hot isostatic press (HIP) method to bond W with Cu alloys. In this experiments, to optimize HIP bonding conditions, four point bending were performed for each bonded conditions at temperature from R.T. to 873 K and we could get the best HIP bonding conditions for W and OFHC-Cu as 1273 K x 2 hours x 147 MPa. To evaluate bonding strength of the specimen bonded at these conditions, tensile tests were also performed at same temperature range. The tensile strength was similar with OFHC-Cu which were treated at same conditions. (author)

  11. Recent progress in R and D on tungsten alloys for divertor structural and plasma facing materials

    Energy Technology Data Exchange (ETDEWEB)

    Wurster, S., E-mail: stefan.wurster@oeaw.ac.at [Erich Schmid Institute of Materials Science, Austria and Association EURATOM-ÖAW, Jahnstrasse 12, A-8700 Leoben (Austria); Baluc, N.; Battabyal, M. [Ecole Polytechnique Fédérale de Lausanne (EPFL), Villigen PSI (Switzerland); Crosby, T. [University of California, Mechanical and Aerospace Engineering Department, Los Angeles, CA (United States); Du, J. [Max-Planck-Institut für Plasmaphysik, Garching (Germany); García-Rosales, C. [Centro de Estudios e Investigaciones Técnicas de Gipuzkoa (CEIT), San Sebastián (Spain); Hasegawa, A. [Department of Quantum Science and Energy Engineering, Faculty of Engineering, Tohoku University (Japan); Hoffmann, A. [Plansee Metall GmbH, Reutte (Austria); Kimura, A. [Institute of Advanced Energy, Kyoto University (Japan); Kurishita, H. [International Research Center for Nuclear Material Science, Institute for Materials Research, Tohoku University (Japan); Kurtz, R.J. [Pacific Northwest National Laboratory, Richland, WA (United States); Li, H. [Erich Schmid Institute of Materials Science, Austria and Association EURATOM-ÖAW, Jahnstrasse 12, A-8700 Leoben (Austria); Chair of Atomistic Modelling and Design of Materials, University of Leoben, Leoben (Austria); Noh, S.; Reiser, J. [Karlsruhe Institute of Technology, Karlsruhe (Germany); Riesch, J. [Max-Planck-Institut für Plasmaphysik, Garching (Germany); Rieth, M. [Karlsruhe Institute of Technology, Karlsruhe (Germany); Setyawan, W. [Pacific Northwest National Laboratory, Richland, WA (United States); Walter, M. [Karlsruhe Institute of Technology, Karlsruhe (Germany); You, J.-H. [Max-Planck-Institut für Plasmaphysik, Garching (Germany); and others

    2013-11-15

    Tungsten materials are candidates for plasma-facing components for the International Thermonuclear Experimental Reactor and the DEMOnstration power plant because of their superior thermophysical properties. Because these materials are not common structural materials like steels, knowledge and strategies to improve the properties are still under development. These strategies discussed here, include new alloying approaches and microstructural stabilization by oxide dispersion strengthened as well as TiC stabilized tungsten based materials. The fracture behavior is improved by using tungsten laminated and tungsten wire reinforced materials. Material development is accompanied by neutron irradiation campaigns. Self-passivation, which is essential in case of loss-of-coolant accidents for plasma facing materials, can be achieved by certain amounts of chromium and titanium. Furthermore, modeling and computer simulation on the influence of alloying elements and heat loading and helium bombardment will be presented.

  12. PFMC14. 14th international conference on plasma-facing materials and components for fusion applications. Book of abstracts

    International Nuclear Information System (INIS)

    2013-01-01

    The performance of fusion devices and of a future fusion power plant critically depends on the plasma facing materials and components. Resistance to local heat and particle loads, thermo-mechanical properties, as well as the response to neutron damage of the selected materials are critical parameters which need to be understood and tailored from atomistic to component levels. The 14th International Conference on Plasma-Facing Materials and Components for Fusion Applications addresses these issues. Among the topics of the joint conference recent developments and research results in the following fields are addressed: - Tungsten and tungsten alloys - Low-Z materials - Mixed materials - Erosion, redeposition and fuel retention - Materials under extreme thermal loads - Technology and testing of plasma-facing components - Neutron effects in plasma-facing materials - Advanced characterization of materials and components. Selected international speakers present overview lectures and treat detailed aspects of the given topics. Contributed papers to the subjects of the meeting are solicited for oral and poster presentations.

  13. TOWARD TUNGSTEN PLASMA-FACING COMPONENTS IN KSTAR: RESEARCH ON PLASMA-METAL WALL INTERACTION

    Czech Academy of Sciences Publication Activity Database

    Hong, S.-H.; Kim, K.M.; Song, J.-H.; Bang, E.-N.; Kim, H.-T.; Lee, K.-S.; Litnovsky, A.; Hellwig, M.; Seo, D.C.; Lee, H.H.; Kang, C.S.; Lee, H.-Y.; Hong, J.-H.; Bak, J.-G.; Kim, H.-S.; Juhn, J.-W.; Son, S.-H.; Kim, H.-K.; Douai, D.; Grisolia, C.; Wu, J.; Luo, G.-N.; Choe, W.-H.; Komm, Michael; van den Berg, M.; De Temmerman, G.; Pitts, R.

    2015-01-01

    Roč. 68, č. 1 (2015), s. 36-43 ISSN 1536-1055. [International Conference on Open Magnetic Systems for Plasma Confinement (OS 2014)/10./. Daejeon, 26.08.2014-29.08.2014] Institutional support: RVO:61389021 Keywords : Plasma-metal wall interaction * Tungsten technology Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.799, year: 2015 http://dx.doi.org/10.13182/FST14-897

  14. Mechanical characterization of W-armoured plasma-facing components after thermal fatigue

    International Nuclear Information System (INIS)

    Serret, D; Richou, M; Missirlian, M; Loarer, T

    2011-01-01

    The future fusion device ITER is aimed at demonstrating the scientific and technical feasibility of fusion power. Tens of thousands of W-armoured plasma-facing components (PFCs) will be installed in the vertical targets of the ITER divertor and subjected to a high heat flux. The purpose of this paper is to present the results of mechanical and microstructural characterization of tungsten PFCs after thermal fatigue tests. On each component, Vickers hardness measurements are made. In parallel, the mean grain diameter in the corresponding zone of tungsten material is determined. The empirical Hall-Petch relation was adapted to experimental data. However, due to the plateau effect on recrystallization hardness, this relation does not seem to be relevant once recrystallization is complete: a new approach is proposed for predicting the margin to the tungsten melting onset.

  15. Hydrogen permeation properties of plasma-sprayed tungsten

    International Nuclear Information System (INIS)

    Anderl, R.A.; Pawelko, R.J.; Hankins, M.R.; Longhurst, G.R.; Neiser, R.A.

    1994-01-01

    Tungsten has been proposed as a plasma-facing component material for advanced fusion facilities. This paper reports on laboratory-scale studies that were done to assess the hydrogen permeation properties of plasma-sprayed tungsten for such applications. The work entailed deuterium permeation measurements for plasma-sprayed (PS) tungsten coatings, sputter-deposited (SP) tungsten coatings, and steel substrate material using a mass-analyzed, 3 keV D + 3 ion beam with fluxes of similar 6.5x10 19 D/m 2 s. Extensive characterization analyses for the plasma-sprayed tungsten coatings were made using Auger spectrometry and scanning electron microscopy (SEM). Observed permeation rates through composite PS-tungsten/steel specimens were several orders of magnitude below the permeation levels observed for SP-tungsten/steel composite specimens and pure steel specimens. Characterization analyses indicated that the plasma-sprayed tungsten coating had a nonhomogeneous microstructure that consisted of splats with columnar solidification, partially-melted particles with grain boundaries, and void regions. Reduced permeation levels can be attributed to the complex microstructure and a substantial surface-connected porosity. ((orig.))

  16. Hydrogen permeation properties of plasma-sprayed tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Anderl, R.A. (Idaho National Engineering Lab., EG and G Idaho Inc., Idaho Falls, ID (United States)); Pawelko, R.J. (Idaho National Engineering Lab., EG and G Idaho Inc., Idaho Falls, ID (United States)); Hankins, M.R. (Idaho National Engineering Lab., EG and G Idaho Inc., Idaho Falls, ID (United States)); Longhurst, G.R. (Idaho National Engineering Lab., EG and G Idaho Inc., Idaho Falls, ID (United States)); Neiser, R.A. (Sandia National Laboratories, Albuquerque, NM 87185 (United States))

    1994-09-01

    Tungsten has been proposed as a plasma-facing component material for advanced fusion facilities. This paper reports on laboratory-scale studies that were done to assess the hydrogen permeation properties of plasma-sprayed tungsten for such applications. The work entailed deuterium permeation measurements for plasma-sprayed (PS) tungsten coatings, sputter-deposited (SP) tungsten coatings, and steel substrate material using a mass-analyzed, 3 keV D[sup +][sub 3] ion beam with fluxes of similar 6.5x10[sup 19] D/m[sup 2] s. Extensive characterization analyses for the plasma-sprayed tungsten coatings were made using Auger spectrometry and scanning electron microscopy (SEM). Observed permeation rates through composite PS-tungsten/steel specimens were several orders of magnitude below the permeation levels observed for SP-tungsten/steel composite specimens and pure steel specimens. Characterization analyses indicated that the plasma-sprayed tungsten coating had a nonhomogeneous microstructure that consisted of splats with columnar solidification, partially-melted particles with grain boundaries, and void regions. Reduced permeation levels can be attributed to the complex microstructure and a substantial surface-connected porosity. ((orig.))

  17. On thermionic emission from plasma-facing components in tokamak-relevant conditions.

    Czech Academy of Sciences Publication Activity Database

    Komm, Michael; Ratynskaia, S.; Tolias, P.; Cavalier, Jordan; Dejarnac, Renaud; Gunn, J. P.; Podolník, Aleš

    2017-01-01

    Roč. 59, č. 9 (2017), č. článku 094002. ISSN 0741-3335 R&D Projects: GA ČR(CZ) GA16-14228S; GA MŠk(CZ) 8D15001 EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : thermionic * PIC * tungsten * tokamak * thermionic emission * plasma facing components * particle-in-cell Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.392, year: 2016 http://iopscience.iop.org/article/10.1088/1361-6587/aa78c4/pdf

  18. Hydrogen permeation properties of plasma-sprayed tungsten*1

    Science.gov (United States)

    Anderl, R. A.; Pawelko, R. J.; Hankins, M. R.; Longhurst, G. R.; Neiser, R. A.

    1994-09-01

    Tungsten has been proposed as a plasma-facing component material for advanced fusion facilities. This paper reports on laboratory-scale studies that were done to assess the hydrogen permeation properties of plasma-sprayed tungsten for such applications. The work entailed deuterium permeation measurements for plasma-sprayed (PS) tungsten coatings, sputter-deposited (SP) tungsten coatings, and steel substrate material using a mass-analyzed, 3 keV D 3+ ion beam with fluxes of ˜6.5 × 10 19 D/m 2 s. Extensive characterization analyses for the plasma-sprayed tungsten coatings were made using Auger spectrometry and scanning electron microscopy (SEM). Observed permeation rates through composite PS-tungsten/steel specimens were several orders of magnitude below the permeation levels observed for SP-tungsten/steel composite specimens and pure steel specimens. Characterization analyses indicated that the plasma-sprayed tungsten coating had a nonhomogeneous microstructure that consisted of splats with columnar solidification, partially-melted particles with grain boundaries, and void regions. Reduced permeation levels can be attributed to the complex microstructure and a substantial surface-connected porosity.

  19. Advanced tungsten materials for plasma-facing components of DEMO and fusion power plants

    International Nuclear Information System (INIS)

    Neu, R.; Riesch, J.; Coenen, J.W.; Brinkmann, J.; Calvo, A.; Elgeti, S.; García-Rosales, C.; Greuner, H.; Hoeschen, T.; Holzner, G.; Klein, F.; Koch, F.

    2016-01-01

    Highlights: • Development of W-fibre enhanced W-composites incorporating extrinsic toughening mechanisms. • Production of a large sample (more than 2000 long fibres) for mechanical and thermal testing. • Even in a fully embrittled state, toughening mechanisms are still effective. • Emissions of volatile W-oxides can be suppressed by alloying W with elements forming stable oxides. • WCr10Ti2 has been successfully tested under accidental conditions and high heat fluxes. - Abstract: Tungsten is the major candidate material for the armour of plasma facing components in future fusion devices. To overcome the intrinsic brittleness of tungsten, which strongly limits its operational window, a W-fibre enhanced W-composite material (W_f/W) has been developed incorporating extrinsic toughening mechanisms. Small W_f/W samples show a large increase in toughness. Recently, a large sample (50 mm × 50 mm × 3 mm) with more than 2000 long fibres has been successfully produced allowing further mechanical and thermal testing. It could be shown that even in a fully embrittled state, toughening mechanisms as crack bridging by intact fibres, as well as the energy dissipation by fibre-matrix interface debonding and crack deflection are still effective. A potential problem with the use of pure W in a fusion reactor is the formation of radioactive and highly volatile WO_3 compounds and their potential release under accidental conditions. It has been shown that the oxidation of W can be strongly suppressed by alloying with elements forming stable oxides. WCr10Ti2 alloy has been produced on a technical scale and has been successfully tested in the high heat flux test facility GLADIS. Recently, W-Cr-Y alloys have been produced on a lab-scale. They seem to have even improved properties compared to the previously investigated W alloys.

  20. Advanced tungsten materials for plasma-facing components of DEMO and fusion power plants

    Energy Technology Data Exchange (ETDEWEB)

    Neu, R., E-mail: Rudolf.Neu@ipp.mpg.de [Max-Planck-Institut für Plasmaphysik, D-85748 Garching (Germany); Fakultät für Maschinenbau, Technische Universität München, D-85748 Garching (Germany); Riesch, J. [Max-Planck-Institut für Plasmaphysik, D-85748 Garching (Germany); Coenen, J.W. [Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, D-52425 Jülich (Germany); Brinkmann, J. [Max-Planck-Institut für Plasmaphysik, D-85748 Garching (Germany); Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, D-52425 Jülich (Germany); Calvo, A. [CEIT and Tecnun (University of Navarra), E-20018 San Sebastian (Spain); Elgeti, S. [Max-Planck-Institut für Plasmaphysik, D-85748 Garching (Germany); García-Rosales, C. [CEIT and Tecnun (University of Navarra), E-20018 San Sebastian (Spain); Greuner, H.; Hoeschen, T.; Holzner, G. [Max-Planck-Institut für Plasmaphysik, D-85748 Garching (Germany); Klein, F. [Forschungszentrum Jülich GmbH, Institut für Energie- und Klimaforschung – Plasmaphysik, D-52425 Jülich (Germany); Koch, F. [Max-Planck-Institut für Plasmaphysik, D-85748 Garching (Germany); and others

    2016-11-01

    Highlights: • Development of W-fibre enhanced W-composites incorporating extrinsic toughening mechanisms. • Production of a large sample (more than 2000 long fibres) for mechanical and thermal testing. • Even in a fully embrittled state, toughening mechanisms are still effective. • Emissions of volatile W-oxides can be suppressed by alloying W with elements forming stable oxides. • WCr10Ti2 has been successfully tested under accidental conditions and high heat fluxes. - Abstract: Tungsten is the major candidate material for the armour of plasma facing components in future fusion devices. To overcome the intrinsic brittleness of tungsten, which strongly limits its operational window, a W-fibre enhanced W-composite material (W{sub f}/W) has been developed incorporating extrinsic toughening mechanisms. Small W{sub f}/W samples show a large increase in toughness. Recently, a large sample (50 mm × 50 mm × 3 mm) with more than 2000 long fibres has been successfully produced allowing further mechanical and thermal testing. It could be shown that even in a fully embrittled state, toughening mechanisms as crack bridging by intact fibres, as well as the energy dissipation by fibre-matrix interface debonding and crack deflection are still effective. A potential problem with the use of pure W in a fusion reactor is the formation of radioactive and highly volatile WO{sub 3} compounds and their potential release under accidental conditions. It has been shown that the oxidation of W can be strongly suppressed by alloying with elements forming stable oxides. WCr10Ti2 alloy has been produced on a technical scale and has been successfully tested in the high heat flux test facility GLADIS. Recently, W-Cr-Y alloys have been produced on a lab-scale. They seem to have even improved properties compared to the previously investigated W alloys.

  1. Manufacturing and testing in reactor relevant conditions of brazed plasma facing components of the ITER divertor

    International Nuclear Information System (INIS)

    Bisio, M.; Branca, V.; Marco, M. Di; Federici, A.; Grattarola, M.; Gualco, G.; Guarnone, P.; Luconi, U.; Merola, M.; Ozzano, C.; Pasquale, G.; Poggi, P.; Rizzo, S.; Varone, F.

    2005-01-01

    A fabrication route based on brazing technology has been developed for the realization of the high heat flux components for the ITER vertical target and Dome-Liner. The divertor vertical target is armoured with carbon fiber reinforced carbon and tungsten in the lower straight part and in the upper curved part, respectively. The armour material is joined to heat sinks made of precipitation hardened copper-chromium-zirconium alloy. The plasma facing units of the dome component are based on a tungsten flat tile design with hypervapotron cooling. An innovative brazing technique based on the addition of carbon fibers to the active brazing alloy, developed by Ansaldo Ricerche for applications in the field of the energy production, has been used for the carbon fiber composite to copper joint to reduce residual stresses. The tungsten-copper joint has been realized by direct casting. A proper brazing thermal cycle has been studied to guarantee the required mechanical properties of the precipitation hardened alloy after brazing. The fabrication route of plasma facing components for the ITER vertical target and dome based on the brazing technology has been proved by means of thermal fatigue tests performed on mock-ups in reactor relevant conditions

  2. Optimization of tungsten-steel joints for plasma facing components in fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Heuer, Simon; Linsmeier, Christian [Forschungszentrum Juelich GmbH, Institut fuer Energie- und Klimaforschung - Plasmaphysik, Juelich (Germany); Weber, Thomas; Linke, Jochen [Forschungszentrum Juelich GmbH, Institut fuer Energie- und Klimaforschung - Werkstoffstruktur und -eigenschaften, Juelich (Germany); Matejicek, Jiri [Institute of Plasma Physics, Academy of Sciences of the Czech Republic, Prague (Czech Republic)

    2015-07-01

    Tungsten, joint to a martensitic-ferritic EUROFER97 structure, is a promising plasma facing material composite for fusion reactors. Due to the effect of mismatch in thermo-mechanical properties direct bonding is not feasible. Current research is therefore ongoing on interlayer systems. While the adhesion was already improved by the utilization of a discrete Cu, Ti or V interlayer, that is able to relax stresses by plastic deformation, joints still do not resist the expected load cycles in a fusion reactor. Therefore, alternatives for the interface are needed. This contribution presents research on functionally graded materials (FGM). The particular microstructure of a graded interlayer allows re-distributing macro stresses from a discrete interface to a greater volume while avoiding in particular Cu which tends to swell under neutron irradiation. A parameter study on the basis of finite element analysis will be presented as well as first results of several processing routes for FGM that shall be evaluated and benchmarked by mechanical as well as thermal testing.

  3. Armour Materials for the ITER Plasma Facing Components

    Science.gov (United States)

    Barabash, V.; Federici, G.; Matera, R.; Raffray, A. R.; ITER Home Teams,

    The selection of the armour materials for the Plasma Facing Components (PFCs) of the International Thermonuclear Experimental Reactor (ITER) is a trade-off between multiple requirements derived from the unique features of a burning fusion plasma environment. The factors that affect the selection come primarily from the requirements of plasma performance (e.g., minimise impurity contamination in the confined plasma), engineering integrity, component lifetime (e.g., withstand thermal stresses, acceptable erosion, etc.) and safety (minimise tritium and radioactive dust inventories). The current selection in ITER is to use beryllium on the first-wall, upper baffle and on the port limiter surfaces, carbon fibre composites near the strike points of the divertor vertical target and tungsten elsewhere in the divertor and lower baffle modules. This paper provides the background for this selection vis-à-vis the operating parameters expected during normal and off-normal conditions. The reasons for the selection of the specific grades of armour materials are also described. The effects of the neutron irradiation on the properties of Be, W and carbon fibre composites at the expected ITER conditions are briefly reviewed. Critical issues are discussed together with the necessary future R&D.

  4. Armour materials for the ITER plasma facing components

    International Nuclear Information System (INIS)

    Barabash, V.; Federici, G.; Matera, R.; Raffray, A.R.

    1999-01-01

    The selection of the armour materials for the plasma facing components (PFCs) of the international thermonuclear experimental reactor (ITER) is a trade-off between multiple requirements derived from the unique features of a burning fusion plasma environment. The factors that affect the selection come primarily from the requirements of plasma performance (e.g., minimise impurity contamination in the confined plasma), engineering integrity, component lifetime (e.g., withstand thermal stresses, acceptable erosion, etc.) and safety (minimise tritium and radioactive dust inventories). The current selection in ITER is to use beryllium on the first-wall, upper baffle and on the port limiter surfaces, carbon fibre composites near the strike points of the divertor vertical target and tungsten elsewhere in the divertor and lower baffle modules. This paper provides the background for this selection vis-a-vis the operating parameters expected during normal and off-normal conditions. The reasons for the selection of the specific grades of armour materials are also described. The effects of the neutron irradiation on the properties of Be, W and carbon fibre composites at the expected ITER conditions are briefly reviewed. Critical issues are discussed together with the necessary future R and D. (orig.)

  5. Materials for the plasma-facing components of steady state stellarators

    International Nuclear Information System (INIS)

    Bolt, H.; Boscary, J.; Greuner, H.; Grigull, P.; Maier, H.; Streibl, B.

    2005-01-01

    The specific advantage of current-free stellarators is their inherent capability for full steady-state operation. This will lead to long discharges and the corresponding stationary plasma exposure of the plasma-facing materials. Further to this, the absence of disruptions relaxes the requirements to the plasma-facing materials in terms of thermal shock stability, although ELM activity occurs also in stellarators and leads to fast transient surface loads on the ms-time scale. Another aspect regarding the plasma-material interactions in stellarators is the sensitivity to impurity accumulation in the core plasma. Thus, it is preferred to apply low-Z materials until operation scenarios are established which do not lead to this accumulation process. In the case of high-Z materials impurity accumulation will lead to a radiative plasma collapse. For the stellarator W7-X low-Z plasma-facing materials have been selected to protect the divertor and the wall surfaces. Due to the stationary operation, the plasma-facing materials have to be bonded or clamped to actively water-cooled substrates to remove the incident heat fluxes. The following materials have been selected to fulfil the operational requirements: 1. A three directionally carbon fibre reinforced carbon composite (CFC) with very high thermal conductivity bonded to a water cooled CuCrZr heat sink for the divertor which will be exposed to heat fluxes up to 10MW/m 2 . 2. Isotropic fine grain graphite tiles mechanically clamped to a CuCrZr heat sink which is brazed to a stainless steel cooling tube for the areas of moderate heat fluxes up to 0.5 MW/m 2 (baffles, inner wall). 3. Thick boron carbide coating on water cooled steel panels for the outer wall surfaces with low heat fluxes up to 0.2 MW/m 2 . This coating would be applied on most surfaces only after the initial operation. In the presentation the properties of these materials will be discussed with a view to the plasma-wall interaction in W7-X. In fusion reactors

  6. Recovery of Tungsten Surface with Fiber-Form Nanostructure by Plasmas Exposures

    International Nuclear Information System (INIS)

    Miyamoto, Takanori; Takamura, Shuichi; Kurishita, Hiroaki

    2013-01-01

    One of the serious concerns for tungsten materials in fusion devices is the radiation defects caused by helium plasma irradiation since helium is a fusion product. The fiber-formed nanostructure is thought to have a possible weakness against the plasma heat flux on the plasma-facing component and also may destroy the reflectivity of optical mirrors. In this paper an interesting method for the recovery of such tungsten surfaces is shown. The recovery process depends on the grade and manufacturing process of tungsten materials. (fusion engineering)

  7. Development of bonding techniques between tungsten and copper alloy for plasma facing components by HIP method (2). Bonding between tungsten and DS-copper

    International Nuclear Information System (INIS)

    Saito, Shigeru; Fukaya, Kiyoshi; Eto, Motokuni; Ishiyama, Shintaro; Akiba, Masato

    2000-02-01

    Recently, W (tungsten)-alloys are considered as plasma facing material (PFM) for ITER because of these many favorable properties such as high melting point (3655 K), relatively high thermal conductivity and higher resistivity for plasma sputtering. On the other hand, Cu-alloys, especially DS (dispersion strengthened)-Cu, are proposed as heat sink materials because of its high thermal conductivity and good mechanical properties at high temperature. Plasma facing components (PFC) are designed as the duplex structure where W armor tiles are bonded with Cu-alloy heat sink. Then, we started the bonding technology development by hot isostatic press (HIP) method to bond W with Cu-alloys because of its many advantages. Until now, it was reported that we could get the best HIP bonding conditions for W and OFHC-Cu and the tensile strength was similar with HIP treated OFHC-Cu. In this experiments, bonding tests of W and DS-Cu with insert material were performed. As insert material, OFHC-Cu was used with different thickness. Bonding conditions were selected as 1273 K x 2 hours x 147 MPa. Bonding tests with 0.3 to 1.8 mm thickness OFHC-Cu were successfully bonded but with 0.1 mm thickness was not bonded. From the results of tensile tests, the tensile strength of the specimens with 0.3 and 0.5 mm thickness were decreased at elevated temperature. It was shown that over 1.0 mm thickness OFHC-Cu insert may be needed and the tensile strength were a little higher than that of HIP treated OFHC-Cu. (author)

  8. Failure study of helium-cooled tungsten divertor plasma-facing units tested at DEMO relevant steady-state heat loads

    International Nuclear Information System (INIS)

    Ritz, G; Pintsuk, G; Linke, J; Hirai, T; Norajitra, P; Reiser, J; Giniyatulin, R; Makhankov, A; Mazul, I

    2009-01-01

    Tungsten was selected as armor material for the helium-cooled divertor in future DEMO-type fusion reactors and fusion power plants. After realizing the design and testing of them under cyclic thermal loads of up to ∼14 MW m -2 , the tungsten divertor plasma-facing units were examined by metallography; they revealed failures such as cracks at the thermal loaded and as-machined surfaces, as well as degradation of the brazing layers. Furthermore, in order to optimize the machining processes, the quality of tungsten surfaces prepared by turning, milling and using a diamond cutting wheel were examined. This paper presents a metallographic examination of the tungsten plasma-facing units as well as technical studies and the characterization on machining of tungsten and alternative brazing joints.

  9. Failure study of helium-cooled tungsten divertor plasma-facing units tested at DEMO relevant steady-state heat loads

    Science.gov (United States)

    Ritz, G.; Hirai, T.; Norajitra, P.; Reiser, J.; Giniyatulin, R.; Makhankov, A.; Mazul, I.; Pintsuk, G.; Linke, J.

    2009-12-01

    Tungsten was selected as armor material for the helium-cooled divertor in future DEMO-type fusion reactors and fusion power plants. After realizing the design and testing of them under cyclic thermal loads of up to ~14 MW m-2, the tungsten divertor plasma-facing units were examined by metallography; they revealed failures such as cracks at the thermal loaded and as-machined surfaces, as well as degradation of the brazing layers. Furthermore, in order to optimize the machining processes, the quality of tungsten surfaces prepared by turning, milling and using a diamond cutting wheel were examined. This paper presents a metallographic examination of the tungsten plasma-facing units as well as technical studies and the characterization on machining of tungsten and alternative brazing joints.

  10. The manufacture of carbon armoured plasma-facing components for fusion devices

    International Nuclear Information System (INIS)

    Schedler, B.; Huber, T.; Zabernig, A.; Rainer, F.; Scheiber, K.H.; Schedle, D.

    2001-01-01

    Within the last decade Plansee has been active in the development and manufacture of different plasma-facing-components for nuclear fusion experiments consisting in a tungsten or CFC-armor joined onto metallic substrates like TZM, stainless steel or copper-alloys. The manufacture of these components requires unique joining technologies in order to obtain reliable thermo mechanical stable joints able to withstand highest heat fluxes without any deterioration of the joint. In an overview the different techniques will be presented by some examples of components already manufactured and successfully tested under high heat flux conditions. Furthermore an overview will be given on the manufacture of different high heat flux components for TORE SUPRA, Wendelstein 7-X and ITER. (author)

  11. Advanced solutions for beryllium and tungsten plasma-facing components

    International Nuclear Information System (INIS)

    Ibbott, C.; Jakeman, R.; Ando, T.; Chiocchio, S.; Federici, G.; Heidl, H.; Tivey, R.; Falter, H.; Ciric, D.; Merola, M.; Vieider, G.; Ploechl, L.; Roedig, M.

    1998-01-01

    Beryllium and tungsten are candidate plasma-facing armour materials for the International Thermonuclear Experimental Reactor (ITER). These armours are proposed for areas with low heat flux (≤5 MW m -2 ); however, in the divertor, surface melting during abnormal events may occur. This paper reports the progress made in developing novel approaches to solving the difficulties posed in designing with these armours. A Be monoblock brazed to an OFHC 10 mm ID Cu tube using InCuSil 'ABA' braze alloy has survived 130 cycles of 10-11 MW m -2 for 6 s, with surface temperatures of 1250 C. No visible surface cracking occurred. The same monoblock was then exposed to several cycles of 20-22 MW m -2 for 8 s, creating a 2 mm deep molten layer. High cycle fatigue was then performed. The test results are detailed in this paper. Comparison between experimental and theoretical results are made. W and Cu have a large mismatch in their thermal expansion coefficients and two designs are proposed that minimise the interface stresses. These are: a 'brush'-like structure with rectangular fibres set in a Cu substrate using the 'active metal casting' (AMC) technique; and thin monoblocks (or lamellae) brazed or active metal cast onto a Cu tube. Analyses of the lamellae concept for steady-state heat loads of 5 MW m -2 are presented. Fatigue analyses show that both solutions are theoretically viable (∝10 4 cycles). A 'brush' mock-up has been manufactured and progress on its testing is reported. Results of all tests and their relevance to the ITER design are discussed. (orig.)

  12. ITER plasma facing components

    International Nuclear Information System (INIS)

    Kuroda, T.; Vieider, G.; Akiba, M.

    1991-01-01

    This document summarizes results of the Conceptual Design Activities (1988-1990) for the International Thermonuclear Experimental Reactor (ITER) project, namely those that pertain to the plasma facing components of the reactor vessel, of which the main components are the first wall and the divertor plates. After an introduction and an executive summary, the principal functions of the plasma-facing components are delineated, i.e., (i) define the low-impurity region within which the plasma is produced, (ii) absorb the electromagnetic radiation and charged-particle flux from the plasma, and (iii) protect the blanket/shield components from the plasma. A list of critical design issues for the divertor plates and the first wall is given, followed by discussions of the divertor plate design (including the issues of material selection, erosion lifetime, design concepts, thermal and mechanical analysis, operating limits and overall lifetime, tritium inventory, baking and conditioning, safety analysis, manufacture and testing, and advanced divertor concepts) and the first wall design (armor material and design, erosion lifetime, overall design concepts, thermal and mechanical analysis, lifetime and operating limits, tritium inventory, baking and conditioning, safety analysis, manufacture and testing, an alternative first wall design, and the limiters used instead of the divertor plates during start-up). Refs, figs and tabs

  13. Near-surface thermal characterization of plasma facing components using the 3-omega method

    International Nuclear Information System (INIS)

    Dechaumphai, Edward; Barton, Joseph L.; Tesmer, Joseph R.; Moon, Jaeyun; Wang, Yongqiang; Tynan, George R.; Doerner, Russell P.; Chen, Renkun

    2014-01-01

    Near-surface regime plays an important role in thermal management of plasma facing components in fusion reactors. Here, we applied a technique referred to as the ‘3ω’ method to measure the thermal conductivity of near-surface regimes damaged by ion irradiation. By modulating the frequency of the heating current in a micro-fabricated heater strip, the technique enables the probing of near-surface thermal properties. The technique was applied to measure the thermal conductivity of a thin ion-irradiated layer on a tungsten substrate, which was found to decrease by nearly 60% relative to pristine tungsten for a Cu ion dosage of 0.2 dpa

  14. Status of R and D of the plasma facing components for the ITER divertor

    International Nuclear Information System (INIS)

    Mazul, I.V.; Akiba, M.; Arkhipov, I.

    2001-01-01

    The paper reports the progress made by the ITER Home Teams in the development of robust carbon and tungsten armoured plasma facing components for the ITER divertor. The activities on the development and study of armour materials, joining technologies, non-destructive evaluation techniques, high heat flux testing of manufactured components and neutron irradiation resistance studies are presented. The results of these activities confirm the feasibility of the main divertor components. Examples of the fruitful collaboration between Parties and future R and D needs are also described. (author)

  15. Developments toward the use of tungsten as armour material in plasma facing components promoted by Euratom-CEA Association

    International Nuclear Information System (INIS)

    Mitteau, R.; Missiaen, J.M.; Brustolin, P.

    2006-01-01

    Tungsten is increasingly considered as a prime candidate armour material facing the plasma in fusion experiments (ASDEX, JET, ITER). This material is, however, a challenge for the engineers due to its brittleness at room temperature. Its bonding to structural or cooled substrates is a critical issue. The Euratom-CEA Association promotes the development of evolutionary techniques aiming to produce high performance assemblies between tungsten and various substrates. These are 1) functionally graded tungsten to copper, 2) direct electron beam welding of tungsten to Mo-alloy TZM and 3) the characterisation of tungsten coatings deposited on carbon fibre composite by high energy deposition processes. 1) A functionally graded material eliminates the singular point which weakens the heterogeneous assembly, reducing the stresses and allowing a better behaviour. The sintering of submicronic W-Cu powders is investigated. The green shape is processed from W-CuO powder, which is reduced by a hydrogen flow. The compaction and sintering of layers of various compositions (10 to 30 % Cu) produces an assembly (density of ∼ 94%) with a good cohesion. However, the gradient is not effectively controlled, because of the migration of melt copper during the sintering. Future work aims to improve the process by using spark or microwave assisted sintering. 2) Electron beam welding of Mo-alloy TZM is investigated, to produce high temperature components required by radiation cooled PFCs. They require only mechanical properties and no vacuum sealing. The driving line is to use simple tungsten shapes to reduce the milling cost. In spite of low weldable properties of the refractory alloys, a good bonding up to a depth of 5 mm is obtained. Hardness measurements show that the melt area and the heat affected zone are harder than TZM, the weakest materials at 230 Hv. Quench tests in water from up to 2000 o C are done without apparent crack formation. 3) Finally, characterisation techniques are

  16. Plasma Sprayed Tungsten-based Coatings and their Usage in Edge Plasma Region of Tokamaks

    Czech Academy of Sciences Publication Activity Database

    Matějíček, Jiří; Weinzettl, Vladimír; Dufková, Edita; Piffl, Vojtěch; Peřina, Vratislav

    2006-01-01

    Roč. 51, č. 2 (2006), s. 179-191 ISSN 0001-7043 Grant - others:Evropská unie EFDA Task TW-5-TVM-PSW (EU – Euratom) Institutional research plan: CEZ:AV0Z20430508; CEZ:AV0Z10480505 Keywords : plasma sprayed coatings * fusion * plasma facing components * tungsten * tokamak Subject RIV: BL - Plasma and Gas Discharge Physics

  17. Plasma facing components integration studies for the WEST divertor

    Energy Technology Data Exchange (ETDEWEB)

    Ferlay, Fabien, E-mail: fabien.ferlay@cea.fr; Missirlian, Marc; Guilhem, Dominique; Firdaouss, Mehdi; Richou, Marianne; Doceul, Louis; Faisse, Frédéric; Languille, Pascal; Larroque, Sébastien; Martinez, André; Proust, Maxime; Louison, Céphise; Jeanne, Florian; Saille, Alain; Samaille, Frank; Verger, Jean-Marc; Bucalossi, Jérôme

    2015-10-15

    Highlights: • The divertor PFU integration has been studied regarding existing environment. • Magnetic, electric, thermal, hydraulic, mechanical loads and assembly are considered. - Abstract: In the context of the Tokamak Tore-Supra evolution, the CEA aims at transforming it into a test bench for ITER actively cooled tungsten (ACW) plasma facing components (PFC). This project named WEST (Tungsten Environment in Steady state Tokamak) is especially focused on the divertor target. The modification of the machine, by adding two axisymmetric divertors will make feasible an H-mode with an X-point close to the lower divertor. This environment will allow exposing the divertor ACW components up to 20 MW/m{sup 2} heat flux during long pulse. These specifications are well suited to test the ITER-like ACW target elements, respecting the ITER design. One challenge in such machine evolution is to integrate components in an existing vacuum vessel in order to obtain the best achievable performance. This paper deals with the design integration of ITER ACW target elements into the WEST environment considering magnetic, electric, thermal and mechanical loads. The feasibility of installation and maintenance has to be strongly considered as these PFC could be replaced several times. The ports size allows entering a 30° sector of pre-installed tungsten targets which will be plugged as quickly and easily as possible. The main feature of steady state operation is the active cooling, which leads to have many embedded cooling channels and bulky pipes on the PFC module including many connections and sealings between vacuum and water channels. The 30° sector design is now finalized regarding the ITER ACW elements specifications. No major modifications are expected.

  18. Performance of tungsten-based materials and components under ITER and DEMO relevant steady-state thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Ritz, Guillaume Henri

    2011-07-01

    In nuclear fusion devices the surfaces directly facing the plasma are irradiated with high energy fluxes. The most intense loads are deposited on the divertor located at the bottom of the plasma chamber, which has to withstand continuous heat loads with a power density of several MW . m{sup -2} as well as transient events. These are much shorter (in the millisecond and sub-millisecond regime) but deposit a higher power densities of a few GW . m{sup -2}. The search for materials that can survive to those severe loading conditions led to the choice of tungsten which possesses advantageous attributes such as a high melting point, high thermal conductivity, low thermal expansion and an acceptable activation rate. These properties made it an attractive and promising candidate as armor material for divertors of future fusion devices such as ITER and DEMO. For the DEMO divertor, conceptual studies on helium-cooled tungsten plasma-facing components were performed. The concept was realized and tested under DEMO specific cyclic thermal loads. The examination of the plasma-facing components by microstructural analyses before and after thermal loading enabled to determine the mechanisms for components failure. Among others, it clearly showed the impact of the tungsten grade and the thermal stress induced crack formation on the performance of the armor material and in general of the plasma-facing component under high heat loads. A tungsten qualification program was launched to study the behaviour of various tungsten grades, in particular the crack formation, under fusion relevant steady-state thermal loads. In total, seven commercially available materials from two industrial suppliers were investigated. As the material's thermal response is strongly related to its microstructure, this program comprised different material geometries and manufacturing technologies. It also included the utilization of an actively cooled specimen holder which has been designed to perform

  19. Performance of tungsten-based materials and components under ITER and DEMO relevant steady-state thermal loads

    International Nuclear Information System (INIS)

    Ritz, Guillaume Henri

    2011-01-01

    In nuclear fusion devices the surfaces directly facing the plasma are irradiated with high energy fluxes. The most intense loads are deposited on the divertor located at the bottom of the plasma chamber, which has to withstand continuous heat loads with a power density of several MW . m -2 as well as transient events. These are much shorter (in the millisecond and sub-millisecond regime) but deposit a higher power densities of a few GW . m -2 . The search for materials that can survive to those severe loading conditions led to the choice of tungsten which possesses advantageous attributes such as a high melting point, high thermal conductivity, low thermal expansion and an acceptable activation rate. These properties made it an attractive and promising candidate as armor material for divertors of future fusion devices such as ITER and DEMO. For the DEMO divertor, conceptual studies on helium-cooled tungsten plasma-facing components were performed. The concept was realized and tested under DEMO specific cyclic thermal loads. The examination of the plasma-facing components by microstructural analyses before and after thermal loading enabled to determine the mechanisms for components failure. Among others, it clearly showed the impact of the tungsten grade and the thermal stress induced crack formation on the performance of the armor material and in general of the plasma-facing component under high heat loads. A tungsten qualification program was launched to study the behaviour of various tungsten grades, in particular the crack formation, under fusion relevant steady-state thermal loads. In total, seven commercially available materials from two industrial suppliers were investigated. As the material's thermal response is strongly related to its microstructure, this program comprised different material geometries and manufacturing technologies. It also included the utilization of an actively cooled specimen holder which has been designed to perform sophisticated

  20. A fracture mechanics study of tungsten failure under high heat flux loads

    International Nuclear Information System (INIS)

    Li, Muyuan

    2015-01-01

    The performance of fusion devices is highly dependent on plasma-facing components. Tungsten is the most promising candidate material for armors in plasma-facing components in ITER and DEMO. However, the brittleness of tungsten below the ductile-to-brittle transition temperature is very critical to the reliability of plasma-facing components. In this work, thermo-mechanical and fracture behaviors of tungsten are predicted numerically under fusion relevant thermal loadings.

  1. Heat transfer for plasma facing components

    International Nuclear Information System (INIS)

    Boyd, R.D.; Meng, X.; Maughan, H.

    1995-01-01

    Although the high heat flux requirements for plasma-facing components have been reduced drastically from 40.0 MW/m 2 to near 10.0 MW/m 2 , there are still some refinements needed. This paper highlights: (1) recent accomplishments and pinpoints new thermal solutions and problem areas of immediate concern to the development of plasma-facing components, and (2) next generation thermal hydraulic problems which must be addressed to insure safety and reliability in component operation. More specifically the near-term thermal hydraulic problems entail: (1) generating an appropriate data base to insure the development of single-side heat flux correlations; and (2) adapting the existing vast uniform heat flux literature to the case of non-uniform heat flux distributions found in plasma facing components in fusion reactors. Results are presented for the latter task which includes: (a) an accurate subcooled flow boiling curve correlation for the partial nucleate boiling regime which can be adapted using previously proposed correlations relating single-side boundary heat flux to heat transfer, in uniformly heated channels, (b) the evaluation of the possibility of using the existing literature directly with redefined parameters, and (c) an estimation of circumferential variations in the heat transfer coefficient

  2. Elastic–plastic adhesive impacts of tungsten dust with metal surfaces in plasma environments

    Energy Technology Data Exchange (ETDEWEB)

    Ratynskaia, S., E-mail: svetlana.ratynskaia@ee.kth.se [KTH Royal Institute of Technology, Association EUROfusion-VR, Stockholm (Sweden); Tolias, P. [KTH Royal Institute of Technology, Association EUROfusion-VR, Stockholm (Sweden); Shalpegin, A. [Université de Lorraine, Institut Jean Lamour, Vandoeuvre-lès-Nancy (France); Vignitchouk, L. [KTH Royal Institute of Technology, Association EUROfusion-VR, Stockholm (Sweden); De Angeli, M. [Istituto di Fisica del Plasma – Consiglio Nazionale delle Ricerche, Milan (Italy); Bykov, I. [KTH Royal Institute of Technology, Association EUROfusion-VR, Stockholm (Sweden); Bystrov, K.; Bardin, S. [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Edisonbaan 14, 3439MN Nieuwegein (Netherlands); Brochard, F. [Université de Lorraine, Institut Jean Lamour, Vandoeuvre-lès-Nancy (France); Ripamonti, D. [Istituto per l’Energetica e le Interfasi – Consiglio Nazionale delle Ricerche, Milan (Italy); Harder, N. den; De Temmerman, G. [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Edisonbaan 14, 3439MN Nieuwegein (Netherlands)

    2015-08-15

    Dust-surface collisions impose size selectivity on the ability of dust grains to migrate in scrape-off layer and divertor plasmas and to adhere to plasma-facing components. Here, we report first experimental evidence of dust impact phenomena in plasma environments concerning low-speed collisions of tungsten dust with tungsten surfaces: re-bouncing, adhesion, sliding and rolling. The results comply with the predictions of the model of elastic-perfectly plastic adhesive spheres employed in the dust dynamics code MIGRAINe for sub- to several meters per second impacts of micrometer-range metal dust.

  3. Comprehensive simulation of vertical plasma instability events and their serious damage to ITER plasma facing components

    International Nuclear Information System (INIS)

    Hassanein, A.; Sizyuk, T.

    2008-01-01

    Safe and reliable operation is still one of the major challenges in the development of the new generation of ITER-like fusion reactors. The deposited plasma energy during major disruptions, edge-localized modes (ELMs) and vertical displacement events (VDEs) causes significant surface erosion, possible structural failure and frequent plasma contamination. While plasma disruptions and ELM will have no significant thermal effects on the structural materials or coolant channels because of their short deposition time, VDEs having longer-duration time could have a destructive impact on these components. Therefore, modelling the response of structural materials to VDE has to integrate detailed energy deposition processes, surface vaporization, phase change and melting, heat conduction to coolant channels and critical heat flux criteria at the coolant channels. The HEIGHTS 3D upgraded computer package considers all the above processes to specifically study VDE in detail. Results of benchmarking with several known laboratory experiments prove the validity of HEIGHTS implemented models. Beryllium and tungsten are both considered surface coating materials along with copper structure and coolant channels using both smooth tubes with swirl tape insert. The design requirements and implications of plasma facing components are discussed along with recommendations to mitigate and reduce the effects of plasma instabilities on reactor components.

  4. Integrated modelling of the edge plasma and plasma facing components

    International Nuclear Information System (INIS)

    Coster, D.P.; Bonnin, X.; Mutzke, A.; Schneider, R.; Warrier, M.

    2007-01-01

    Modelling of the interaction between the edge plasma and plasma facing components (PFCs) has tended to place more emphasis on either the plasma or the PFCs. Either the PFCs do not change with time and the plasma evolution is studied, or the plasma is assumed to remain static and the detailed interaction of the plasma and the PFCs are examined, with no back-reaction on the plasma taken into consideration. Recent changes to the edge simulation code, SOLPS, now allow for changes in both the plasma and the PFCs to be considered. This has been done by augmenting the code to track the time-development of the properties of plasma facing components (PFCs). Results of standard mixed-materials scenarios (base and redeposited C; Be) are presented

  5. Two component tungsten powder injection molding – An effective mass production process

    International Nuclear Information System (INIS)

    Antusch, Steffen; Commin, Lorelei; Mueller, Marcus; Piotter, Volker; Weingaertner, Tobias

    2014-01-01

    Tungsten and tungsten-alloys are presently considered to be the most promising materials for plasma facing components for future fusion power plants. The Karlsruhe Institute of Technology (KIT) divertor design concept for the future DEMO power plant is based on modular He-cooled finger units and the development of suitable mass production methods for such parts was needed. A time and cost effective near-net-shape forming process with the advantage of shape complexity, material utilization and high final density is Powder Injection Molding (PIM). This process allows also the joining of two different materials e.g. tungsten with a doped tungsten alloy, without brazing. The complete technological process of 2-Component powder injection molding for tungsten materials and its application on producing real DEMO divertor parts, characterization results of the finished parts e.g. microstructure, hardness, density and joining zone quality are discussed in this contribution

  6. High-Z plasma facing components in fusion devices: boundary conditions and operational experiences

    Science.gov (United States)

    Neu, R.

    2006-04-01

    In present day fusion devices optimization of the performance and experimental freedom motivates the use of low-Z plasma facing materials (PFMs). However, in a future fusion reactor, for economic reasons, a sufficient lifetime of the first wall components is essential. Additionally, tritium retention has to be small to meet safety requirements. Tungsten appears to be the most realistic material choice for reactor plasma facing components (PFCs) because it exhibits the lowest erosion. But besides this there are a lot of criteria which have to be fulfilled simultaneously in a reactor. Results from present day devices and from laboratory experiments confirm the advantages of high-Z PFMs but also point to operational restrictions, when using them as PFCs. These are associated with the central impurity concentration, which is determined by the sputtering yield, the penetration of the impurities and their transport within the confined plasma. The restrictions could exclude successful operation of a reactor, but concomitantly there exist remedies to ameliorate their impact. Obviously some price has to be paid in terms of reduced performance but lacking of materials or concepts which could substitute high-Z PFCs, emphasis has to be put on the development and optimization of reactor-relevant scenarios which incorporate the experiences and measures.

  7. High-Z plasma facing components in fusion devices: boundary conditions and operational experiences

    International Nuclear Information System (INIS)

    Neu, R.

    2006-01-01

    In present day fusion devices optimization of the performance and experimental freedom motivates the use of low-Z plasma facing materials (PFMs). However, in a future fusion reactor, for economic reasons, a sufficient lifetime of the first wall components is essential. Additionally, tritium retention has to be small to meet safety requirements. Tungsten appears to be the most realistic material choice for reactor plasma facing components (PFCs) because it exhibits the lowest erosion. But besides this there are a lot of criteria which have to be fulfilled simultaneously in a reactor. Results from present day devices and from laboratory experiments confirm the advantages of high-Z PFMs but also point to operational restrictions, when using them as PFCs. These are associated with the central impurity concentration, which is determined by the sputtering yield, the penetration of the impurities and their transport within the confined plasma. The restrictions could exclude successful operation of a reactor, but concomitantly there exist remedies to ameliorate their impact. Obviously some price has to be paid in terms of reduced performance but lacking of materials or concepts which could substitute high-Z PFCs, emphasis has to be put on the development and optimization of reactor-relevant scenarios which incorporate the experiences and measures

  8. A new vision of plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Nygren, Richard E., E-mail: renygre@sandia.gov [Sandia National Laboratories, Albuquerque, NM (United States); Youchison, Dennis L. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); Wirth, Brian D. [University of Tennessee, Knoxville, TN (United States); Snead, Lance L.

    2016-11-01

    Highlights: • New approach recommended to develop refractory fusion plasma facing components. • Need to develop engineered materials architecture with nano-features. • Need to develop PFCs with gas jet cooling with very fine scale for jet arrays. • Emphasis on role of additive manufacturing as needed method for fabrication. - Abstract: This paper advances a vision for plasma facing components (PFCs) that includes the following points. The solution for plasma facing materials likely consists of engineered structures in which the layer of plasma facing material (PFM) is integrated with an engineered structure that cools the PFM and may also transition with graded composition. The key to achieving this PFC architecture will likely lie in advanced manufacturing methods, e.g., additive manufacturing, that can produce layers with controlled porosity and features such as micro-fibers and/or nano-particles that can collect He and transmutation products, limit tritium retention, and do all this in a way that maintains adequate robustness for a satisfactory lifetime. This vision has significant implications for how we structure a development program.

  9. Post-examination of helium-cooled tungsten components exposed to DEMO specific cyclic thermal loads

    International Nuclear Information System (INIS)

    Ritz, G.; Hirai, T.; Linke, J.; Norajitra, P.; Giniyatulin, R.; Singheiser, L.

    2009-01-01

    A concept of helium-cooled tungsten finger module was developed for the European DEMO divertor. The concept was realized and tested under DEMO specific cyclic thermal loads up to 10 MW/m 2 . The modules were examined carefully before and after loading by metallography and microstructural analyses. While before loading mainly discrete and shallow cracks were found on the tungsten surface due to the manufacturing process, dense crack networks were observed at the loaded surfaces due to the thermal stress. In addition, cracks occurred in the structural, heat sink part and propagated along the grains orientation of the deformed tungsten material. Facilitated by cracking, the molten brazing metal between the tungsten plasma facing material and the W-La 2 O 3 heat sink, that could not withstand the operational temperatures, infiltrated the tungsten components and, due to capillary forces, even reached the plasma facing surface through the cracks. The formed cavity in the brazed layer reduced the heat conduction and the modules were further damaged due to overheating during the applied heat loads. Based on this detailed characterization and possible improvements of the design and of the manufacturing routes are discussed.

  10. FOREWORD: 12th International Workshop on Plasma-Facing Materials and Components for Fusion Applications 12th International Workshop on Plasma-Facing Materials and Components for Fusion Applications

    Science.gov (United States)

    Kreter, Arkadi; Linke, Jochen; Rubel, Marek

    2009-12-01

    The 12th International Workshop on Plasma-Facing Materials and Components for Fusion Applications (PFMC-12) was held in Forschungszentrum Jülich (FZJ) in Germany in May 2009. This symposium is the successor to the International Workshop on Carbon Materials for Fusion Applications series. Between 1985 and 2003, 10 'Carbon Workshops' were organized in Jülich, Stockholm and Hohenkammer. After this time, the scope of the symposium was redefined to reflect the new requirements of ITER and the ongoing evolution of the field. The workshop was first organized under its new name in 2006 in Greifswald, Germany. The main objective of this conference series is to provide a discussion forum for experts from research institutions and industry dealing with materials for plasma-facing components in present and future controlled fusion devices. The operation of ASDEX-Upgrade with tungsten-coated wall, the fast progress of the ITER-Like Wall Project at JET, the plans for the EAST tokamak to install tungsten, the start of ITER construction and a discussion about the wall material for DEMO all emphasize the importance of plasma-wall interactions and component behaviour, and give much momentum to the field. In this context, the properties and behaviour of beryllium, carbon and tungsten under plasma impact are research topics of foremost relevance and importance. Our community realizes both the enormous advantages and serious drawbacks of all the candidate materials. As a result, discussion is in progress as to whether to use carbon in ITER during the initial phase of operation or to abandon this element and use only metal components from the start. There is broad knowledge about carbon, both in terms of its excellent power-handling capabilities and the drawbacks related to chemical reactivity with fuel species and, as a consequence, about problems arising from fuel inventory and dust formation. We are learning continuously about beryllium and tungsten under fusion conditions, but our

  11. Material Challenges For Plasma Facing Components in Future Fusion Reactors

    International Nuclear Information System (INIS)

    Linke, J; Pintsuk, G.; Rödig, M.

    2013-01-01

    Increasing attention is directed towards thermonuclear fusion as a possible future energy source. Major advantages of this energy conversion technology are the almost inexhaustible resources and the option to produce energy without CO2-emissions. However, in the most advanced field of magnetic plasma confinement a number of technological challenges have to be met. In particular high-temperature resistant and plasma compatible materials have to be developed and qualified which are able to withstand the extreme environments in a commercial thermonuclear power reactor. The plasma facing materials (PFMs) and components (PFCs) in such fusion devices, i.e. the first wall (FW), the limiters and the divertor, are strongly affected by the plasma wall interaction processes and the applied intense thermal loads during plasma operation. On the one hand, these mechanisms have a strong influence on the plasma performance; on the other hand, they have major impact on the lifetime of the plasma facing armour. In present-day and next step devices the resulting thermal steady state heat loads to the first wall remain below 1 MWm-2; the limiters and the divertor are expected to be exposed to power densities being at least one order of magnitude above the FW-level, i.e. up to 20 MWm-2 for next step tokamaks such as ITER or DEMO. These requirements are responsible for high demands on the selection of qualified PFMs and heat sink materials as well as reliable fabrication processes for actively cooled plasma facing components. The technical solutions which are considered today are mainly based on the PFMs beryllium, carbon or tungsten joined to copper alloys or stainless steel heat sinks. In addition to the above mentioned quasi-stationary heat loads, short transient thermal pulses with deposited energy densities up to several tens of MJm-2 are a serious concern for next step tokamak devices. The most frequent events are so-called Edge Localized Modes (type I ELMs) and plasma disruptions

  12. Technologies for ITER divertor vertical target plasma facing components

    International Nuclear Information System (INIS)

    Schlosser, J.; Escourbiac, F.; Merola, M.; Fouquet, S.; Bayetti, P.; Cordier, J.J.; Grosman, A.; Missirlian, M.; Tivey, R.; Roedig, M.

    2005-01-01

    The ITER divertor vertical target has to sustain heat fluxes up to 20 MW m -2 . The concept developed for this plasma facing component working at steady state is based on carbon fibre composite armour for the lower straight part and tungsten for the curved upper part. The main challenges involved in the use of such components include the removal of the high heat fluxes deposited and mechanically and thermally joining the armour to the metallic heat sink, despite the mismatch in the thermal expansions. Two solutions based on the use of a CuCrZr hardened copper alloy and an active metal casting (AMC (registered) ) process were investigated during the ITER EDA phase: the first one called 'flat tile geometry' was mainly developed for the Tore Supra pumped limiter, the second one called 'monoblock geometry' was developed by the EU Participating Team for the ITER project. This paper presents a review of these two solutions and analyses their assets and drawbacks: pressure drop, critical heat flux, surface temperature and expected behaviour during operation, risks during the manufacture, control of the armour defects during the manufacture and at the reception, and the possibility of repairing defective tiles

  13. Elaboration of functionally graded materials for plasma facing components of the thermonuclear machines

    International Nuclear Information System (INIS)

    Autissier, Emmanuel

    2014-01-01

    The objective of this study was to develop a Functionally Graded Material (FGM) W/Cu to replace the compliance layer (Cu-OFHC) in the plasma facing components of thermonuclear fusion reactor like ITER. The peculiarity of this work is to elaborate these materials without exceeding the melting temperature of copper in order to control its microstructure. The co-sintering is the most attractive solution to achieve this goal. The first phase of this study has been to decrease the sintering temperature of the tungsten to achieve this co-sintering. The elaboration of a Functionally Graded Materials being delicate, thermomechanical calculations were performed in order to determine the number and chemical composition in order to increase the lifespan of Plasma Facing Components. Spark Plasma Sintering conditions were optimized in order to achieve maximum density of W x Cu 1-x composites. The effect of copper content and density of the W x Cu 1-x composites on thermal and mechanical properties was investigated. The SPS conditions were applied for W/CuCrZr assemblies with a compliance layer composed of several interlayers. The importance of time for the integrity of assemblies thereof has been highlighted. The study of the dwell time during W/CuCrZr assembly leads to identify a parameter to characterize the integrity of the interface regardless of the composition and the nature of the layer of compliance. Moreover, the phenomena associated with the formation of the interface assembly have been identified. The interface W/W x Cu 1-x is formed by the extrusion of the copper layer of the W x Cu 1-x inside the tungsten porosities. The W y Cu 1-y /CuCrZr interface is formed by copper migration of CuCrZr layer inside the W y Cu 1-y layer. Finally optimization assembly conditions showed that the mechanical stresses due to the densification of the Functionally Graded Materials can be limited by sintering the FGM before the assembly. (author)

  14. Thermal shock tests to qualify different tungsten grades as plasma facing material

    Science.gov (United States)

    Wirtz, M.; Linke, J.; Loewenhoff, Th; Pintsuk, G.; Uytdenhouwen, I.

    2016-02-01

    The electron beam device JUDITH 1 was used to establish a testing procedure for the qualification of tungsten as plasma facing material. Absorbed power densities of 0.19 and 0.38 GW m-2 for an edge localized mode-like pulse duration of 1 ms were chosen. Furthermore, base temperatures of room temperature, 400 °C and 1000 °C allow investigating the thermal shock performance in the brittle, ductile and high temperature regime. Finally, applying 100 pulses under all mentioned conditions helps qualifying the general damage behaviour while with 1000 pulses for the higher power density the influence of thermal fatigue is addressed. The investigated reference material is a tungsten product produced according to the ITER material specifications. The obtained results provide a general overview of the damage behaviour with quantified damage characteristics and thresholds. In particular, it is shown that the damage strongly depends on the microstructure and related thermo-mechanical properties.

  15. Plasma facing components design of KT-2 tokamak

    International Nuclear Information System (INIS)

    In, Sang Ryul; Yoon, Byung Joo; Song, Woo Soeb; Xu, Chao Yin

    1997-04-01

    The vacuum vessel of KT-2 tokamak is protected from high thermal loads by various kinds of plasma facing components (PFC): outer and inner divertors, neutral baffle, inboard limiter, poloidal limiter, movable limiter and passive plate, installed on the inner wall of the vessel. In this report the pre-engineering design of the plasma facing components, including design requirements and function, structures of PFC assemblies, configuration of cooling systems, calculations of some mechanical and hydraulic parameters, is presented. Pumping systems for the movable limiter and the divertor are also discussed briefly. (author). 49 figs

  16. Selection of plasma facing materials for ITER

    International Nuclear Information System (INIS)

    Ulrickson, M.; Barabash, V.; Chiocchio, S.

    1996-01-01

    ITER will be the first tokamak having long pulse operation using deuterium-tritium fuel. The problem of designing heat removal structures for steady state in a neutron environment is a major technical goal for the ITER Engineering Design Activity (EDA). The steady state heat flux specified for divertor components is 5 MW/m 2 for normal operation with transients to 15 MW/m 2 for up to 10 s. The selection of materials for plasma facing components is one of the major research activities. Three materials are being considered for the divertor; carbon fiber composites, beryllium, and tungsten. This paper discusses the relative advantages and disadvantages of these materials. The final section of plasma facing materials for the ITER divertor will not be made until the end of the EDA

  17. Tungsten: An option for divertor and main chamber plasma facing components in future fusion devices

    International Nuclear Information System (INIS)

    Neu, R.; Dux, R.; Kallenbach, A.; Maggi, C.F.; Puetterich, T.; Balden, M.; Eich, T.; Fuchs, J.C.; Gruber, O.; Herrmann, A.; Maier, H.; Mueller, H.W.; Pugno, R.; Radivojevic, I.; Rohde, V.; Sips, A.C.C.; Suttrop, W.; Ye, M.Y.; O'Mullane, M.; Whiteford, A.

    2005-01-01

    The tungsten programme in ASDEX Upgrade is pursued towards a full high-Z device. The spectroscopic diagnostic and the cooling factor of W have been extended and refined. The W-coated surfaces represent now a fraction of 65% (24.8 m2). The only two major components which are not yet coated are the strikepoint region of the lower divertor as well as the limiters at the low field side. While extending the W surfaces, the W concentration and the discharge behaviour have changed gradually pointing to critical issues when operating with a W wall: anomalous transport in the plasma centre should not be too low, otherwise neoclassical accumulation can occur. A very successful remedy is the addition of central RF heating at the 20-30% level. Regimes with low ELM activity show increased impurity concentration over the whole plasma radius. These discharges can be cured by increasing the ELM frequency through pellet ELM pacemaking or by higher heating power. Moderate gas puffing also mitigates the impurity influx and penetration, however at the expense of lower confinement. The erosion yield at the low field side guard limiter can be as high as 10 -3 and fast particle losses from NBI were identified to contribute a significant part to the W sputtering. Discharges run in the upper, W coated divertor do not show higher W concentrations than comparable discharges in the lower C-based divertor. (author)

  18. Evidences of trapping in tungsten and implications for plasma-facing components

    Science.gov (United States)

    Longhurst, G. R.; Anderl, R. A.; Holland, D. F.

    Trapping effects that include significant delays in permeation saturation, abrupt changes in permeation rate associated with temperature changes, and larger than expected inventories of hydrogen isotopes in the material, were seen in implantation-driven permeation experiments using 25- and 50-micron thick tungsten foils at temperatures of 638 to 825 K. Computer models that simulate permeation transients reproduce the steady-state permeation and reemission behavior of these experiments with expected values of material parameters. However, the transient time characteristics were not successfully simulated without the assumption of traps of substantial trap energy and concentration. An analytical model based on the assumptions of thermodynamic equilibrium between trapped hydrogen atoms and a comparatively low mobile atom concentration successfully accounts for the observed behavior. Using steady-state and transient permeation data from experiments at different temperatures, the effective trap binding energy may be inferred. We analyze a tungsten coated divertor plate design representative of those proposed for ITER and ARIES and consider the implications for tritium permeation and retention if the same trapping we observed was present in that tungsten. Inventory increases of several orders of magnitude may result.

  19. Tungsten transport in the plasma edge at ASDEX upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Janzer, Michael Arthur

    2015-04-30

    The Plasma Facing Components (PFC) will play a crucial role in future deuterium-tritium magnetically confined fusion power plants, since they will be subject to high energy and particle loads, but at the same time have to ensure long lifetimes and a low tritium retention. These requirements will most probably necessitate the use of high-Z materials such as tungsten for the wall materials, since their erosion properties are very benign and, unlike carbon, capture only little tritium. The drawback with high-Z materials is, that they emit strong line radiation in the core plasma, which acts as a powerful energy loss mechanism. Thus, the concentration of these high-Z materials has to be controlled and kept at low levels in order to achieve a burning plasma. Understanding the transport processes in the plasma edge is essential for applying the proper impurity control mechanisms. This control can be exerted either by enhancing the outflux, e.g. by Edge Localized Modes (ELM), since they are known to expel impurities from the main plasma, or by reducing the influx, e.g. minimizing the tungsten erosion or increasing the shielding effect of the Scrape Off Layer (SOL). ASDEX Upgrade (AUG) has been successfully operating with a full tungsten wall for several years now and offers the possibility to investigate these edge transport processes for tungsten. This study focused on the disentanglement of the frequency of type-I ELMs and the main chamber gas injection rate, two parameters which are usually linked in H-mode discharges. Such a separation allowed for the first time the direct assessment of the impact of each parameter on the tungsten concentration. The control of the ELM frequency was performed by adjusting the shape of the plasma, i.e. the upper triangularity. The radial tungsten transport was investigated by implementing a modulated tungsten source. To create this modulated source, the linear dependence of the tungsten erosion rate at the Ion Cyclotron Resonance

  20. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  1. Analysis of the interaction of deuterium plasmas with tungsten in the Fuego-Nuevo II device

    Science.gov (United States)

    Ramos, Gonzalo; Castillo, Fermín; Nieto, Martín; Martínez, Marco; Rangel, José; Herrera-Velázquez, Julio

    2012-10-01

    Tungsten is one of the main candidate materials for plasma-facing components in future fusion power plants. The Fuego-Nuevo II, a plasma focus device, which can produce dense magnetized helium and deuterium plasmas, has been adapted to address plasma-facing materials questions. In this paper we present results of tungsten targets exposed to deuterium plasmas in the Fuego Nuevo II device, using different experimental conditions. The plasma generated and accelerated in the coaxial gun is expected to have, before the pinch, energies of the order of hundreds eV and velocities of the order of 40,000 m s-1. At the pinch, the ions are reported to have energies of the order of 1.5 keV at most. The samples, analysed with a scanning electron microscope (SEM) in cross section show a damage profile to depths of the order of 580 nm, which are larger than those expected for ions with 1.5 keV, and may be evidence of ion acceleration. An analysis with the SRIM (Stopping Range of Ions in Matter) package calculations is shown.

  2. Hydrogen in tungsten as plasma-facing material

    Science.gov (United States)

    Roth, Joachim; Schmid, Klaus

    2011-12-01

    Materials facing plasmas in fusion experiments and future reactors are loaded with high fluxes (1020-1024 m-2 s-1) of H, D and T fuel particles at energies ranging from a few eV to keV. In this respect, the evolution of the radioactive T inventory in the first wall, the permeation of T through the armour into the coolant and the thermo-mechanical stability after long-term exposure are key parameters determining the applicability of a first wall material. Tungsten exhibits fast hydrogen diffusion, but an extremely low solubility limit. Due to the fast diffusion of hydrogen and the short ion range, most of the incident ions will quickly reach the surface and recycle into the plasma chamber. For steady-state operation the solute hydrogen for the typical fusion reactor geometry and wall conditions can reach an inventory of about 1 kg. However, in short-pulse operation typical of ITER, solute hydrogen will diffuse out after each pulse and the remaining inventory will consist of hydrogen trapped in lattice defects, such as dislocations, grain boundaries and irradiation-induced traps. In high-flux areas the hydrogen energies are too low to create displacement damage. However, under these conditions the solubility limit will be exceeded within the ion range and the formation of gas bubbles and stress-induced damage occurs. In addition, simultaneous neutron fluxes from the nuclear fusion reaction D(T,n)α will lead to damage in the materials and produce trapping sites for diffusing hydrogen atoms throughout the bulk. The formation and diffusive filling of these different traps will determine the evolution of the retained T inventory. This paper will concentrate on experimental evidence for the influence different trapping sites have on the hydrogen inventory in W as studied in ion beam experiments and low-temperature plasmas. Based on the extensive experimental data, models are validated and applied to estimate the contribution of different traps to the tritium inventory in

  3. Microscopic Motion of Liquid Metal Plasma Facing Components In A Diverted Plasma

    International Nuclear Information System (INIS)

    Jaworski, M.A.; Gerhardt, S.P.; Morley, N.B.; Abrams, T.; Kaita, R.; Kallman, J.; Kugel, H.; Majeski, R.; Ruzic, D.N.

    2010-01-01

    Liquid metal plasma facing components (PFCs) have been identified as an alternative material for fusion plasma experiments. The use of a liquid conductor where significant magnetic fields are present is considered risky, with the possibility of macroscopic fluid motion and possible ejection into the plasma core. Analysis is carried out on thermoelectric magnetohydrodynamic (TEMHD) forces caused by temperature gradients in the liquid-container system itself in addition to scrape-off-layer currents interacting with the PFC from a diverted plasma. Capillary effects at the liquid-container interface will be examined which govern droplet ejection criteria. Stability of the interface is determined using linear stability methods. In addition to application to liquidmetal PFCs, thin film liquidmetal effects have application to current and future devices where off-normal events may liquefy portions of the first wall and other plasma facing components.

  4. Investigation of Plasma Facing Components in Plasma Focus Operation

    Science.gov (United States)

    Roshan, M. V.; Babazadeh, A. R.; Kiai, S. M. Sadat; Habibi, H.; Mamarzadeh, M.

    2007-09-01

    Both aspects of the plasma-wall interactions, counter effect of plasma and materials, have been considered in our experiments. The AEOI plasma focus, Dena, has Filippov-type electrodes. The experimental results verify that neutron production increases using tungsten as an anode insert material, compared to the copper one. The experiments show decrement of the hardness of Aluminum targets outward the sides, from 135 to 78 in Vickers scale. The sputtering yield is about 0.0065 for deuteron energy of 50 keV.

  5. Interaction of plasma-facing materials with air and steam

    International Nuclear Information System (INIS)

    Druyts, F.; Fays, J.; Wu, C.H.

    2002-01-01

    In the design of ITER-FEAT, several candidate materials are foreseen for plasma-facing components of the divertor (tungsten, carbon fibre-reinforced composites (CFC), molybdenum) and the first wall (beryllium). In the view of accidental scenarios such as a loss of coolant accident or a loss of vacuum accident the reaction between these materials and steam or air remains a safety concern. To provide kinetic data, describing the chemical reactivity of plasma-facing materials in air and steam, we used coupled thermogravimetry/quadrupole mass spectrometry. In this paper we present the results of a screening investigation that compares the oxidation rates of tungsten, molybdenum, CFC and beryllium in the temperature range 300-700 deg. C. From the thermogravimetry and mass spectrometry results we obtained the reaction rates as a function of temperature. For the metals tungsten, molybdenum and beryllium, a transition is observed between protective oxidation at lower temperatures and non-protective oxidation at higher temperatures. This transition temperature lies in the range 500-550 deg. C for tungsten and molybdenum, which is lower than for beryllium. At above temperatures 550 deg. C, the oxides formed on molybdenum and tungsten volatilise. This increases the oxidation rate dramatically and can lead to mobilisation of activation products in a fusion reactor. We also performed experiments on both undoped CFC and CFC doped with 8-10% silicon. The influence of silicon doping on the chemical reactivity of CFC's in air is discussed

  6. A review of the US joining technologies for plasma facing components in the ITER fusion reactor

    International Nuclear Information System (INIS)

    Odegard, B.C. Jr.; Cadden, C.H.; Watson, R.D.; Slattery, K.T.

    1998-02-01

    This paper is a review of the current joining technologies for plasma facing components in the US for the International Thermonuclear Experimental Reactor (ITER) project. Many facilities are involved in this project. Many unique and innovative joining techniques are being considered in the quest to join two candidate armor plate materials (beryllium and tungsten) to a copper base alloy heat sink (CuNiBe, OD copper, CuCrZr). These techniques include brazing and diffusion bonding, compliant layers at the bond interface, and the use of diffusion barrier coatings and diffusion enhancing coatings at the bond interfaces. The development and status of these joining techniques will be detailed in this report

  7. Neutron irradiation effects on plasma facing materials

    Science.gov (United States)

    Barabash, V.; Federici, G.; Rödig, M.; Snead, L. L.; Wu, C. H.

    2000-12-01

    This paper reviews the effects of neutron irradiation on thermal and mechanical properties and bulk tritium retention of armour materials (beryllium, tungsten and carbon). For each material, the main properties affected by neutron irradiation are described and the specific tests of neutron irradiated armour materials under thermal shock and disruption conditions are summarized. Based on current knowledge, the expected thermal and structural performance of neutron irradiated armour materials in the ITER plasma facing components are analysed.

  8. Neutron irradiation effects on plasma facing materials

    International Nuclear Information System (INIS)

    Barabash, V.; Federici, G.; Roedig, M.; Snead, L.L.; Wu, C.H.

    2000-01-01

    This paper reviews the effects of neutron irradiation on thermal and mechanical properties and bulk tritium retention of armour materials (beryllium, tungsten and carbon). For each material, the main properties affected by neutron irradiation are described and the specific tests of neutron irradiated armour materials under thermal shock and disruption conditions are summarized. Based on current knowledge, the expected thermal and structural performance of neutron irradiated armour materials in the ITER plasma facing components are analysed

  9. Ultrafine tungsten as a plasma-facing component in fusion devices: effect of high flux, high fluence low energy helium irradiation

    International Nuclear Information System (INIS)

    El-Atwani, O.; Gonderman, Sean; Allain, J.P.; Efe, Mert; Klenosky, Daniel; Qiu, Tian; De Temmerman, Gregory; Morgan, Thomas; Bystrov, Kirill

    2014-01-01

    This work discusses the response of ultrafine-grained tungsten materials to high-flux, high-fluence, low energy pure He irradiation. Ultrafine-grained tungsten samples were exposed in the Pilot-PSI (Westerhout et al 2007 Phys. Scr. T128 18) linear plasma device at the Dutch Institute for Fundamental Energy Research (DIFFER) in Nieuwegein, the Netherlands. The He flux on the tungsten samples ranged from 1.0 × 10 23 –2.0 × 10 24  ions m −2  s −1 , the sample bias ranged from a negative (20–65) V, and the sample temperatures ranged from 600–1500 °C. SEM analysis of the exposed samples clearly shows that ultrafine-grained tungsten materials have a greater fluence threshold to the formation of fuzz by an order or magnitude or more, supporting the conjecture that grain boundaries play a major role in the mechanisms of radiation damage. Pre-fuzz damage analysis is addressed, as in the role of grain orientation on structure formation. Grains of (1 1 0) and (1 1 1) orientation showed only pore formation, while (0 0 1) oriented grains showed ripples (higher structures) decorated with pores. Blistering at the grain boundaries is also observed in this case. In situ TEM analysis during irradiation revealed facetted bubble formation at the grain boundaries likely responsible for blistering at this location. The results could have significant implications for future plasma-burning fusion devices given the He-induced damage could lead to macroscopic dust emission into the fusion plasma. (paper)

  10. Optimization of armour geometry and bonding techniques for tungsten-armoured high heat flux components

    International Nuclear Information System (INIS)

    Giniyatulin, R.N.; Komarov, V.L.; Kuzmin, E.G.; Makhankov, A.N.; Mazul, I.V.; Yablokov, N.A.; Zhuk, A.N.

    2002-01-01

    Joining of tungsten with copper-based cooling structure and armour geometry optimization are the major aspects in development of the tungsten-armoured plasma facing components (PFC). Fabrication techniques and high heat flux (HHF) tests of tungsten-armoured components have to reflect different PFC designs and acceptable manufacturing cost. The authors present the recent results of tungsten-armoured mock-ups development based on manufacturing and HHF tests. Two aspects were investigated--selection of armour geometry and examination of tungsten-copper bonding techniques. Brazing and casting tungsten-copper bonding techniques were used in small mock-ups. The mock-ups with armour tiles (20x5x10, 10x10x10, 20x20x10, 27x27x10) mm 3 in dimensions were tested by cyclic heat fluxes in the range of (5-20) MW/m 2 , the number of thermal cycles varied from hundreds to several thousands for each mock-up. The results of the tests show the applicability of different geometry and different bonding technique to corresponding heat loading. A medium-scale mock-up 0.6-m in length was manufactured and tested. HHF tests of the medium-scale mock-up have demonstrated the applicability of the applied bonding techniques and armour geometry for full-scale PFC's manufacturing

  11. Toward Tungsten Plasma-Facing Components in KSTAR: Research on Plasma-Metal Wall Interaction

    NARCIS (Netherlands)

    Hong, S. H.; Kim, K. M.; Song, J. H.; Bang, E. N.; Kim, H. T.; Lee, K. S.; Litnovsky, A.; Hellwig, M.; Seo, D. C.; van den Berg, M. A.; Lee, H. H.; Kang, C. S.; Lee, H. Y.; Hong, J. H.; Bak, J. G.; Kim, H. S.; Juhn, J. W.; Son, S. H.; Kim, H. K.; Douai, D.; Grisolia, C.; Wu, J.; Luo, G. N.; Choe, W. H.; Komm, M.; De Temmerman, G.; Pitts, R.

    2015-01-01

    One of the main missions of KSTAR is to develop long-pulse operation capability relevant to the production of fusion energy. After a full metal wall configuration was decided for ITER, a major upgrade for KSTAR was planned, to a tungsten first wall similar to the JET ITER-like wall (coatings and

  12. Plasma Facing Components Generic Facilities Review Panel (PFC-GFRP): Final report

    International Nuclear Information System (INIS)

    McGrath, R.; Allen, S.; Hill, D.; Brooks, J.; Mattas, R.; Davis, J.; Lipschultz, B.; Ulrickson, M.

    1993-10-01

    The Plasma Facing Components (PFC) Facilities Review Panel was chartered by the US Department of Energy, Office of Fusion Energy, ITER (International Thermonuclear Experimental Reactor) and Technology Division, to outline the program plan and identify the supporting test facilities that lead to reliable, long-lived plasma facing components for ITER. This report summarizes the panel's findings and identifies the necessary and sufficient set of test facilities required for ITER PFC development

  13. A study of plasma facing tungsten components with electrical discharge machined surface exposed to cyclic thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Seki, Yohji, E-mail: seki.yohji@jaea.go.jp; Ezato, Koichiro; Suzuki, Satoshi; Yokoyama, Kenji; Yamada, Hirokazu; Hirayama, Tomoyuki

    2016-11-01

    Through R&D for a plasma facing units (PFUs) in an outer vertical target of an ITER full-tungsten (W) divertor, Japan Atomic Energy Agency succeeded in demonstrating the durability of the W divertor shaped by an electrical discharge machining (EDM). To prevent melting of W armors in the PFUs, an adequate technology to meet requirements of a geometrical shape and a tolerance is one of the most important key issues in a manufacturing process. From the necessity, the EDM has been evaluated to control the final shape of the W armor. Though the EDM was known to be advantages such as an easy workability, a potential disadvantage of presence of micro-cracks on the W surface appeared. In order to examine a potential effect of the micro-crack on a heat removal durability, a high heat flux testing was carried out for the W divertor mock-up with the polish and the EDM. As the result, all of the W armors endured the repetitive heat load of 1000 cycles at an absorbed heat flux of more than 20 MW/m{sup 2}, which strongly encourages the realization of the PFUs of the ITER full-W divertor with the various geometrical shape and the high accuracy tolerance.

  14. A study of plasma facing tungsten components with electrical discharge machined surface exposed to cyclic thermal loads

    International Nuclear Information System (INIS)

    Seki, Yohji; Ezato, Koichiro; Suzuki, Satoshi; Yokoyama, Kenji; Yamada, Hirokazu; Hirayama, Tomoyuki

    2016-01-01

    Through R&D for a plasma facing units (PFUs) in an outer vertical target of an ITER full-tungsten (W) divertor, Japan Atomic Energy Agency succeeded in demonstrating the durability of the W divertor shaped by an electrical discharge machining (EDM). To prevent melting of W armors in the PFUs, an adequate technology to meet requirements of a geometrical shape and a tolerance is one of the most important key issues in a manufacturing process. From the necessity, the EDM has been evaluated to control the final shape of the W armor. Though the EDM was known to be advantages such as an easy workability, a potential disadvantage of presence of micro-cracks on the W surface appeared. In order to examine a potential effect of the micro-crack on a heat removal durability, a high heat flux testing was carried out for the W divertor mock-up with the polish and the EDM. As the result, all of the W armors endured the repetitive heat load of 1000 cycles at an absorbed heat flux of more than 20 MW/m"2, which strongly encourages the realization of the PFUs of the ITER full-W divertor with the various geometrical shape and the high accuracy tolerance.

  15. Effect of high-flux H/He plasma exposure on tungsten damage due to transient heat loads

    Czech Academy of Sciences Publication Activity Database

    De Temmerman, G.; Morgan, T.W.; van Eden, G.G.; de Kruif, T.; Wirtz, M.; Matějíček, Jiří; Chráska, Tomáš; Pitts, R.A.; Wright, G.M.

    2015-01-01

    Roč. 463, August (2015), s. 198-201 ISSN 0022-3115. [PLASMA-SURFACE INTERACTIONS 21: International Conference on Plasma-Surface Interactions in Controlled Fusion Devices. Kanazawa, 26.05.2014-30.05.2014] R&D Projects: GA ČR(CZ) GA14-12837S Institutional support: RVO:61389021 Keywords : plasma-facing components * tungsten * hydrogen * helium * ELM Subject RIV: JF - Nuclear Energetics OBOR OECD: Nuclear related engineering Impact factor: 2.199, year: 2015 http://www.sciencedirect.com/science/article/pii/S0022311514006758#

  16. 2D surface temperature measurement of plasma facing components with modulated active pyrometry

    International Nuclear Information System (INIS)

    Amiel, S.; Loarer, T.; Pocheau, C.; Roche, H.; Gauthier, E.; Aumeunier, M.-H.; Courtois, X.; Jouve, M.; Balorin, C.; Moncada, V.; Le Niliot, C.; Rigollet, F.

    2014-01-01

    In nuclear fusion devices, such as Tore Supra, the plasma facing components (PFC) are in carbon. Such components are exposed to very high heat flux and the surface temperature measurement is mandatory for the safety of the device and also for efficient plasma scenario development. Besides this measurement is essential to evaluate these heat fluxes for a better knowledge of the physics of plasma-wall interaction, it is also required to monitor the fatigue of PFCs. Infrared system (IR) is used to manage to measure surface temperature in real time. For carbon PFCs, the emissivity is high and known (ε ∼ 0.8), therefore the contribution of the reflected flux from environment and collected by the IR cameras can be neglected. However, the future tokamaks such as WEST and ITER will be equipped with PFCs in metal (W and Be/W, respectively) with low and variable emissivities (ε ∼ 0.1–0.4). Consequently, the reflected flux will contribute significantly in the collected flux by IR camera. The modulated active pyrometry, using a bicolor camera, proposed in this paper allows a 2D surface temperature measurement independently of the reflected fluxes and the emissivity. Experimental results with Tungsten sample are reported and compared with simultaneous measurement performed with classical pyrometry (monochromatic and bichromatic) with and without reflective flux demonstrating the efficiency of this method for surface temperature measurement independently of the reflected flux and the emissivity

  17. Development of advanced high heat flux and plasma-facing materials

    Science.gov (United States)

    Linsmeier, Ch.; Rieth, M.; Aktaa, J.; Chikada, T.; Hoffmann, A.; Hoffmann, J.; Houben, A.; Kurishita, H.; Jin, X.; Li, M.; Litnovsky, A.; Matsuo, S.; von Müller, A.; Nikolic, V.; Palacios, T.; Pippan, R.; Qu, D.; Reiser, J.; Riesch, J.; Shikama, T.; Stieglitz, R.; Weber, T.; Wurster, S.; You, J.-H.; Zhou, Z.

    2017-09-01

    Plasma-facing materials and components in a fusion reactor are the interface between the plasma and the material part. The operational conditions in this environment are probably the most challenging parameters for any material: high power loads and large particle and neutron fluxes are simultaneously impinging at their surfaces. To realize fusion in a tokamak or stellarator reactor, given the proven geometries and technological solutions, requires an improvement of the thermo-mechanical capabilities of currently available materials. In its first part this article describes the requirements and needs for new, advanced materials for the plasma-facing components. Starting points are capabilities and limitations of tungsten-based alloys and structurally stabilized materials. Furthermore, material requirements from the fusion-specific loading scenarios of a divertor in a water-cooled configuration are described, defining directions for the material development. Finally, safety requirements for a fusion reactor with its specific accident scenarios and their potential environmental impact lead to the definition of inherently passive materials, avoiding release of radioactive material through intrinsic material properties. The second part of this article demonstrates current material development lines answering the fusion-specific requirements for high heat flux materials. New composite materials, in particular fiber-reinforced and laminated structures, as well as mechanically alloyed tungsten materials, allow the extension of the thermo-mechanical operation space towards regions of extreme steady-state and transient loads. Self-passivating tungsten alloys, demonstrating favorable tungsten-like plasma-wall interaction behavior under normal operation conditions, are an intrinsic solution to otherwise catastrophic consequences of loss-of-coolant and air ingress events in a fusion reactor. Permeation barrier layers avoid the escape of tritium into structural and cooling

  18. Design of the ITER Plasma-Facing Components

    Energy Technology Data Exchange (ETDEWEB)

    Merola, M.

    2009-07-01

    The ITER plasma-facing components cover an area of about 850 m{sup 2} and consist of the Divertor, the Blanket and the Test Blanket Modules (TBMs) with their corresponding frames. The Divertor is located at the bottom of the plasma chamber and is aimed at exhausting the major part of the plasma thermal power (including alpha power) and at minimizing the helium and impurity content in the plasma. It consists of 54 cassette assemblies. Each assembly has 3 plasma-facing components (PFCs), namely the inner and outer target and the dome, which are mounted onto a steel support structure, the cassette body. The targets directly intercept the magnetic field lines and are designed to withstand heat fluxes as high as 20 MW/m{sup 2}. CFC is the reference design solution for the armour of the lower part of the targets. However, the resultant high erosion rate could potentially limit machine operation in the DT phase (due to co-deposition with T). Therefore, prior to the DT phase, the divertor PFCs will be replaced with a new set entirely covered with W armour. The Divertor is a RH Class 1 component, which is planned to be replaced 3 times during the 20 years of the ITER operation. The construction phase of the ITER Divertor is being launched. The Blanket covers the largest fraction of the plasma-facing surface. Each of the 440 Blanket modules consists of a first wall (FW) panel, which is mechanically attached onto a Shield Module (SM). The design heat flux is set up to 1 or 5 MW/m{sup 2}. The FW panels are covered by Be tiles, which are joined onto a copper alloy (CuCrZr) heat sink, which is in turn intimately joined onto a 316L(N) stainless steel part. The SM is a block of 316L(N)-IG steel, where an array of cooling channels are obtained by machining and welding. The TBMs are mock-ups of DEMO breeding blankets. There are three ITER equatorial ports devoted to TBM testing, each of them allocating two TBMs, inserted in a thick steel frame. The frame is a water-cooled 316L

  19. Effect of disruptions on plasma-facing components

    International Nuclear Information System (INIS)

    Gilligan, J.G.; Bourham, M.A.; Tucker, E.C.

    1995-01-01

    Erosion of plasma-facing components during disruptions is a limiting factor in the design of large tokamaks like ITER. During a disruption, much of the stored thermal energy of the plasma will be dumped onto divertor plates, resulting in local heat fluxes, which may exceed 100 GW/m 2 over a period of about 0.1--1.0 msec. Melted and/or vaporized material is produced which is redistributed in the divertor region. Simulation of disruption damage is summarized from code results and from experimental exposure of materials to high heat-flux plasmas in plasma guns. In the US several codes have been used to predict both melt/vaporization and heat transfer on surfaces as well as energy and momentum transport in the vapor/plasma shield produced at the surface

  20. Crystal orientation effects on helium ion depth distributions and adatom formation processes in plasma-facing tungsten

    International Nuclear Information System (INIS)

    Hammond, Karl D.; Wirth, Brian D.

    2014-01-01

    We present atomistic simulations that show the effect of surface orientation on helium depth distributions and surface feature formation as a result of low-energy helium plasma exposure. We find a pronounced effect of surface orientation on the initial depth of implanted helium ions, as well as a difference in reflection and helium retention across different surface orientations. Our results indicate that single helium interstitials are sufficient to induce the formation of adatom/substitutional helium pairs under certain highly corrugated tungsten surfaces, such as (1 1 1)-orientations, leading to the formation of a relatively concentrated layer of immobile helium immediately below the surface. The energies involved for helium-induced adatom formation on (1 1 1) and (2 1 1) surfaces are exoergic for even a single adatom very close to the surface, while (0 0 1) and (0 1 1) surfaces require two or even three helium atoms in a cluster before a substitutional helium cluster and adatom will form with reasonable probability. This phenomenon results in much higher initial helium retention during helium plasma exposure to (1 1 1) and (2 1 1) tungsten surfaces than is observed for (0 0 1) or (0 1 1) surfaces and is much higher than can be attributed to differences in the initial depth distributions alone. The layer thus formed may serve as nucleation sites for further bubble formation and growth or as a source of material embrittlement or fatigue, which may have implications for the formation of tungsten “fuzz” in plasma-facing divertors for magnetic-confinement nuclear fusion reactors and/or the lifetime of such divertors.

  1. Operation of ASDEX Upgrade with tungsten coated walls

    International Nuclear Information System (INIS)

    Rohde, V.

    2002-01-01

    An alternative for low-Z materials in the main chamber of a future fusion device are high-Z materials, but the maximal tolerable concentration in the plasma core is restricted. A step by step approach to employ tungsten at the central column of ASDEX Upgrade was started in 1999. Meanwhile almost the whole central column is covered with tiles, which were coated by PVD with tungsten. Up to now 9000 s of plasma discharge covering all relevant scenarios were performed. Routine operation of ASDEX Upgrade was not affected by the tungsten. Typical concentrations below 10 -5 were found. The tungsten concentration is mostly connected to the transport into the core plasma, not to the tungsten erosion. It can be demonstrated, that additional central heating can eliminate the tungsten accumulation. These experiments demonstrate the compatibility of fusion plasmas with W plasma facing components under reactor relevant conditions. The erosion pattern found by post mortem analysis indicates that the main effect is ion sputtering. The main erosion of tungsten seems to occur during plasma ramp-up and ramp-down. (author)

  2. Prediction for disruption erosion of ITER plasma facing components; a comparison of experimental and numerical results

    International Nuclear Information System (INIS)

    Laan, J.G. van der; Akiba, M.; Seki, M.; Hassanein, A.; Tanchuk, V.

    1991-01-01

    An evaluation is given for the prediction for disruption erosion in the International Thermonuclear Engineering Reactor (ITER). At first, a description is given of the relation between plasma operating paramters and system dimensions to the predictions of loading parameters of Plasma Facing Components (PFC) in off-normal events. Numerical results from ITER parties on the prediction of disruption erosion are compared for a few typical cases and discussed. Apart from some differences in the codes, the observed discrepancies can be ascribed to different input data of material properties and boundary conditions. Some physical models for vapour shielding and their effects on numerical results are mentioned. Experimental results from ITER parties, obtained with electron and laser beams, are also compared. Erosion rates for the candidate ITER PFC materials are shown to depend very strongly on the energy deposition parameters, which are based on plasma physics considerations, and on the assumed material loss mechanisms. Lifetimes estimates for divertor plate and first wall armour are given for carbon, tungsten and beryllium, based on the erosion in the thermal quench phase. (orig.)

  3. Effects of fusion relevant transient energetic radiation, plasma and thermal load on PLANSEE double forged tungsten samples in a low-energy plasma focus device

    Science.gov (United States)

    Javadi, S.; Ouyang, B.; Zhang, Z.; Ghoranneviss, M.; Salar Elahi, A.; Rawat, R. S.

    2018-06-01

    Tungsten is the leading candidate for plasma facing component (PFC) material for thermonuclear fusion reactors and various efforts are ongoing to evaluate its performance or response to intense fusion relevant radiation, plasma and thermal loads. This paper investigates the effects of hot dense decaying pinch plasma, highly energetic deuterium ions and fusion neutrons generated in a low-energy (3.0 kJ) plasma focus device on the structure, morphology and hardness of the PLANSEE double forged tungsten (W) samples surfaces. The tungsten samples were provided by Forschungszentrum Juelich (FZJ), Germany via International Atomic Energy Agency, Vienna, Austria. Tungsten samples were irradiated using different number of plasma focus (PF) shots (1, 5 and 10) at a fixed axial distance of 5 cm from the anode top and also at various distances from the top of the anode (5, 7, 9 and 11 cm) using fixed number (5) of plasma focus shots. The virgin tungsten sample had bcc structure (α-W phase). After PF irradiation, the XRD analysis showed (i) the presence of low intensity new diffraction peak corresponding to β-W phase at (211) crystalline plane indicating the partial structural phase transition in some of the samples, (ii) partial amorphization, and (iii) vacancy defects formation and compressive stress in irradiated tungsten samples. Field emission scanning electron microscopy showed the distinctive changes to non-uniform surface with nanometer sized particles and particle agglomerates along with large surface cracks at higher number of irradiation shots. X-ray photoelectron spectroscopy analysis demonstrated the reduction in relative tungsten oxide content and the increase in metallic tungsten after irradiation. Hardness of irradiated samples initially increased for one shot exposure due to reduction in tungsten oxide phase, but then decreased with increasing number of shots due to increasing concentration of defects. It is demonstrated that the plasma focus device provides

  4. A fatigue lifetime assessment of WEST ITER Like Plasma Facing Unit

    International Nuclear Information System (INIS)

    Languille, P.; Missirlian, M.; Guilhem, D.; Ferlay, F.; Batal, T.; Bucalossi, J.; Firdaouss, M.; Larroque, S.; Martinez, A.; Richou, M.

    2016-01-01

    Highlights: • ITER plasma facing component divertor technology is integrated in WEST. • ITER Like attachments in WEST has been optimised. • The ITER Like PFU is compatible with a wide range of plasma scenarios. - Abstract: Based on a monoblock concept (e.g. a tube-in-tile concept), each elementary tungsten plasma facing component (called Plasma-Facing Unit PFU) of the WEST lower divertor follows as closely as possible the same monoblock geometry, materials and bonding technology that is envisaged for ITER. A fatigue simulation of W PFU was used to validate its specific integration into WEST. The complex design, the material heterogeneities and the usage outside operational load design envelope are all possible causes of fatigue failure. This paper shows how the ITER like monoblocks and its U-shaped attachments technology are integrated into the WEST divertor by performing finite element analysis. The WEST lower divertor is designed to withstand 15 MW steady-state of injected power, with peaked heat fluxes up to 20 MW/m 2 . The integration and the design choices of a WEST ITER Like Plasma Facing Unit inside the WEST vacuum chamber is valid for an “expected life time” of repeated inter ELMs thermal steady state (>10 s) cycles and for 300 off-normal vertical displacement events.

  5. A fatigue lifetime assessment of WEST ITER Like Plasma Facing Unit

    Energy Technology Data Exchange (ETDEWEB)

    Languille, P., E-mail: pascal.languille@gmail.com; Missirlian, M.; Guilhem, D.; Ferlay, F.; Batal, T.; Bucalossi, J.; Firdaouss, M.; Larroque, S.; Martinez, A.; Richou, M.

    2016-11-01

    Highlights: • ITER plasma facing component divertor technology is integrated in WEST. • ITER Like attachments in WEST has been optimised. • The ITER Like PFU is compatible with a wide range of plasma scenarios. - Abstract: Based on a monoblock concept (e.g. a tube-in-tile concept), each elementary tungsten plasma facing component (called Plasma-Facing Unit PFU) of the WEST lower divertor follows as closely as possible the same monoblock geometry, materials and bonding technology that is envisaged for ITER. A fatigue simulation of W PFU was used to validate its specific integration into WEST. The complex design, the material heterogeneities and the usage outside operational load design envelope are all possible causes of fatigue failure. This paper shows how the ITER like monoblocks and its U-shaped attachments technology are integrated into the WEST divertor by performing finite element analysis. The WEST lower divertor is designed to withstand 15 MW steady-state of injected power, with peaked heat fluxes up to 20 MW/m{sup 2}. The integration and the design choices of a WEST ITER Like Plasma Facing Unit inside the WEST vacuum chamber is valid for an “expected life time” of repeated inter ELMs thermal steady state (>10 s) cycles and for 300 off-normal vertical displacement events.

  6. Overview of decade-long development of plasma-facing components at ASIPP

    Science.gov (United States)

    Luo, G.-N.; Liu, G. H.; Li, Q.; Qin, S. G.; Wang, W. J.; Shi, Y. L.; Xie, C. Y.; Chen, Z. M.; Missirlian, M.; Guilhem, D.; Richou, M.; Hirai, T.; Escourbiac, F.; Yao, D. M.; Chen, J. L.; Wang, T. J.; Bucalossi, J.; Merola, M.; Li, J. G.; EAST Team

    2017-06-01

    The first EAST (Experimental Advanced Superconducting Tokamak) plasma ignited in 2006 with non-actively cooled steel plates as the plasma-facing materials and components (PFMCs) which were then upgraded into full graphite tiles bolted onto water-cooled copper heat sinks in 2008. The first wall was changed further into molybdenum alloy in 2012, while keeping the graphite for both the upper and lower divertors. With the rapid increase in heating and current driving power in EAST, the W/Cu divertor project was launched around the end of 2012, aiming at achieving actively cooled full W/Cu-PFCs for the upper divertor, with heat removal capability up to 10 MW m-2. The W/Cu upper divertor was finished in the spring of 2014, consisting of 80 cassette bodies toroidally assembled. Commissioning of the EAST upper W/Cu divertor in 2014 was unsatisfactory and then several practical measures were implemented to improve the design, welding quality and reliability, which helped us achieve successful commissioning in the 2015 Spring Campaign. In collaboration with the IO and CEA teams, we have demonstrated our technological capability to remove heat loads of 5000 cycles at 10 MW m-2 and 1000 cycles at 20 MW m-2 for the small scale monoblock mockups, and surprisingly over 300 cycles at 20 MW m-2 for the flat-tile ones. The experience and lessons we learned from batch production and commissioning are undoubtedly valuable for ITER (International Thermonuclear Experimental Reactor) engineering validation and tungsten-related plasma physics.

  7. Heat loads on plasma facing components during disruptions on JET

    International Nuclear Information System (INIS)

    Arnoux, G.; Riccardo, V.; Fundamenski, W.; Loarte, A.; Huber, A.

    2009-01-01

    For the first time, fast measurements of heat loads on the main chamber plasma facing components (about 1 ms time resolution) during disruptions are taken on JET. The timescale of energy deposition during the thermal quench is estimated and compared with the timescale of the core plasma collapse measured with soft x-ray diagnostic. The energy deposition time is 3-8 times longer than the plasma energy collapse during density limit disruptions or radiative limit disruptions. This factor is rather in the range 1.5-4 for vertical displacement events. The heat load profiles measured during the thermal quench show substantial broadening of the power footprint on the upper dump plate. The scrape-off layer power width is increased by a factor of 3 for the density limit disruptions. The far scrape-off layer is characterized by a steeper gradient which could be explained by shadowing of the dump plate by other main chamber plasma facing components such as the outer limiter.

  8. Interaction of stochastic boundary layer with plasma facing components

    International Nuclear Information System (INIS)

    Nguyen, F.; Ghendrih, P.; Grosman, A.

    1997-01-01

    To alleviate the plasma-wall interaction problems in magnetic confinement devices, a stochastic layer is used at the edge of the Tore Supra tokamak (ergodic divertor). A very important point is to determine the power deposition on the plasma facing components. Two different kinds of transport can be identified in such a configuration: Stochastic transport surrounding the confined plasma, with a random walk process, and scrape-off layer (SOL) like transport, a laminar transport, near the plasma facing components. The laminar regime is investigated in terms of a simple criterion, namely that the power deposition is proportional to the radial penetration of the laminar zone flux tubes over a finite parallel length. The magnetic connection properties of the first wall components are then determined. The connection lengths are quantified with two characteristic scales. The larger corresponds to one poloidal turn and appears to be the characteristic parallel length for laminar transport. A field line tracing code MASTOC (magnetic stochastic configuration) is used to computer the complex topology and the statistics of the connection in the real tokamak geometry. The numerical simulations are then compared with the experimental heat deposition on the modules and neutralizer plates of the Tore Supra ergodic divertor. Good agreement is found. Further evidence of laminar transport is also provided by the tangential view of such structures revealed from H α structures in detached plasma experiments. (author). 27 refs, 14 figs

  9. Modelling deuterium release from tungsten after high flux high temperature deuterium plasma exposure

    Energy Technology Data Exchange (ETDEWEB)

    Grigorev, Petr, E-mail: grigorievpit@gmail.com [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, Mol, 2400 (Belgium); Ghent University, Applied Physics EA17 FUSION-DC, St. Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Department of Experimental Nuclear Physics K-89, Institute of Physics, Nanotechnologies, and Telecommunications, Peter the Great St. Petersburg Polytechnic University, St. Petersburg (Russian Federation); Matveev, Dmitry [Institute of Energy and Climate Research – Plasma Physics, Forschungszentrum Jülich GmbH, Trilateral Euregio Cluster, 52425, Jülich (Germany); Bakaeva, Anastasiia [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, Mol, 2400 (Belgium); Department of Applied Physics, Ghent University (Belgium); Terentyev, Dmitry [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, Mol, 2400 (Belgium); Zhurkin, Evgeny E. [Department of Experimental Nuclear Physics K-89, Institute of Physics, Nanotechnologies, and Telecommunications, Peter the Great St. Petersburg Polytechnic University, St. Petersburg (Russian Federation); Van Oost, Guido [Ghent University, Applied Physics EA17 FUSION-DC, St. Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Noterdaeme, Jean-Marie [Ghent University, Applied Physics EA17 FUSION-DC, St. Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Max-Planck-Institut für Plasmaphysik, Garching (Germany)

    2016-12-01

    Tungsten is a primary candidate for plasma facing materials for future fusion devices. An important safety concern in the design of plasma facing components is the retention of hydrogen isotopes. Available experimental data is vast and scattered, and a consistent physical model of retention of hydrogen isotopes in tungsten is still missing. In this work we propose a model of non-equilibrium hydrogen isotopes trapping under fusion relevant plasma exposure conditions. The model is coupled to a diffusion-trapping simulation tool and is used to interpret recent experiments involving high plasma flux exposures. From the computational analysis performed, it is concluded that high flux high temperature exposures (T = 1000 K, flux = 10{sup 24} D/m{sup 2}/s and fluence of 10{sup 26} D/m{sup 2}) result in generation of sub-surface damage and bulk diffusion, so that the retention is driven by both sub-surface plasma-induced defects (bubbles) and trapping at natural defects. On the basis of the non-equilibrium trapping model we have estimated the amount of H stored in the sub-surface region to be ∼10{sup −5} at{sup −1}, while the bulk retention is about 4 × 10{sup −7} at{sup −1}, calculated by assuming the sub-surface layer thickness of about 10 μm and adjusting the trap concentration to comply with the experimental results for the integral retention.

  10. Influence of boronization on operation with high-Z plasma facing components in Alcator C-Mod

    International Nuclear Information System (INIS)

    Lipschultz, B.; Lin, Y.; Marmar, E.S.; Whyte, D.G.; Wukitch, S.; Hutchinson, I.H.; Irby, J.; LaBombard, B.; Reinke, M.L.; Terry, J.L.; Wright, G.

    2007-01-01

    We report the results of operation of Alcator C-Mod with all high-Z molybdenum plasma facing component (PFC) surfaces. Without boron-coated PFCs energy confinement was poor (H ITER,89 ∼ 1) due to high core molybdenum (n Mo /n e ≤ 0.1%) and radiation. After applying boron coatings, n Mo /n e was reduced by a factor of 10-20 with H ITER,89 approaching 2. Results of between-discharge boronization, localized at various major radii, point towards important molybdenum source regions being small, outside the divertor, and due to RF-sheath-rectification. Boronization also has a significant effect on the plasma startup phase lowering Z eff , radiation, and lowering the runaway electron damage. The requirement of low-Z coatings over at least a fraction of the Mo PFCs in C-Mod for best performance together with the larger than expected D retention in Mo, give impetus for further high-Z PFC investigations to better predict the performance of un-coated tungsten surfaces in ITER and beyond

  11. Ultrasonic techniques for quality assessment of ITER Divertor plasma facing component

    International Nuclear Information System (INIS)

    Martinez-Ona, Rafael; Garcia, Monica; Medrano, Mercedes

    2009-01-01

    The divertor is one of the most challenging components of ITER machine. Its plasma facing components contain thousands of joints that should be assessed to demonstrate their integrity during the required lifetime. Ultrasonic (US) techniques have been developed to study the capability of defect detection and to control the quality and degradation of these interfaces after the manufacturing process. Three types of joints made of carbon fibre composite to copper alloy, tungsten to copper alloy, and copper-to-copper alloy with two types of configurations have been studied. More than 100 samples representing these configurations and containing implanted flaws of different sizes have been examined. US techniques developed are detailed and results of validation samples examination before and after high heat flux (HHF) tests are presented. The results show that for W monoblocks the US technique is able to detect, locate and size the degradations in the two sample joints; for CFC monoblocks, the US technique is also able to detect, locate and size the calibrated defects in the two joints before the HHF, however after the HHF test the technique is not able to reliably detect defects in the CFC/Cu joint; finally, for the W flat tiles the US technique is able to detect, locate and size the calibrated defects in the two joints before HHF test, nevertheless defect location and sizing are more difficult after the HHF test.

  12. Overview of processing technologies for tungsten-steel composites and FGMs for fusion applications

    Directory of Open Access Journals (Sweden)

    Matějíček Jiří

    2015-06-01

    Full Text Available Tungsten is a prime candidate material for the plasma-facing components in future fusion devices, e.g. ITER and DEMO. Because of the harsh and complex loading conditions and the differences in material properties, joining of the tungsten armor to the underlying construction and/or cooling parts is a complicated issue. To alleviate the thermal stresses at the joint, a sharp interface may be replaced by a gradual one with a smoothly varying composition. In this paper, several techniques for the formation of tungsten-steel composites and graded layers are reviewed. These include plasma spraying, laser cladding, hot pressing and spark plasma sintering. Structure, composition and selected thermal and mechanical properties of representative layers produced by each of these techniques are presented. A summary of advantages and disadvantages of the techniques and an assessment of their suitability for the production of plasma-facing components is provided.

  13. Manufacturing technology development for vacuum vessel and plasma facing components

    International Nuclear Information System (INIS)

    Laitinen, Arttu; Liimatainen, Jari; Hallila, Pentti

    2005-01-01

    Vacuum vessel and plasma facing components of the ITER construction including shield modules and primary first wall panels have great impact on the production costs and reliability of the installation. From the manufacturing technology point of view, accuracy of shape, properties of the various austenitic stainless steel/austenitic stainless steel interfaces or CuCrZr/austenitic stainless steel interfaces as well as those of the base materials are crucial for technical reliability of the construction. The current approach in plasma facing components has been utilisation of solid-HIP technology and solid-powder-HIP technology. Due to the large size of especially shield modules shape, control of the internal cavities and cooling channels is extremely demanding. This requires strict control of the raw materials and manufacturing parameters

  14. Tungsten dust remobilization under steady-state and transient plasma conditions

    Directory of Open Access Journals (Sweden)

    S. Ratynskaia

    2017-08-01

    Full Text Available Remobilization is one of the most prominent unresolved fusion dust-relevant issues, strongly related to the lifetime of dust in plasma-wetted regions, the survivability of dust on hot plasma-facing surfaces and the formation of dust accumulation sites. A systematic cross-machine study has been initiated to investigate the remobilization of tungsten micron-size dust from tungsten surfaces implementing a newly developed technique based on controlled pre-adhesion by gas dynamics methods. It has been utilized in a number of devices and has provided new insights on remobilization under steady-state and transient conditions. The experiments are interpreted with contact mechanics theory and heat conduction models.

  15. The heat removal capability of actively cooled plasma-facing components for the ITER divertor

    Science.gov (United States)

    Missirlian, M.; Richou, M.; Riccardi, B.; Gavila, P.; Loarer, T.; Constans, S.

    2011-12-01

    Non-destructive examination followed by high-heat-flux testing was performed for different small- and medium-scale mock-ups; this included the most recent developments related to actively cooled tungsten (W) or carbon fibre composite (CFC) armoured plasma-facing components. In particular, the heat-removal capability of these mock-ups manufactured by European companies with all the main features of the ITER divertor design was investigated both after manufacturing and after thermal cycling up to 20 MW m-2. Compliance with ITER requirements was explored in terms of bonding quality, heat flux performances and operational compatibility. The main results show an overall good heat-removal capability after the manufacturing process independent of the armour-to-heat sink bonding technology and promising behaviour with respect to thermal fatigue lifetime under heat flux up to 20 MW m-2 for the CFC-armoured tiles and 15 MW m-2 for the W-armoured tiles, respectively.

  16. The heat removal capability of actively cooled plasma-facing components for the ITER divertor

    International Nuclear Information System (INIS)

    Missirlian, M; Richou, M; Loarer, T; Riccardi, B; Gavila, P; Constans, S

    2011-01-01

    Non-destructive examination followed by high-heat-flux testing was performed for different small- and medium-scale mock-ups; this included the most recent developments related to actively cooled tungsten (W) or carbon fibre composite (CFC) armoured plasma-facing components. In particular, the heat-removal capability of these mock-ups manufactured by European companies with all the main features of the ITER divertor design was investigated both after manufacturing and after thermal cycling up to 20 MW m - 2. Compliance with ITER requirements was explored in terms of bonding quality, heat flux performances and operational compatibility. The main results show an overall good heat-removal capability after the manufacturing process independent of the armour-to-heat sink bonding technology and promising behaviour with respect to thermal fatigue lifetime under heat flux up to 20 MW m - 2 for the CFC-armoured tiles and 15 MW m - 2 for the W-armoured tiles, respectively.

  17. Net erosion measurements on plasma facing components of Tore Supra

    International Nuclear Information System (INIS)

    Tsitrone, E.; Chappuis, P.; Corre, Y.; Gauthier, E.; Grosman, A.; Pascal, J.Y.

    2001-01-01

    Erosion of the plasma facing components is a crucial point of investigation in long pulse operation of future fusion devices. Therefore erosion measurements have been undertaken in the Tore Supra tokamak. After each experimental campaign, different plasma facing components have been monitored in situ by non-destructive means, in order to evaluate their net erosion following a long plasma exposure. This paper presents the results obtained over three experimental campaigns on the Tore Supra ergodic divertor B 4 C-coated neutralisers and CFC Langmuir probes. The erosion on the Langmuir probes after one year of plasma exposure can reach 100 μm, leading to an effective erosion coefficient of around 5x10 -3 to 10 -2 , in reasonable agreement with values found on other tokamaks. The erosion of the ergodic divertor neutraliser plates is lower (10 μm). This is coherent with the attenuated particle flux due to a lower incidence angle, and might also be due to some surface temperature effect, since the neutralisers are actively cooled while the Langmuir probes are not. Moreover, the profile along the neutraliser shows net erosion in zones wetted by the plasma and net redeposition in shadowed zones

  18. Analysis of singular interface stresses in dissimilar material joints for plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    You, J.H. E-mail: jeong-ha.you@ipp.mpg.de; Bolt, H

    2001-10-01

    Duplex joint structures are typical material combinations for the actively cooled plasma facing components of fusion devices. The structural integrity under the incident heat loads from the plasma is one of the most crucial issues in the technology of these components. The most critical domain in a duplex joint component is the free surface edge of the bond interface between heterogeneous materials. This is due to the fact that the thermal stress usually shows a singular intensification in this region. If the plasma facing armour tile consists of a brittle material, the existence of the stress singularity can be a direct cause of failure. The present work introduces a comprehensive analytical tool to estimate the impact of the stress singularity for duplex PFC design and quantifies the relative stress intensification in various materials joints by use of a model formulated by Munz and Yang. Several candidate material combinations of plasma facing armour and metallic heat sink are analysed and the results are compared with each other.

  19. Analysis of singular interface stresses in dissimilar material joints for plasma facing components

    International Nuclear Information System (INIS)

    You, J.H.; Bolt, H.

    2001-01-01

    Duplex joint structures are typical material combinations for the actively cooled plasma facing components of fusion devices. The structural integrity under the incident heat loads from the plasma is one of the most crucial issues in the technology of these components. The most critical domain in a duplex joint component is the free surface edge of the bond interface between heterogeneous materials. This is due to the fact that the thermal stress usually shows a singular intensification in this region. If the plasma facing armour tile consists of a brittle material, the existence of the stress singularity can be a direct cause of failure. The present work introduces a comprehensive analytical tool to estimate the impact of the stress singularity for duplex PFC design and quantifies the relative stress intensification in various materials joints by use of a model formulated by Munz and Yang. Several candidate material combinations of plasma facing armour and metallic heat sink are analysed and the results are compared with each other

  20. Fuel Retention Improvement at High Temperatures in Tungsten-Uranium Dioxide Dispersion Fuel Elements by Plasma-Spray Cladding

    Science.gov (United States)

    Grisaffe, Salvatore J.; Caves, Robert M.

    1964-01-01

    An investigation was undertaken to determine the feasibility of depositing integrally bonded plasma-sprayed tungsten coatings onto 80-volume-percent tungsten - 20-volume-percent uranium dioxide composites. These composites were face clad with thin tungsten foil to inhibit uranium dioxide loss at elevated temperatures, but loss at the unclad edges was still significant. By preheating the composite substrates to approximately 3700 degrees F in a nitrogen environment, metallurgically bonded tungsten coatings could be obtained directly by plasma spraying. Furthermore, even though these coatings were thin and somewhat porous, they greatly inhibited the loss of uranium dioxide. For example, a specimen that was face clad but had no edge cladding lost 5.8 percent uranium dioxide after 2 hours at 4750 dgrees F in flowing hydrogen. A similar specimen with plasma-spray-coated edges, however, lost only 0.75 percent uranium dioxide under the same testing conditions.

  1. Transient induced tungsten melting at the Joint European Torus (JET).

    Czech Academy of Sciences Publication Activity Database

    Coenen, J.W.; Matthews, G.F.; Krieger, K.; Iglesias, D.; Bunting, P.; Corre, Y.; Silburn, S.; Balboa, I.; Bazylev, B.; Conway, N.; Coffey, I.; Dejarnac, Renaud; Gauthier, E.; Gaspar, J.; Jachmich, S.; Jepu, I.; Makepeace, C.; Scannell, R.; Stamp, M.; Petersson, P.; Pitts, R.A.; Wiesen, S.; Widdowson, A.; Heinola, K.; Baron-Wiechec, A.

    T170, December (2017), č. článku 014013. ISSN 0031-8949. [PFMC 2017: 16th International Conference on Plasma-Facing Materials and Components for Fusion Applications. Düsseldorf, 16.05.2017-19.05.2017] EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : fusion * melting * plasma wall interaction * tungsten * plasma facing components Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: 1.3 Physical sciences Impact factor: 1.280, year: 2016 http://iopscience.iop.org/article/10.1088/1402-4896/aa8789/meta

  2. Material testing facilities and programs for plasma-facing component testing

    Science.gov (United States)

    Linsmeier, Ch.; Unterberg, B.; Coenen, J. W.; Doerner, R. P.; Greuner, H.; Kreter, A.; Linke, J.; Maier, H.

    2017-09-01

    Component development for operation in a large-scale fusion device requires thorough testing and qualification for the intended operational conditions. In particular environments are necessary which are comparable to the real operation conditions, allowing at the same time for in situ/in vacuo diagnostics and flexible operation, even beyond design limits during the testing. Various electron and neutral particle devices provide the capabilities for high heat load tests, suited for material samples and components from lab-scale dimensions up to full-size parts, containing toxic materials like beryllium, and being activated by neutron irradiation. To simulate the conditions specific to a fusion plasma both at the first wall and in the divertor of fusion devices, linear plasma devices allow for a test of erosion and hydrogen isotope recycling behavior under well-defined and controlled conditions. Finally, the complex conditions in a fusion device (including the effects caused by magnetic fields) are exploited for component and material tests by exposing test mock-ups or material samples to a fusion plasma by manipulator systems. They allow for easy exchange of test pieces in a tokamak or stellarator device, without opening the vessel. Such a chain of test devices and qualification procedures is required for the development of plasma-facing components which then can be successfully operated in future fusion power devices. The various available as well as newly planned devices and test stands, together with their specific capabilities, are presented in this manuscript. Results from experimental programs on test facilities illustrate their significance for the qualification of plasma-facing materials and components. An extended set of references provides access to the current status of material and component testing capabilities in the international fusion programs.

  3. Irradiation effects in tungsten-copper laminate composite

    Energy Technology Data Exchange (ETDEWEB)

    Garrison, L.M., E-mail: garrisonlm@ornl.gov [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Katoh, Y. [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Snead, L.L. [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Byun, T.S. [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Reiser, J.; Rieth, M. [Karlsruhe Institute of Technology, Karlsruhe (Germany)

    2016-12-01

    Tungsten-copper laminate composite has shown promise as a structural plasma-facing component as compared to tungsten rod or plate. The present study evaluated the tungsten-copper composite after irradiation in the High Flux Isotope Reactor (HFIR) at temperatures of 410–780 °C and fast neutron fluences of 0.02–9.0 × 10{sup 25} n/m{sup 2}, E > 0.1 MeV, 0.0039–1.76 displacements per atom (dpa) in tungsten. Tensile tests were performed on the composites, and the fracture surfaces were analyzed with scanning electron microscopy. Before irradiation, the tungsten layers had brittle cleavage failure, but the overall composite had 15.5% elongation at 22 °C. After only 0.0039 dpa this was reduced to 7.7% elongation, and no ductility was observed after 0.2 dpa at all irradiation temperatures when tensile tested at 22 °C. For elevated temperature tensile tests after irradiation, the composite only had ductile failure at temperatures where the tungsten was delaminating or ductile. - Highlights: • Fusion reactors need a tough, ductile tungsten plasma-facing material. • The unirradiated tungsten-copper laminate is more ductile than tungsten alone. • After neutron irradiation, the composite has significantly less ductility. • The tungsten behavior appears to dominate the overall composite behavior.

  4. Pre-qualification of brazed plasma facing components of divertor target elements for ITER like tokamak application

    International Nuclear Information System (INIS)

    Singh, K.P.; Pandya, Santosh P.; Khirwadkar, S.S.; Patel, Alpesh; Patil, Y.; Buch, J.J.U.; Khan, M.S.; Tripathi, Sudhir; Pandya, Shwetang; Govindrajan, J.; Jaman, P.M.; Rathore, Devendra; Rangaraj, L.; Divakar, C.

    2011-01-01

    Qualification of tungsten (W) and graphite (C) based brazed plasma facing components (PFCs) is an important R and D area in fusion research. Pre-qualification tests for brazed joints between W-CuCrZr and C-CuCrZr using NDT (IR thermography and ultrasonic test) and thermal fatigue test are attempted. Mockups having good quality brazed joints of W and C based PFCs were identified using NDT. Subsequently, thermal fatigue test was performed on the identified mockups. All brazed tiles of W based PFC mockups could withstand thermal fatigue test, however, few tiles of C based PFC mockup were found detached. Thermal analyses of mockups are performed using finite element analysis (ANSYS) software to simulate the thermal hydraulic condition with 10 MW/m 2 uniform heat flux. Details about experimental and computational work are presented here.

  5. Pre-qualification of brazed plasma facing components of divertor target elements for ITER like tokamak application

    Energy Technology Data Exchange (ETDEWEB)

    Singh, K.P., E-mail: kpsingh@ipr.res.in [Institute for Plasma Research, Bhat, Gandhinagar, Gujarat (India); Pandya, Santosh P.; Khirwadkar, S.S.; Patel, Alpesh; Patil, Y.; Buch, J.J.U.; Khan, M.S.; Tripathi, Sudhir; Pandya, Shwetang; Govindrajan, J. [Institute for Plasma Research, Bhat, Gandhinagar, Gujarat (India); Jaman, P.M.; Rathore, Devendra; Rangaraj, L.; Divakar, C. [Materials Science Division, National Aerospace Laboratories, CSIR, Bangalore, Karnataka (India)

    2011-10-15

    Qualification of tungsten (W) and graphite (C) based brazed plasma facing components (PFCs) is an important R and D area in fusion research. Pre-qualification tests for brazed joints between W-CuCrZr and C-CuCrZr using NDT (IR thermography and ultrasonic test) and thermal fatigue test are attempted. Mockups having good quality brazed joints of W and C based PFCs were identified using NDT. Subsequently, thermal fatigue test was performed on the identified mockups. All brazed tiles of W based PFC mockups could withstand thermal fatigue test, however, few tiles of C based PFC mockup were found detached. Thermal analyses of mockups are performed using finite element analysis (ANSYS) software to simulate the thermal hydraulic condition with 10 MW/m{sup 2} uniform heat flux. Details about experimental and computational work are presented here.

  6. Design of plasma facing components for the SST-1 tokamak

    International Nuclear Information System (INIS)

    Jacob, S.; Chenna Reddy, D.; Choudhury, P.; Khirwadkar, S.; Pragash, R.; Santra, P.; Saxena, Y.C.; Sinha, P.

    2000-01-01

    Steady state Superconducting Tokamak, SST-1, is a medium sized tokamak with major and minor radii of 1.10 m and 0.20 m respectively. Elongated plasma operation with double null poloidal divertor is planned with a maximum input power of 1 MW. The Plasma Facing Components (PFC) like Divertors and Baffles, Poloidal limiters and Passive stabilizers form the first material boundary around the plasma and hence receive high heat and particle fluxes. The PFC design should ensure efficient heat and particle removal during steady state tokamak operation. A closed divertor geometry is adopted to ensure high neutral pressure in the divertor region (and hence high recycling) and less impurity influx into the core plasma. A set of poloidal limiters are provided to assist break down, current ramp-up and current ramp down phases and for the protection of the in-vessel components. Two pairs of Passive stabilizers, one on the inboard and the other on the outboard side of the plasma, are provided to slow down the vertical instability growth rates of the shaped plasma column. All PFCs are actively cooled to keep the plasma facing surface temperature within the design limits. The PFCs have been shaped/profiled so that maximum steady state heat flux on the surface is less than 1 MW/m 2 . (author)

  7. European development of carbon armoured plasma facing components for ITER

    International Nuclear Information System (INIS)

    Merola, M.; Vieider, G.; Wu, C.; Schedler, B.; Chappuis, P.; Escourbiac, F.; Schlosser, J.; Duwe, R.; Roedig, M.; Febvre, M.; Grattarola, M.; Tahtinen, S.; Vesprini, R.

    2001-01-01

    After a brief description of the rationale of the material and geometry selection for each carbon armoured plasma facing components, this paper describes the European development of the two basic geometries, namely the monoblock and the flat tile. An overview of the non-destructive inspection techniques specifically developed for these components is also presented. (orig.)

  8. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  9. Progress of ITER full tungsten divertor technology qualification in Japan: Manufacturing full-scale plasma-facing unit prototypes

    International Nuclear Information System (INIS)

    Ezato, Koichiro; Suzuki, Satoshi; Seki, Yohji; Yamada, Hirokazu; Hirayama, Tomoyuki; Yokoyama, Kenji; Escourbiac, Frederic; Hirai, Takeshi

    2016-01-01

    Highlights: • JADA has demonstrated the feasibility of manufacturing the full-W plasma-facing units (W-PFU). • The surface profiles of the W monoblocks of the W-PFU prototypes on the test frame to mimic the support structure of the ITER OVT were examined by using an optical three-dimensional measurement system. The results show the most W monoblock surface in the target part locates within + 0.25 mm from the CAD data. • The strict profile control with the profile tolerance of ±0.3 mm is imposed on the OVT to prevent the leading edges of the W monoblocks from over-heating. • The present full-scale prototyping demonstrates to satisfy this requirement on the surface profile. • It can be concluded that the technical maturities of JADA and its suppliers are as high as to start series manufacturing the ITER divertor components. - Abstract: Japan Atomic Energy Agency (JAEA) is in progress for technology demonstration toward Full-tungsten (W) ITER divertor outer vertical target (OVT), especially, W monoblock technology that needs to withstand the repetitive heat load as high as 20 MW/m 2 for 10 s. Under the framework of the W divertor qualification program developed ITER organization, JAEA as Japanese Domestic Agency (JADA) manufactured seven full-scale plasma-facing unit (PFU) prototypes with the Japanese industries. Four prototypes that have 146 W monoblock joint with casted copper (Cu) interlayer passed successfully the ultrasonic testing. In the other three prototypes that have the different W/Cu interlayer joint, joint defects were found. The dimension measurements reveal the requirements of the gap between W monoblocks and the surface profile of PFU are feasible.

  10. Progress of ITER full tungsten divertor technology qualification in Japan: Manufacturing full-scale plasma-facing unit prototypes

    Energy Technology Data Exchange (ETDEWEB)

    Ezato, Koichiro, E-mail: ezato.koichiro@jaea.go.jp [Department of ITER Project, Naka Fusion Institute, Sector of Fusion Research and Development, Japan Atomic Energy Agency (Japan); Suzuki, Satoshi; Seki, Yohji; Yamada, Hirokazu; Hirayama, Tomoyuki; Yokoyama, Kenji [Department of ITER Project, Naka Fusion Institute, Sector of Fusion Research and Development, Japan Atomic Energy Agency (Japan); Escourbiac, Frederic; Hirai, Takeshi [ITER Organization, route de vinon sur Verdon, 13067 St Paul lez Durance (France)

    2016-11-01

    Highlights: • JADA has demonstrated the feasibility of manufacturing the full-W plasma-facing units (W-PFU). • The surface profiles of the W monoblocks of the W-PFU prototypes on the test frame to mimic the support structure of the ITER OVT were examined by using an optical three-dimensional measurement system. The results show the most W monoblock surface in the target part locates within + 0.25 mm from the CAD data. • The strict profile control with the profile tolerance of ±0.3 mm is imposed on the OVT to prevent the leading edges of the W monoblocks from over-heating. • The present full-scale prototyping demonstrates to satisfy this requirement on the surface profile. • It can be concluded that the technical maturities of JADA and its suppliers are as high as to start series manufacturing the ITER divertor components. - Abstract: Japan Atomic Energy Agency (JAEA) is in progress for technology demonstration toward Full-tungsten (W) ITER divertor outer vertical target (OVT), especially, W monoblock technology that needs to withstand the repetitive heat load as high as 20 MW/m{sup 2} for 10 s. Under the framework of the W divertor qualification program developed ITER organization, JAEA as Japanese Domestic Agency (JADA) manufactured seven full-scale plasma-facing unit (PFU) prototypes with the Japanese industries. Four prototypes that have 146 W monoblock joint with casted copper (Cu) interlayer passed successfully the ultrasonic testing. In the other three prototypes that have the different W/Cu interlayer joint, joint defects were found. The dimension measurements reveal the requirements of the gap between W monoblocks and the surface profile of PFU are feasible.

  11. Definition of acceptance criteria for the ITER divertor plasma-facing components through systematic experimental analysis

    International Nuclear Information System (INIS)

    Escourbiac, F; Richou, M; Guigon, R; Durocher, A; Schlosser, J; Grosman, A; Constans, S; Merola, M; Riccardi, B

    2009-01-01

    Experience has shown that a critical part of the high-heat flux (HHF) plasma-facing component (PFC) is the armour to heat sink bond. An experimental study was performed in order to define acceptance criteria with regards to thermal hydraulics and fatigue performance of the International Thermonuclear Experimental Reactor (ITER) divertor PFCs. This study, which includes the manufacturing of samples with calibrated artificial defects relevant to the divertor design, is reported in this paper. In particular, it was concluded that defects detectable with non-destructive examination (NDE) techniques appeared to be acceptable during HHF experiments relevant to heat fluxes expected in the ITER divertor. On the basis of these results, a set of acceptance criteria was proposed and applied to the European vertical target medium-size qualification prototype: 98% of the inspected carbon fibre composite (CFC) monoblocks and 100% of tungsten (W) monoblock and flat tiles elements (i.e. 80% of the full units) were declared acceptable.

  12. Definition of acceptance criteria for the ITER divertor plasma-facing components through systematic experimental analysis

    Science.gov (United States)

    Escourbiac, F.; Richou, M.; Guigon, R.; Constans, S.; Durocher, A.; Merola, M.; Schlosser, J.; Riccardi, B.; Grosman, A.

    2009-12-01

    Experience has shown that a critical part of the high-heat flux (HHF) plasma-facing component (PFC) is the armour to heat sink bond. An experimental study was performed in order to define acceptance criteria with regards to thermal hydraulics and fatigue performance of the International Thermonuclear Experimental Reactor (ITER) divertor PFCs. This study, which includes the manufacturing of samples with calibrated artificial defects relevant to the divertor design, is reported in this paper. In particular, it was concluded that defects detectable with non-destructive examination (NDE) techniques appeared to be acceptable during HHF experiments relevant to heat fluxes expected in the ITER divertor. On the basis of these results, a set of acceptance criteria was proposed and applied to the European vertical target medium-size qualification prototype: 98% of the inspected carbon fibre composite (CFC) monoblocks and 100% of tungsten (W) monoblock and flat tiles elements (i.e. 80% of the full units) were declared acceptable.

  13. Definition of acceptance criteria for the ITER divertor plasma-facing components through systematic experimental analysis

    Energy Technology Data Exchange (ETDEWEB)

    Escourbiac, F; Richou, M; Guigon, R; Durocher, A; Schlosser, J; Grosman, A [CEA/IRFM, F-13108, Saint-Paul-lez-Durance (France); Constans, S [AREVA-NP, Le Creusot (France); Merola, M [ITER Organization, Cadarache (France); Riccardi, B [Fusion For Energy, Barcelona (Spain)], E-mail: frederic.escourbiac@cea.fr

    2009-12-15

    Experience has shown that a critical part of the high-heat flux (HHF) plasma-facing component (PFC) is the armour to heat sink bond. An experimental study was performed in order to define acceptance criteria with regards to thermal hydraulics and fatigue performance of the International Thermonuclear Experimental Reactor (ITER) divertor PFCs. This study, which includes the manufacturing of samples with calibrated artificial defects relevant to the divertor design, is reported in this paper. In particular, it was concluded that defects detectable with non-destructive examination (NDE) techniques appeared to be acceptable during HHF experiments relevant to heat fluxes expected in the ITER divertor. On the basis of these results, a set of acceptance criteria was proposed and applied to the European vertical target medium-size qualification prototype: 98% of the inspected carbon fibre composite (CFC) monoblocks and 100% of tungsten (W) monoblock and flat tiles elements (i.e. 80% of the full units) were declared acceptable.

  14. Advanced qualification methodology for actively cooled plasma facing components

    Science.gov (United States)

    Durocher, A.; Escourbiac, F.; Grosman, A.; Boscary, J.; Merola, M.; Cismondi, F.; Courtois, X.; Farjon, J. L.; Missirlian, M.; Schlosser, J.; Tivey, R.

    2007-12-01

    The use of high heat flux plasma facing components (PFCs) in steady state fusion devices requires high reliability. These components have to withstand heat fluxes in the range 10-20 MW m-2 involving a number of severe engineering constraints. Feedback from the experience of various industrial manufacturings showed that the bonding of the refractory armour material onto the metallic heat sink causes generic difficulties strongly depending on material qualities and specific design. As the heat exhaust capability and lifetime of PFCs during plasma operation are directly linked to the manufacturing quality, a set of qualification activities such as active infrared thermography, lock-in and acoustic measurements were performed during the component development phases following a qualification route. This paper describes the major improvements stemming from better measurement accuracy and refined data processing and analyses recent developments aimed at investigating the capability to qualify the component in situ during its lifetime.

  15. Advanced qualification methodology for actively cooled plasma facing components

    International Nuclear Information System (INIS)

    Durocher, A.; Escourbiac, F.; Grosman, A.; Boscary, J.; Merola, M.; Cismondi, F.; Courtois, X.; Farjon, J.L.; Missirlian, M.; Schlosser, J.; Tivey, R.

    2007-01-01

    The use of high heat flux plasma facing components (PFCs) in steady state fusion devices requires high reliability. These components have to withstand heat fluxes in the range 10-20 MW m -2 involving a number of severe engineering constraints. Feedback from the experience of various industrial manufacturings showed that the bonding of the refractory armour material onto the metallic heat sink causes generic difficulties strongly depending on material qualities and specific design. As the heat exhaust capability and lifetime of PFCs during plasma operation are directly linked to the manufacturing quality, a set of qualification activities such as active infrared thermography, lock-in and acoustic measurements were performed during the component development phases following a qualification route. This paper describes the major improvements stemming from better measurement accuracy and refined data processing and analyses recent developments aimed at investigating the capability to qualify the component in situ during its lifetime

  16. Thermal loads on tokamak plasma-facing components during normal operation and disruptions

    International Nuclear Information System (INIS)

    McGrath, R.T.

    1990-01-01

    Power loadings experienced by tokamak plasma-facing components during normal operation and during off-normal events are discussed. A model for power and particle flow in the tokamak boundary layer is presented and model predictions are compared to infrared measurements of component heating. The inclusion of the full three-dimensional geometry of the components and of the magnetic flux surface is very important in the modeling. Experimental measurements show that misalignment of component armour tile surfaces by only a millimeter can lead to significant localized heating. An application to the design of plasma-facing components for future machines is presented. Finally, thermal loads expected during tokamak disruptions are discussed. The primary problems are surface melting and vaporization due to localized intense heating during the disruption thermal quench and volumetric heating of the component armour and structure due to localised impact of runaway electrons. (author)

  17. Evaluation of runaway-electron effects on plasma-facing components for NET

    Science.gov (United States)

    Bolt, H.; Calén, H.

    1991-03-01

    Runaway electrons which are generated during disruptions can cause serious damage to plasma facing components in a next generation device like NET. A study was performed to quantify the response of NET plasma facing components to runaway-electron impact. For the determination of the energy deposition in the component materials Monte Carlo computations were performed. Since the subsurface metal structures can be strongly heated under runaway-electron impact from the computed results damage threshold values for the thermal excursions were derived. These damage thresholds are strongly dependent on the materials selection and the component design. For a carbonmolybdenum divertor with 10 and 20 mm carbon armour thickness and 1 degree electron incidence the damage thresholds are 100 MJ/m 2 and 220 MJ/m 2. The thresholds for a carbon-copper divertor under the same conditions are about 50% lower. On the first wall damage is anticipated for energy depositions above 180 MJ/m 2.

  18. Joining of Tungsten Armor Using Functional Gradients

    International Nuclear Information System (INIS)

    John Scott O'Dell

    2006-01-01

    The joining of low thermal expansion armor materials such as tungsten to high thermal expansion heat sink materials has been a major problem in plasma facing component (PFC) development. Conventional planar bonding techniques have been unable to withstand the high thermal induced stresses resulting from fabrication and high heat flux testing. During this investigation, innovative functional gradient joints produced using vacuum plasma spray forming techniques have been developed for joining tungsten armor to copper alloy heat sinks. A model was developed to select the optimum gradient architecture. Based on the modeling effort, a 2mm copper rich gradient was selected. Vacuum plasma pray parameters and procedures were then developed to produce the functional gradient joint. Using these techniques, dual cooling channel, medium scale mockups (32mm wide x 400mm length) were produced with vacuum plasma spray formed tungsten armor. The thickness of the tungsten armor was up to 5mm thick. No evidence of debonding at the interface between the heat sink and the vacuum plasma sprayed material was observed.

  19. Brazing and machining of carbon based materials for plasma facing components

    International Nuclear Information System (INIS)

    Brossa, M.; Guerreschi, U.; Rossi, M.

    1994-01-01

    Carbon based materials in the recent years have often been considered and used as armour material in plasma facing components for several fusion devices, because of their low Z and good high temperature characteristics that are compatible with the operation of nuclear reactors. These materials are often connected (mechanically or by brazing) to metals, that allow the support and the cooling functions (heat sink materials). In the following the experience of Ansaldo Ricerche about the study and the manufacturing of plasma facing components and mockups is described with reference to the influence of the carbon materials in performing brazing junction with metals. It is interesting to observe how the different characteristics of the carbon materials influence the brazing process. ((orig.))

  20. An equilibrium model for tungsten fuzz in an eroding plasma environment

    International Nuclear Information System (INIS)

    Doerner, R.P.; Baldwin, M.J.; Stangeby, P.C.

    2011-01-01

    A model equating the growth rate of tungsten fuzz on a plasma-exposed surface to the erosion rate of the fuzzy surface is developed to predict the likelihood of tungsten fuzz formation in the steady-state environment of toroidal confinement devices. To date this question has not been answered because the operational conditions in existing magnetic confinement machines do not necessarily replicate those expected in future fusion reactors (i.e. high-fluence operation, high temperature plasma-facing materials and edge plasma relatively free of condensable impurities). The model developed is validated by performing plasma exposure experiments at different incident ion energies (thereby varying the erosion rate) and measuring the resultant fuzz layer thickness. The results indicate that if the conditions exist for fuzz development in a steady-state plasma (surface temperature and energetic helium flux), then the erosion rate will determine the equilibrium thickness of the surface fuzz layer.

  1. PFMC-16. 16th international conference on plasma-facing materials and components for fusion applications. Abstracts

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2017-07-01

    The performances of fusion devices and of future fusion power plants strongly depend on the plasma-facing materials and components. Resistance to heat and particle loads, compatibility in plasma operations, thermo-mechanical properties, as well as the response to neutron irradiation are critical parameters which need to be understood and tailored from atomistic to component levels. The 16th International Conference on Plasma-Facing Materials and Components for Fusion Applications addresses these issues.

  2. Development of bonding techniques between W and Cu-alloys for plasma facing components by HIP method (3). Bonding tests with Au-foil insert

    International Nuclear Information System (INIS)

    Saito, Shigeru

    2002-07-01

    In recent years, it has been considered that W (tungsten) is one of candidate materials for armor tiles of plasma a facing components (PFC), like first wall or divertor, of fusion reactor. On the other hand, Cu-alloys, like OFHC-Cu or DS-Cu, are proposed as heat sink materials behind the plasma facing materials because of its high thermal conductivity. It is necessary to develop a reliable bonding techniques in order to fabricate PFC. JAERI has developed the hot isostatic press (HIP) bonding process to bond W with Cu-alloys. In this experiments, bonding tests with Au-foil insert were performed. We could get the best HIP bonding conditions for W and Cu-alloys with Au-foil as 1123K x 2hours x 147MPa. It was shown that the HIP temperature was 150K lower than that of without Au-foil. Furthermore, the tensile strength was similar to that of with without Au-foil. (author)

  3. The baking analysis for vacuum vessel and plasma facing components of the KSTAR tokamak

    International Nuclear Information System (INIS)

    Lee, K. H.; Woo, H. K.; Im, K. H.; Cho, S. Y.; Kim, J. B.

    2000-01-01

    The base pressure of vacuum vessel of the KSTAR (Korea Superconducting Tokamak Advanced Research) Tokamak is to be a ultra high vacuum, 10 -6 ∼10 -7 Pa, to produce clean plasma with low impurity containments. For this purpose, the KSTAR vacuum vessel and plasma facing components need to be baked up to at least 250 .deg. C, 350 .deg. C respectively, within 24 hours by hot nitrogen gas from a separate baking/cooling line system to remove impurities from the plasma-material interaction surfaces before plasma operation. Here by applying the implicit numerical method to the heat balance equations of the system, overall temperature distributions of the KSTAR vacuum vessel and plasma facing components are obtained during the whole baking process. The model for 2-dimensional baking analysis are segmented into 9 imaginary sectors corresponding to each plasma facing component and has up-down symmetry. Under the resulting combined loads including dead weight, baking gas pressure, vacuum pressure and thermal loads, thermal stresses in the vacuum vessel during bakeout are calculated by using the ANSYS code. It is found that the vacuum vessel and its supports are structurally rigid based on the thermal stress analyses

  4. The baking analysis for vacuum vessel and plasma facing components of the KSTAR tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Lee, K. H.; Woo, H. K. [Chungnam National Univ., Taejon (Korea, Republic of); Im, K. H.; Cho, S. Y. [korea Basic Science Institute, Taejon (Korea, Republic of); Kim, J. B. [Hyundai Heavy Industries Co., Ltd., Ulsan (Korea, Republic of)

    2000-07-01

    The base pressure of vacuum vessel of the KSTAR (Korea Superconducting Tokamak Advanced Research) Tokamak is to be a ultra high vacuum, 10{sup -6}{approx}10{sup -7}Pa, to produce clean plasma with low impurity containments. For this purpose, the KSTAR vacuum vessel and plasma facing components need to be baked up to at least 250 .deg. C, 350 .deg. C respectively, within 24 hours by hot nitrogen gas from a separate baking/cooling line system to remove impurities from the plasma-material interaction surfaces before plasma operation. Here by applying the implicit numerical method to the heat balance equations of the system, overall temperature distributions of the KSTAR vacuum vessel and plasma facing components are obtained during the whole baking process. The model for 2-dimensional baking analysis are segmented into 9 imaginary sectors corresponding to each plasma facing component and has up-down symmetry. Under the resulting combined loads including dead weight, baking gas pressure, vacuum pressure and thermal loads, thermal stresses in the vacuum vessel during bakeout are calculated by using the ANSYS code. It is found that the vacuum vessel and its supports are structurally rigid based on the thermal stress analyses.

  5. Investigation of transient melting of tungsten by ELMs in ASDEX Upgrade.

    Czech Academy of Sciences Publication Activity Database

    Krieger, K.; Sieglin, B.; Balden, M.; Coenen, J.W.; Göths, B.; Laggner, F.; de Marné, P.; Matthews, G.F.; Nille, D.; Rohde, V.; Dejarnac, Renaud; Faitsch, M.; Giannone, L.; Herrmann, A.; Horáček, Jan; Komm, Michael; Pitts, R.A.; Ratynskaia, S.; Thorén, E.; Tolias, P.

    T170, December (2017), č. článku 014030. ISSN 0031-8949. [PFMC 2017: 16th International Conference on Plasma-Facing Materials and Components for Fusion Applications. Düsseldorf, 16.05.2017-19.05.2017] EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : plasma-facing components * tungsten * melting * edge-localized modes Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: 1.3 Physical sciences Impact factor: 1.280, year: 2016 http://iopscience.iop.org/article/10.1088/1402-4896/aa8be8

  6. Qualification, commissioning and in situ monitoring of high heat flux plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Escourbiac, F. [Association Euratom-CEA, CEA/DSM/DRFC, CEA/Cadarache, F-13108 Saint Paul Lez Durance (France)], E-mail: frederic.escourbiac@cea.fr; Durocher, A.; Grosman, A.; Cismondi, F.; Courtois, X.; Farjon, J.L.; Schlosser, J. [Association Euratom-CEA, CEA/DSM/DRFC, CEA/Cadarache, F-13108 Saint Paul Lez Durance (France); Merola, M.; Tivey, R. [ITER Team, CEA/Cadarache, F-13108 Saint Paul Lez Durance (France)

    2007-10-15

    Up-to-date development of actively cooled high heat flux (HHF) plasma facing components (PFC) prototypes only allows reduced margins with regards to the ITER thermal requirements. Additionally, perfect quality cannot be ensured along series manufacturing: the presence of flaws which impair the heat transfer capability of the component, in particular at the interface armour/heat sink appears to be statistically unavoidable. In order to ensure a successful series production, a qualification methodology of actively cooled high heat flux plasma facing components is proposed. Secondly, advanced non-destructive techniques developed for HHF PFC commissioning are detailed with definition of acceptance criteria. Finally, innovative diagnostics for in situ monitoring during plasma operations or tokamak shutdowns are investigated in order to prevent immediate damage (safety monitoring); or evaluate component degradation (health monitoring). This work takes into account the relevance to Tore Supra, and is applied to W7X and ITER Divertor HHF PFC.

  7. Qualification, commissioning and in situ monitoring of high heat flux plasma facing components

    International Nuclear Information System (INIS)

    Escourbiac, F.; Durocher, A.; Grosman, A.; Cismondi, F.; Courtois, X.; Farjon, J.L.; Schlosser, J.; Merola, M.; Tivey, R.

    2007-01-01

    Up-to-date development of actively cooled high heat flux (HHF) plasma facing components (PFC) prototypes only allows reduced margins with regards to the ITER thermal requirements. Additionally, perfect quality cannot be ensured along series manufacturing: the presence of flaws which impair the heat transfer capability of the component, in particular at the interface armour/heat sink appears to be statistically unavoidable. In order to ensure a successful series production, a qualification methodology of actively cooled high heat flux plasma facing components is proposed. Secondly, advanced non-destructive techniques developed for HHF PFC commissioning are detailed with definition of acceptance criteria. Finally, innovative diagnostics for in situ monitoring during plasma operations or tokamak shutdowns are investigated in order to prevent immediate damage (safety monitoring); or evaluate component degradation (health monitoring). This work takes into account the relevance to Tore Supra, and is applied to W7X and ITER Divertor HHF PFC

  8. Review on the explosive consolidation methods to fabricate tungsten based PFMs

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Shuming, E-mail: wangshuming@ustb.edu.cn; Sun, Chongxiao; Guo, Wenhao; Yan, Qingzhi; Zhou, Zhangjian; Zhang, Yingchun; Shen, Weiping; Ge, Changchun

    2014-12-15

    Tungsten is one of the best candidates for plasma-facing materials in the fusion reactors, owing to its many unique properties. In the development of tungsten-based Plasma Facing Materials/Components (PFMs/PFCs), materials scientists have explored many different, innovative preparation and processing routes to meet the requirement of International Thermonuclear Experimental Reactor (ITER). Some explosive consolidation technology intrinsic characteristics, which make it suitable for powder metallurgy (powders consolidation) and PFMs production, are the high pressure processing, highly short heating time and can be considered as a highly competitive green technology. In this work, an overview of explosive consolidation techniques applied to fabricate tungsten-based PFMs is presented. Emphasis is given to describe the main characteristics and potentialities of the explosive sintering, explosive consolidation techniques. The aspects presented and discussed in this paper indicate the explosive consolidation processes as a promising and competitive technology for tungsten-based PFMs processing.

  9. Development and application of W/Cu flat-type plasma facing components at ASIPP

    Science.gov (United States)

    Li, Q.; Zhao, S. X.; Sun, Z. X.; Xu, Y.; Li, B.; Wei, R.; Wang, W. J.; Qin, S. G.; Shi, Y. L.; Xie, C. Y.; Wang, J. C.; Wang, X. L.; Missirlian, M.; Guilhem, D.; Liu, G. H.; Yang, Z. S.; Luo, G.-N.

    2017-12-01

    W/Cu flat-type plasma facing components (PFCs) were widely used in divertor of fusion device because of its advantages, such as low cost, light in weight and good machinability. However, it is very difficult to manufacture them due to the large mismatch between the thermo-mechanical properties of W and Cu. Institute of Plasma Physics, Chinese Academy of Sciences (ASIPP) has successfully developed W/Cu flat-type PFCs for EAST W/Cu divertor project by hot isostatic pressing (HIP) technology. This paper presents the development and application of W/Cu flat-type PFCs at ASIPP. The optimized manufacturing process is to cast pure copper onto the rear side of W tiles at temperature of 1200 °C firstly, and then to HIP the W/Cu tiles onto CuCrZr heat sink at temperature of 600 °C, pressure of 150 MPa and duration of 3 h. W/Cu flat-type testing mock-up for EAST survived 1000 cycles at heat load of 5 MW m-2 in high heat flux tests. And then ASIPP prepared two mock-ups for CEA’s tungsten environment in steady-state tokamak (WEST) project. One mock-up withstood successfully 302 cycles of 20 MW m-2, which are far beyond the design requirement. Since 2014, W/Cu flat-type PFCs were wildly used in EAST upper divertor as baffle and dome components which showed excellent performance in 2015 and 2016 campaigns. Given the success in EAST upper divertor, W/Cu flat-type concept is as well applied in the design of actively cooled Langmuir probes which will be mounted onto EAST divertor targets soon.

  10. Development and application of W/Cu flat-type plasma facing components at ASIPP

    International Nuclear Information System (INIS)

    Li, Q; Sun, Z X; Xu, Y; Li, B; Wei, R; Wang, W J; Xie, C Y; Wang, J C; Wang, X L; Yang, Z S; Luo, G-N; Zhao, S X; Qin, S G; Shi, Y L; Liu, G H; Missirlian, M; Guilhem, D

    2017-01-01

    W/Cu flat-type plasma facing components (PFCs) were widely used in divertor of fusion device because of its advantages, such as low cost, light in weight and good machinability. However, it is very difficult to manufacture them due to the large mismatch between the thermo-mechanical properties of W and Cu. Institute of Plasma Physics, Chinese Academy of Sciences (ASIPP) has successfully developed W/Cu flat-type PFCs for EAST W/Cu divertor project by hot isostatic pressing (HIP) technology. This paper presents the development and application of W/Cu flat-type PFCs at ASIPP. The optimized manufacturing process is to cast pure copper onto the rear side of W tiles at temperature of 1200 °C firstly, and then to HIP the W/Cu tiles onto CuCrZr heat sink at temperature of 600 °C, pressure of 150 MPa and duration of 3 h. W/Cu flat-type testing mock-up for EAST survived 1000 cycles at heat load of 5 MW m −2 in high heat flux tests. And then ASIPP prepared two mock-ups for CEA’s tungsten environment in steady-state tokamak (WEST) project. One mock-up withstood successfully 302 cycles of 20 MW m −2 , which are far beyond the design requirement. Since 2014, W/Cu flat-type PFCs were wildly used in EAST upper divertor as baffle and dome components which showed excellent performance in 2015 and 2016 campaigns. Given the success in EAST upper divertor, W/Cu flat-type concept is as well applied in the design of actively cooled Langmuir probes which will be mounted onto EAST divertor targets soon. (paper)

  11. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  12. The baking analysis for vacuum vessel and plasma facing components of the KSTAR tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Lee, K.H. [Chungnam National University Graduate School, Taejeon (Korea); Im, K.H.; Cho, S.Y. [Korea Basic Science Institute, Taejeon (Korea); Kim, J.B. [Hyundai Heavy Industries Co., Ltd. (Korea); Woo, H.K. [Chungnam National University, Taejeon (Korea)

    2000-11-01

    The base pressure of vacuum vessel of the KSTAR (Korea Superconducting Tokamak Advanced Research) Tokamak is to be a ultra high vacuum, 10{sup -6} {approx} 10{sup -7} Pa, to produce clean plasma with low impurity containments. for this purpose, the KSTAR vacuum vessel and plasma facing components need to be baked up to at least 250 deg.C, 350 deg.C respectively, within 24 hours by hot nitrogen gas from a separate baking/cooling line system to remove impurities from the plasma-material interaction surfaces before plasma operation. Here by applying the implicit numerical method to the heat balance equations of the system, overall temperature distributions of the KSTAR vacuum vessel and plasma facing components are obtained during the whole baking process. The model for 2-dimensional baking analysis are segmented into 9 imaginary sectors corresponding to each plasma facing component and has up-down symmetry. Under the resulting combined loads including dead weight, baking gas pressure, vacuum pressure and thermal loads, thermal stresses in the vacuum vessel during bakeout are calculated by using the ANSYS code. It is found that the vacuum vessel and its supports are structurally rigid based on the thermal stress analyses. (author). 9 refs., 11 figs., 1 tab.

  13. Spark plasma sintering of pure and doped tungsten as plasma facing material

    Science.gov (United States)

    Autissier, E.; Richou, M.; Minier, L.; Naimi, F.; Pintsuk, G.; Bernard, F.

    2014-04-01

    In the current water cooled divertor concept, tungsten is an armour material and CuCrZr is a structural material. In this work, a fabrication route via a powder metallurgy process such as spark plasma sintering is proposed to fully control the microstructure of W and W composites. The effect of chemical composition (additives) and the powder grain size was investigated. To reduce the sintering temperature, W powders doped with a nano-oxide dispersion of Y2O3 are used. Consequently, the sintering temperature for W-oxide dispersed strengthened (1800 °C) is lower than for pure W powder. Edge localized mode tests were performed on pure W and compared to other preparation techniques and showed promising results.

  14. Simulations of thermionic suppression during tungsten transient melting experiments.

    Czech Academy of Sciences Publication Activity Database

    Komm, Michael; Tolias, P.; Ratynskaia, S.; Dejarnac, Renaud; Gunn, J. P.; Krieger, K.; Podolník, Aleš; Pitts, R.A.; Pánek, Radomír

    T170, December (2017), č. článku 014069. ISSN 0031-8949. [PFMC 2017: 16th International Conference on Plasma-Facing Materials and Components for Fusion Applications. Düsseldorf, 16.05.2017-19.05.2017] R&D Projects: GA ČR(CZ) GA16-14228S; GA MŠk(CZ) 8D15001 EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : tokamak * thermionic emission * tungsten * melt * plasma-facing component Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: 1.3 Physical sciences Impact factor: 1.280, year: 2016 http://iopscience.iop.org/article/10.1088/1402-4896/aa9209

  15. IAEA consultants' meeting on thermal response of plasma facing materials and components

    International Nuclear Information System (INIS)

    Janev, R.K.

    1990-07-01

    The present Summary Report contains brief proceedings and the main conclusions and recommendations of the IAEA Consultants' Meeting on ''Thermal Response of Plasma Facing Materials and Components'', which was organized by the IAEA Atomic and Molecular Data Unit and held on June 11-13, 1990, in Vienna, Austria. The Report also includes a categorization and assessment of currently studied plasma facing materials, a classification scheme of material properties data, required in fusion reactor design, and a survey of the urgently needed material properties data. (author)

  16. Experimental mechanistic investigation of the nanostructuring of tungsten with low energy helium plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Fiflis, P., E-mail: fiflis1@illinois.edu; Connolly, N.; Ruzic, D.N.

    2016-12-15

    Helium ion bombardment of tungsten at temperatures between approximately one third and one half of its melting point has shown growth of nanostructures colloquially referred to as “fuzz”. The nanostructures take the form of thin tendrils of diameter about 30 nm and grow out of the bulk material. Tungsten will and does compose one of the key materials for plasma facing components (PFCs) in fusion reactors. The formation of nanostructured fuzz layers on PFCs would be detrimental to the performance of the reactor, and must therefore be avoided. Previous experiments have shown evidence that tungsten fuzz is initially grown by loop punching of helium bubbles created in the bulk. However, once the tendrils grow to sufficient length, the tendrils should intercept the entire helium flux, halting the production of fuzz. Fuzz continues to grow though. To increase the understanding of the mechanisms of tungsten fuzz formation, and thereby aid the avoidance of its production, a series of tests were performed to examine the validity of several theories regarding later stage tungsten fuzz growth. Tests showed that the fuzz formation was dependent solely on the bombardment of helium ions, and not on electric fields, or adatom diffusion. Experiments employing a tungsten coated molybdenum sample indicate the presence of a strong mixing layer and strongly suggest that tungsten fuzz growth continues to occur from the bottom up even as the tendrils grow in size. Tests also show a similarity between different metals exposed to helium ion fluxes where the ratio of bubble diameter to tendril diameter is constant.

  17. Experimental mechanistic investigation of the nanostructuring of tungsten with low energy helium plasmas

    International Nuclear Information System (INIS)

    Fiflis, P.; Connolly, N.; Ruzic, D.N.

    2016-01-01

    Helium ion bombardment of tungsten at temperatures between approximately one third and one half of its melting point has shown growth of nanostructures colloquially referred to as “fuzz”. The nanostructures take the form of thin tendrils of diameter about 30 nm and grow out of the bulk material. Tungsten will and does compose one of the key materials for plasma facing components (PFCs) in fusion reactors. The formation of nanostructured fuzz layers on PFCs would be detrimental to the performance of the reactor, and must therefore be avoided. Previous experiments have shown evidence that tungsten fuzz is initially grown by loop punching of helium bubbles created in the bulk. However, once the tendrils grow to sufficient length, the tendrils should intercept the entire helium flux, halting the production of fuzz. Fuzz continues to grow though. To increase the understanding of the mechanisms of tungsten fuzz formation, and thereby aid the avoidance of its production, a series of tests were performed to examine the validity of several theories regarding later stage tungsten fuzz growth. Tests showed that the fuzz formation was dependent solely on the bombardment of helium ions, and not on electric fields, or adatom diffusion. Experiments employing a tungsten coated molybdenum sample indicate the presence of a strong mixing layer and strongly suggest that tungsten fuzz growth continues to occur from the bottom up even as the tendrils grow in size. Tests also show a similarity between different metals exposed to helium ion fluxes where the ratio of bubble diameter to tendril diameter is constant.

  18. Beryllium assessment and recommendation for application in ITER plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Barabash, V.; Tanaka, S.; Matera, R. [ITER Joint Central Team, Muenchen (Germany)

    1998-01-01

    The design status of the ITER Plasma Facing Components (PFC) is presented. The operational conditions of the armour material for the different components are summarized. Beryllium is the reference armour material for the Primary Wall, Baffle and Limiter and the back-up material for the Divertor Dome. The activities on the selection of the Be grades and the joining technologies are reviewed. (author)

  19. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  20. Development of plasma facing components with functionally gradient layers

    Energy Technology Data Exchange (ETDEWEB)

    Morimoto, M.; Kudough, F. [Mitsubishi Atomic Power Industries, Inc., Yokohama (Japan); Onozuka, M.; Tsunoda, H.; Toyoda, M. [Mitsubishi Heavy Industries, Ltd., Yokohama (Japan)

    1994-11-01

    The use of functionally graded layers (FGLs) for plasma facing components (PFCs), owing to moderate or piecewise transition in material properties from low-Z surface materials to metal substrates, can provide reduction in thermal stresses, and also provide high thermal load resistance to PFCs. This article deals with the comparison of high heat flux testing and thermal stress analysis results on PFCs. Thermal stress analyses confirmed the thermal loading test results.

  1. Advanced Electrochemical Machining (ECM) for tungsten surface micro-structuring in blanket applications

    International Nuclear Information System (INIS)

    Holstein, Nils; Krauss, Wolfgang; Konys, Jürgen; Heuer, Simon; Weber, Thomas

    2016-01-01

    Highlights: • Electrochemical Machining is an appropriate tool for tungsten shaping. • Progress in shaping achieved by combination of ECM with advanced micro-lithography. • Application in First Wall for connection of plasma facing material to breeder blanket. • Successful development of adhesion promotors by ECM for plasma spraying interlayers. • Microstructure electrochemical manufacturing of tungsten in sizes of 100 μm achieved. - Abstract: Plasma facing components for fusion applications must have to exhibit long-term stability under extreme physical conditions, and therefore any material imperfections caused by mechanical and/or thermal stresses in the shaping processes cannot be tolerated due to a high risk of possible technical failures under fusion conditions. To avoid such defects, the method of Electrochemical Machining (ECM) enables a complete defect-free processing of removal of tungsten material during the desired shaping, also for high penetration depths. Furthermore, supported by lithographic mask pretreatment, three-dimensional distinct geometric structures can be positive-imaged via the directional galvanic dissolution applying M-ECM process into the tungsten bulk material. New required applications for tungsten components, e.g. as adhesion promotors in W-surfaces to enable sure grip and bonding of thick plasma-spraying layers for blanket components, will define the way of further miniaturization of well-established millimeter dimensioned M-ECM shaping processes to dimensions of 100 μm and furthermore down to 50 μm. Besides current M-ECM limits the article describes inevitable needs of further developments for mask resists, mask materials and the resulting ECM parameters, to reach the needed accuracy in tungsten microstructure. The achieved progress and observed correlations of processing parameters will be manifested by produced demonstrators made by the new “μM”-ECM process.

  2. Advanced Electrochemical Machining (ECM) for tungsten surface micro-structuring in blanket applications

    Energy Technology Data Exchange (ETDEWEB)

    Holstein, Nils, E-mail: nils.holstein@kit.edu [Karlsruhe Institute of Technology, Hermann-von-Helmholtz-Platz 1, D-76344 Eggenstein-Leopoldshafen, Baden-Württemberg (Germany); Krauss, Wolfgang; Konys, Jürgen [Karlsruhe Institute of Technology, Hermann-von-Helmholtz-Platz 1, D-76344 Eggenstein-Leopoldshafen, Baden-Württemberg (Germany); Heuer, Simon; Weber, Thomas [Research Center Jülich, Institute of Energy- and Climate Research – Plasma Physics (IEK-4), D-52425 Jülich (Germany)

    2016-11-01

    Highlights: • Electrochemical Machining is an appropriate tool for tungsten shaping. • Progress in shaping achieved by combination of ECM with advanced micro-lithography. • Application in First Wall for connection of plasma facing material to breeder blanket. • Successful development of adhesion promotors by ECM for plasma spraying interlayers. • Microstructure electrochemical manufacturing of tungsten in sizes of 100 μm achieved. - Abstract: Plasma facing components for fusion applications must have to exhibit long-term stability under extreme physical conditions, and therefore any material imperfections caused by mechanical and/or thermal stresses in the shaping processes cannot be tolerated due to a high risk of possible technical failures under fusion conditions. To avoid such defects, the method of Electrochemical Machining (ECM) enables a complete defect-free processing of removal of tungsten material during the desired shaping, also for high penetration depths. Furthermore, supported by lithographic mask pretreatment, three-dimensional distinct geometric structures can be positive-imaged via the directional galvanic dissolution applying M-ECM process into the tungsten bulk material. New required applications for tungsten components, e.g. as adhesion promotors in W-surfaces to enable sure grip and bonding of thick plasma-spraying layers for blanket components, will define the way of further miniaturization of well-established millimeter dimensioned M-ECM shaping processes to dimensions of 100 μm and furthermore down to 50 μm. Besides current M-ECM limits the article describes inevitable needs of further developments for mask resists, mask materials and the resulting ECM parameters, to reach the needed accuracy in tungsten microstructure. The achieved progress and observed correlations of processing parameters will be manifested by produced demonstrators made by the new “μM”-ECM process.

  3. Development of quantitative atomic modeling for tungsten transport study using LHD plasma with tungsten pellet injection

    Science.gov (United States)

    Murakami, I.; Sakaue, H. A.; Suzuki, C.; Kato, D.; Goto, M.; Tamura, N.; Sudo, S.; Morita, S.

    2015-09-01

    Quantitative tungsten study with reliable atomic modeling is important for successful achievement of ITER and fusion reactors. We have developed tungsten atomic modeling for understanding the tungsten behavior in fusion plasmas. The modeling is applied to the analysis of tungsten spectra observed from plasmas of the large helical device (LHD) with tungsten pellet injection. We found that extreme ultraviolet (EUV) emission of W24+ to W33+ ions at 1.5-3.5 nm are sensitive to electron temperature and useful to examine the tungsten behavior in edge plasmas. We can reproduce measured EUV spectra at 1.5-3.5 nm by calculated spectra with the tungsten atomic model and obtain charge state distributions of tungsten ions in LHD plasmas at different temperatures around 1 keV. Our model is applied to calculate the unresolved transition array (UTA) seen at 4.5-7 nm tungsten spectra. We analyze the effect of configuration interaction on population kinetics related to the UTA structure in detail and find the importance of two-electron-one-photon transitions between 4p54dn+1- 4p64dn-14f. Radiation power rate of tungsten due to line emissions is also estimated with the model and is consistent with other models within factor 2.

  4. ITER plasma facing components, design and development

    International Nuclear Information System (INIS)

    Vieider, G.; Cardella, A.; Akiba, M.; Matera, R.; Watson, R.

    1991-01-01

    The paper summarizes the collaborative effort of the ITER Conceptual Design Activity (CDA) on Plasma Facing Components (PFC) which focused on the following main tasks: (a) The definition of basic design concepts for the First Wall (FW) and Divertor Plates (DP), (b) the analysis of the performance and likely lifetime of these PFC designs including the identification of major critical issues, (c) the start of R and D work giving already first results, and the definition of the required further R and D program to support the contemplated ITER Engineering Design Activity (EDA). From the ITER CDA effort on PFC it is mainly concluded that: (a) The expected PFC operating conditions lead to design solutions at the limit of present technology in particular for the divertor, which may constrain the overall machine performance, (b) the development of convincing PFC designs requires an intensified R and D effort both on PFC technology and plasma physics. (orig.)

  5. ELM-induced melting: assessment of shallow melt layer damage and the power handling capability of tungsten in a linear plasma device

    Czech Academy of Sciences Publication Activity Database

    Morgan, T.W.; van Eden, G.G.; de Kruif, T.M.; van den Berg, A.; Matějíček, Jiří; Chráska, Tomáš; De Temmerman, G.

    -, T159 (2014), 014022-014022 ISSN 0031-8949. [International Conference on Plasma-Facing Materials and Components for Fusion Applications/14./. Jülich, 13.05.2013-17.05.2013] Institutional support: RVO:61389021 Keywords : melting * tungsten * ELMs * divertor * ITER * DEMO Subject RIV: JG - Metallurgy Impact factor: 1.126, year: 2014 http://iopscience.iop.org/1402-4896/2014/T159/014022/pdf/1402-4896_2014_T159_014022.pdf

  6. Numerical simulation of runaway electron effect on Plasma Facing Components

    International Nuclear Information System (INIS)

    Ezato, Koichiro; Suzuki, Satoshi; Akiba, Masato; Kunugi, Tomoaki

    1998-07-01

    The runaway electron effects on Plasma Facing Components (PFCs) are studied by the numerical analyses. The present study is the first investigation of time-dependent thermal response of PFCs caused by runaway electron impact. For this purpose, we developed a new integrated numerical code, which consists of the Monte Carlo code for the coupled electrons and photons transport analysis and the finite element code for the thermo-mechanical analysis. In this code, we apply the practical incident parameters and distribution of runaway electrons recently proposed by S. Putvinski, which can express the time-dependent behavior of runaway electrons impact. The incident parameters of electrons in this study are the energy density ranging from 10 to 75 MJ/m 2 , the average electrons' energy of 12.5 MeV, the incident angle of 0.01deg and the characteristic time constant for decay of runaway electrons event of 0.15sec. The numerical results showed that the divertor with CFC (Carbon-Fiber-Composite) armor did not suffer serious damage. On the other hand, maximum temperatures at the surface of the divertor with tungsten armor and the first wall with beryllium armor exceed the melting point in case of the incident energy density of 20 and 50 MJ/m 2 . Within the range of the incident condition of runaway electrons, the cooling pipe of each PFCs can be prevented from the melting or burn-out caused by runaway electrons impact, which is one of the possible consequences of runaway electrons event so far. (author)

  7. Development of quantitative atomic modeling for tungsten transport study Using LHD plasma with tungsten pellet injection

    International Nuclear Information System (INIS)

    Murakami, I.; Sakaue, H.A.; Suzuki, C.; Kato, D.; Goto, M.; Tamura, N.; Sudo, S.; Morita, S.

    2014-10-01

    Quantitative tungsten study with reliable atomic modeling is important for successful achievement of ITER and fusion reactors. We have developed tungsten atomic modeling for understanding the tungsten behavior in fusion plasmas. The modeling is applied to the analysis of tungsten spectra observed from currentless plasmas of the Large Helical Device (LHD) with tungsten pellet injection. We found that extreme ultraviolet (EUV) lines of W 24+ to W 33+ ions are very sensitive to electron temperature (Te) and useful to examine the tungsten behavior in edge plasmas. Based on the first quantitative analysis of measured spatial profile of W 44+ ion, the tungsten concentration is determined to be n(W 44+ )/n e = 1.4x10 -4 and the total radiation loss is estimated as ∼4 MW, of which the value is roughly half the total NBI power. (author)

  8. Progress of research on plasma facing materials in University of Science and Technology Beijing

    International Nuclear Information System (INIS)

    Ge, Chang-Chun; Zhou, Zhang-Jian; Song, Shu-Xiang; Du, Juan; Zhong, Zhi-Hong

    2007-01-01

    In this paper, we report some new progress on plasma facing materials in University of Science and Technology Beijing (USTB), China. They include fabrication of tungsten coating with ultra-fine grain size by atmosphere plasma spraying; fabrication of tungsten with ultra-fine grain size by a newly developed method named as resistance sintering under ultra-high pressure; using the concept of functionally graded materials to join tungsten to copper based heat sink; joining silicon doped carbon to copper by brazing using a Ti based amorphous filler and direct casting

  9. Improvement of non destructive infrared test bed SATIR for examination of actively cooled tungsten armour Plasma Facing Components

    Energy Technology Data Exchange (ETDEWEB)

    Vignal, N., E-mail: nicolas.vignal@cea.fr; Desgranges, C.; Cantone, V.; Richou, M.; Courtois, X.; Missirlian, M.; Magaud, Ph.

    2013-10-15

    Highlights: • Non destructive infrared techniques for control ITER like PFCs. • Reflective surface such as W induce a measurement temperature error. • Numerical data processing by evaluation of the local emissivity. • SATIR test bed can control metallic surface with low and variable emissivity. -- Abstract: For steady state (magnetic) thermonuclear fusion devices which need large power exhaust capability and have to withstand heat fluxes in the range 10–20 MW m{sup −2}, advanced Plasma Facing Components (PFCs) have been developed. The importance of PFCs for operating tokamaks requests to verify their manufacturing quality before mounting. SATIR is an IR test bed validated and recognized as a reliable and suitable tool to detect cooling defaults on PFCs with CFC armour material. Current tokamak developments implement metallic armour materials for first wall and divertor; their low emissivity causes several difficulties for infrared thermography control. We present SATIR infrared thermography test bed improvements for W monoblocks components without defect and with calibrated defects. These results are compared to ultrasonic inspection. This study demonstrates that SATIR method is fully usable for PFCs with low emissivity armour material.

  10. Improvement of non destructive infrared test bed SATIR for examination of actively cooled tungsten armour Plasma Facing Components

    International Nuclear Information System (INIS)

    Vignal, N.; Desgranges, C.; Cantone, V.; Richou, M.; Courtois, X.; Missirlian, M.; Magaud, Ph.

    2013-01-01

    Highlights: • Non destructive infrared techniques for control ITER like PFCs. • Reflective surface such as W induce a measurement temperature error. • Numerical data processing by evaluation of the local emissivity. • SATIR test bed can control metallic surface with low and variable emissivity. -- Abstract: For steady state (magnetic) thermonuclear fusion devices which need large power exhaust capability and have to withstand heat fluxes in the range 10–20 MW m −2 , advanced Plasma Facing Components (PFCs) have been developed. The importance of PFCs for operating tokamaks requests to verify their manufacturing quality before mounting. SATIR is an IR test bed validated and recognized as a reliable and suitable tool to detect cooling defaults on PFCs with CFC armour material. Current tokamak developments implement metallic armour materials for first wall and divertor; their low emissivity causes several difficulties for infrared thermography control. We present SATIR infrared thermography test bed improvements for W monoblocks components without defect and with calibrated defects. These results are compared to ultrasonic inspection. This study demonstrates that SATIR method is fully usable for PFCs with low emissivity armour material

  11. Heat loads on Tore Supra ICRF Launchers Plasma Facing Components

    International Nuclear Information System (INIS)

    Bremond, S.; Colas, L.; Beaumont, B.; Chantant, M.; Goniche, M.; Mitteau, R.

    2005-01-01

    Understanding the heat loads on Ion Cyclotron Range of Frequency (ICRF) launchers plasma-facing components is a crucial task both for operating present tokamaks and for designing ITER ICRF launchers as these loads may limit the RF power coupling capability. Tore Supra facility is particularly well suited to take this issue. Parametric studies have been performed which enables to get an overall detailed picture of the different heat loads on several areas, pointing to different mechanisms at the origin of the heat power fluxes. It is found that the most critical items for Tore-Supra operation are localized heat loads on the Faraday screen top left corner and vertical edges. Warming up close to maximum temperature limit originally set for protection of the plasma-facing components is found of high power pulses, but no erosion was observed after detailed inspection of the launcher in Tore-Supra vessel. Yet, the associated heat loads could be limiting for Tore-Supra operation in the future, and some dedicated work is under progress to improve the understanding of these power fluxes, pointing out the importance of getting a better knowledge of particle flows in the scrape of layer

  12. Investigation of plasma facing components in JT-60U operation

    International Nuclear Information System (INIS)

    Masaki, K.; Ando, T.; Kodama, K.; Arai, T.; Neyatani, Y.; Yoshino, R.; Tsuji, S.; Yagyu, J.; Kaminaga, A.; Sasajima, T.; Ouchi, Y.; Koike, T.; Shimizu, M.

    1995-01-01

    The mechanical fracture of three carbon fiber composite (CFC) first wall tiles was observed. This damage was probably caused by the electromagnetic force due to halo current during disruption. The required current to break the CFC tile is estimated to be 25 kA. The broken tile was rotated poloidally around the plasma with a speed of about 10 m/s during the following discharge. A possible driving force of this rotation might be the electromagnetic force due to the scrape-off layer (SOL) current. The required current to rotate the piece of the broken tile is 1 kA. These results indicate that electromagnetic interaction between SOL plasma and the plasma facing components is important in the research on the plasma wall interactions in fusion devices. ((orig.))

  13. Tritium Removal from Carbon Plasma Facing Components

    International Nuclear Information System (INIS)

    Skinner, C.H.; Coad, J.P.; Federici, G.

    2003-01-01

    Tritium removal is a major unsolved development task for next-step devices with carbon plasma-facing components. The 2-3 order of magnitude increase in duty cycle and associated tritium accumulation rate in a next-step tokamak will place unprecedented demands on tritium removal technology. The associated technical risk can be mitigated only if suitable removal techniques are demonstrated on tokamaks before the construction of a next-step device. This article reviews the history of codeposition, the tritium experience of TFTR (Tokamak Fusion Test Reactor) and JET (Joint European Torus) and the tritium removal rate required to support ITER's planned operational schedule. The merits and shortcomings of various tritium removal techniques are discussed with particular emphasis on oxidation and laser surface heating

  14. Towards intelligent video understanding applied to plasma facing component monitoring

    International Nuclear Information System (INIS)

    Martin, V.; Travere, J.M.; Moncada, V.; Bremond, F.

    2011-01-01

    In this paper, we promote intelligent plasma facing component video monitoring for both real-time purposes (machine protection issues) and post event analysis purposes (plasma-wall interaction understanding). We propose a vision-based system able to automatically detect and classify into different pre-defined categories thermal phenomena such as localized hot spots or transient thermal events (e.g. electrical arcing) from infrared imaging data of PFCs. This original computer vision system is made intelligent by endowing it with high level reasoning (i.e. integration of a priori knowledge of thermal event spatio-temporal properties to guide the recognition), self-adaptability to varying conditions (e.g. different thermal scenes and plasma scenarios), and learning capabilities (e.g. statistical modelling of event behaviour based on training samples). (authors)

  15. Thermal conductivity reduction of tungsten plasma facing material due to helium plasma irradiation in PISCES using the improved 3-omega method

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Shuang [Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, CA 92093 (United States); Simmonds, Michael [Department of Physics, University of California, San Diego, La Jolla, CA 92093 (United States); Center for Energy Research, University of California, San Diego, La Jolla, CA 92093 (United States); Qin, Wenjing; Ren, Feng [School of Physics and Technology, Wuhan University, Wuhan, Hubei 430072 (China); Tynan, George R. [Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, CA 92093 (United States); Center for Energy Research, University of California, San Diego, La Jolla, CA 92093 (United States); Doerner, Russell P. [Center for Energy Research, University of California, San Diego, La Jolla, CA 92093 (United States); Chen, Renkun, E-mail: rkchen@ucsd.edu [Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, CA 92093 (United States); Center for Energy Research, University of California, San Diego, La Jolla, CA 92093 (United States)

    2017-04-01

    The near-surface region of plasma facing material (PFM) plays an important role in thermal management of fusion reactors. In this work, we measured thermal conductivity of tungsten (W) surface layers damaged by He plasma in PISCES at UCSD. We studied the damage effect on both bulk, and thin film, W. We observed that the surface morphology of both bulk and thin film was altered after exposure to He plasma with the fluence of 1 × 10{sup 26} m{sup −2} (bulk) and 2 × 10{sup 24} m{sup −2} (thin film). Transmission electron microscopy (TEM) analysis reveals that the depth of the irradiation damaged layer was approximately 20 nm on the bulk W exposed to He plasma at 773 K for 2000 s. In order to measure the thermal conductivity of this exceedingly thin damaged layer in the bulk W, we adopted the well-established ‘3-omega’ method and employed novel nanofabrication techniques to improve the measurement sensitivity. For the damaged W thin film sample, we measured the reduction in electrical conductivity and used the Wiedemann-Franz (W-F) law to extract the thermal conductivity. Results from both measurements show that thermal conductivity in the damaged layers was reduced by at least ∼80% compared to that of undamaged W. This large reduction in thermal conductivity can be attributed to the scattering of electrons, the dominant heat carriers in W, caused by defects introduced by He plasma irradiation.

  16. Beryllium application in ITER plasma facing components

    International Nuclear Information System (INIS)

    Raffray, A.R.; Federici, G.; Barabash, V.; Cardella, A.; Jakeman, R.; Ioki, K.; Janeschitz, G.; Parker, R.; Tivey, R.; Pacher, H.D.; Wu, C.H.; Bartels, H.W.

    1997-01-01

    Beryllium is a candidate armour material for the in-vessel components of the International Thermonuclear Experimental Reactor (ITER), namely the primary first wall, the limiter, the baffle and the divertor. However, a number of issues arising from the performance requirements of the ITER plasma facing components (PFCs) must be addressed to better assess the attractiveness of Be as armour for these different components. These issues include heat loading limits arising from temperature and stress constraints under steady state conditions, armour lifetime including the effects of sputtering erosion as well as vaporisation and loss of melt during disruption events, tritium retention and permeation, and chemical hazards, in particular with respect to potential Be/steam reaction. Other issues such as fabrication and the possibility of in-situ repair are not performance-dependent but have an important impact on the overall assessment of Be as PFC armour. This paper describes the present view on Be application for ITER PFCs. The key issues are discussed including an assessment of the current level of understanding based on analysis and experimental data; and on-going activities as part of the ITER EDA R and D program are highlighted. (orig.)

  17. Behavior of tungsten carbide in water stabilized plasma

    Czech Academy of Sciences Publication Activity Database

    Brožek, Vlastimil; Matějíček, Jiří; Neufuss, Karel

    2007-01-01

    Roč. 7, č. 4 (2007), s. 213-220 ISSN 1335-8987 R&D Projects: GA ČR(CZ) GA104/05/0540 Institutional research plan: CEZ:AV0Z20430508 Keywords : water stabilized plasma * tungsten carbide * tungsten hemicarbide * decarburization Subject RIV: BL - Plasma and Gas Discharge Physics

  18. Vacuum Plasma Spraying W-coated Reduced Activation Structural Steels for Fusion Plasma Facing Components

    Energy Technology Data Exchange (ETDEWEB)

    Noh, Sanghoon; Kim, Tae Kyu [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Tungsten (W) and its alloys are considered as candidate materials for plasma facing materials of the first wall and diverter components in fusion reactor systems because of high sputtering resistance and low tritium retention in a fusion environment. Therefore, it is considered that the joining between W and reduced activation structural steels, and its evaluation, are critical issues for the development of fusion reactors. However, the joining between these materials is a very challenging process because of significant differences in their physical properties, particularly the mismatch of coefficients of thermal expansion (CTE). For instance, the CTE of pure W is known to be about 4.3Χ10{sup -6}K{sup -1}; however, that of martensitic steels reaches over three times, about 12-14Χ10{sup -6}K{sup -1} at room temperature even up to 373K. Nevertheless, several joining techniques have been developed for joining between W and structural steels, such as a vapor deposition method, brazing and diffusion bonding. Meanwhile, vacuum plasma spraying (VPS) is supposed to be one of the prospective methods to fabricate a sufficient W layer on the steel substrates because of the coating of a large area with a relatively high fabricating rate. In this study, the VPS method of W powders on reduced activation steels was employed, and its microstructure and hardness distribution were investigated. ODS ferritic steels and F82H steel were coated by VPS-W, and the microstructure and hardness distribution were investigated. A microstructure analysis revealed that pure W was successfully coated on steel substrates by the VPS process without an intermediate layer, in spite of a mismatch of the CTE between dissimilar materials. After neutron irradiation, irradiation hardening significantly occurred in the VPSW. However, the hardening of VPS-W was lesser than that of bulk W irradiated HFIR at 773K. Substrate materials, ODS ferritic steels, and F82H steel, did not show irradiation hardening

  19. Self Passivating W-based Alloys as Plasma Facing Material

    International Nuclear Information System (INIS)

    Koch, F.; Koeppl, S.; Bolt, H.

    2007-01-01

    Full text of publication follows: Tungsten (W) is presently the main candidate material for the plasma-facing protection of future fusion power reactors due to the low sputter erosion under bombardment by energetic D, T and He ions. Thus a W-based protection material may provide a wall erosion lifetime of the order of five years which is a pre-requisite for economic fusion reactor operation. A potential problem with the use of pure W in a fusion reactor is the formation of radioactive and highly volatile WO 3 compounds and their potential release under accidental conditions. A loss-of-coolant event in a He-cooled reactor would lead to a temperature rise to 1100 deg. C after approx. 10 to 30 days due to the nuclear decay heat of the in-vessel components. In such a situation additional accidental intense air ingress into the reactor vessel would lead to the formation of WO 3 and subsequent evaporation of radioactive (WO 3 ) x -clusters. The use of self passivating W alloys either as bulk material or as thick coating on the steel wall may be a passively safe alternative for the plasma-facing protection. The use of this material would eliminate the above mentioned concern related to pure W. To enable the formation of a protective film in oxidizing atmosphere which seals the tungsten surface from further oxidation, different elements have been investigated as corrosion protection additives. Therefore binary and ternary tungsten alloys were synthesised using magnetron sputtering. The oxidation behaviour of films deposited on inert substrates was measured with a thermo-balance set up under synthetic air at temperatures up to 1000 deg. C. Binary alloys of W-Si showed good self passivation properties by forming a SiO 2 film at the surface. The oxidation rate of a compound containing 11 wt.% Si was reduced by a factor of 10 2 compared to pure tungsten between 800 deg. C and 1000 deg. C. Using ternary alloys the oxidation behaviour could be further improved. A compound of W

  20. Numerical simulation of the bubble growth due to hydrogen isotopes inventory processes in plasma-irradiated tungsten

    International Nuclear Information System (INIS)

    Sang, Chaofeng; Sun, Jizhong; Bonnin, Xavier; Liu, Shengguang; Wang, Dezhen

    2013-01-01

    Hydrogen isotopes (HI) inventory is a key issue for fusion devices like ITER. It is especially urgent to understand how HI are retained in tungsten since it currently is the most important candidate material for the plasma-facing wall. Bubble growth is an important experimental complication that yet prevents a full understanding of HI retention processes in tungsten walls and most critically the divertor elements. In this work, we develop a model based on rate equations, which includes the bubble growth in tungsten being exposed to a HI plasma. In the model, HI molecules can be produced through recombination processes on the inner surface of a bubble, and HI molecules can also dissociate themselves to solute atoms, and the latter diffuse into the bulk wall because of very high pressures inside the bubble. The present model is applied to simulate how HI are retained in plasma-irradiated tungsten in the form of molecules to explain the wall temperature, trap concentration, incident HI flux and fluence dependencies of bubble growth

  1. Preliminary assessment of the tritium inventory and permeation in the plasma facing components of ITER

    International Nuclear Information System (INIS)

    Federici, G.; Holland, D.; Brooks, J.; Causey, R.; Dolan, T.J.; Longhurst, G.

    1995-01-01

    This paper discusses preliminary quantitative predictions for the tritium inventory in- and permeation through the first-wall and divertor PFC's of ITER. The primary plasma facing material under consideration is beryllium, with possible use of tungsten or carbon fiber composites (CFC's) on high-heat-flux surfaces. They use state-of-the-art tritium transport models, in conjunction with design parameters, and loading conditions anticipated for the first-wall, baffle, limiter and divertor. The analysis includes the synergistic effects of erosion on tritium implantation and trapping, which are expected to play a key role, particularly in the divertor regions where the interaction of the plasma with the surfaces will be most severe. The influence of several key parameters that strongly affect tritium build-up and release is assessed. Finally, they discuss the uncertainties in materials properties under ITER operating conditions and the R and D needed to resolve these uncertainties

  2. Development and Testing of Dispersion-Strengthened Tungsten Alloys via Spark Plasma Sinterin

    Science.gov (United States)

    Lang, Eric; Madden, Nathan; Smith, Charles; Krogstad, Jessica; Allain, Jean Paul

    2017-10-01

    Tungsten (W) is a common plasma-facing component (PFC) material in the divertor region of tokamak fusion devices due to its high melting point and high sputter threshold. However, W is intrinsically brittle and is further embrittled under neutron irradiation, and the low recrystallization temperature pose complications in fusion environments. More ductile W alloys, such as dispersion-strengthened tungsten are being developed. In this work, W samples are processed via spark plasma sintering (SPS) with TiC, ZrC, and TaC dispersoids alloyed from 0.5 to 10 weight %. SPS is a powder compaction technique that provides high pressure and heating rates via electrical current, allowing for a lower final temperature and hold time for compaction. Initial testing of material properties, smicrostructure, and composition of specimens will be presented. Deuterium and helium irradiations have been performed in IGNIS, a multi-functional, in-situ irradiation and characterization facility at the University of Illinois. High-flux, low-energy exposures at the Magnum-PSI facility at DIFFER exposed samples to a D fluence of 1×1026 cm-2 and He fluence of 1x1025-1x1026 cm-2 at temperatures of 300-1000 C. In-situ chemistry changes via XPS and ex-situ morphology changes via SEM will be studied. Work supported by US DOE Contract DE-SC0014267.

  3. Experimental study of divertor plasma-facing components damage under a combination of pulsed and quasi-stationary heat loads relevant to expected transient events at ITER

    International Nuclear Information System (INIS)

    Klimov, N S; Podkovyrov, V L; Kovalenko, D V; Zhitlukhin, A M; Barsuk, V A; Mazul, I V; Giniyatulin, R N; Kuznetsov, V Ye; Riccardi, B; Loarte, A; Merola, M; Koidan, V S; Linke, J; Landman, I S; Pestchanyi, S E; Bazylev, B N

    2011-01-01

    This paper concerns the experimental study of damage of ITER divertor plasma-facing components (PFCs) under a combination of pulsed plasma heat loads (representative of controlled ITER type I edge-localized modes (ELMs)) and quasi-stationary heat loads (representative of the high heat flux (HHF) thermal fatigue expected during ITER normal operations and slow transient events). The PFC's tungsten armor damage under pulsed plasma exposure was driven by (i) the melt layer motion, which leads to bridges formation between neighboring tiles and (ii) the W brittle failure giving rise to a stable crack pattern on the exposed surface. The crack width reaches a saturation value that does not exceed some tens of micrometers after several hundreds of ELM-like pulses. HHF thermal fatigue tests have shown (i) a peeling-off of the re-solidified material due to its brittle failure and (ii) a significant widening (up to 10 times) of the cracks and the formation of additional cracks.

  4. Magnetic field effects on runaway electron energy deposition in plasma facing materials and components

    International Nuclear Information System (INIS)

    Niemer, K.A.; Gilligan, J.G.

    1992-01-01

    This paper reports magnetic field effects on runaway electron energy deposition in plasma facing materials and components is investigated using the Integrated TIGER Series. The Integrated TIGER Series is a set of time-independent coupled electron/photon Monte Carlo transport codes which perform photon and electron transport, with or without macroscopic electric and magnetic fields. A three-dimensional computational model of 100 MeV electrons incident on a graphite block was used to simulate runawayelectrons striking a plasma facing component at the edge of a tokamak. Results show that more energy from runaway electrons will be deposited in a material that is in the presence of a magnetic field than in a material that is in the presence of no field. For low angle incident runaway electrons in a strong magnetic field, the majority of the increased energy deposition is near the material surface with a higher energy density. Electrons which would have been reflected with no field, orbit the magnetic field lines and are redeposited in the material surface, resulting in a substantial increase in surface energy deposition. Based on previous studies, the higher energy deposition and energy density will result in higher temperatures which are expected to cause more damage to a plasma facing component

  5. Dynamic behavior of plasma-facing materials during plasma instabilities in tokamak reactors

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1997-01-01

    Damage to plasma-facing and nearby components due to plasma instabilities remains a major obstacle to a successful tokamak concept. The high energy deposited on facing materials during plasma instabilities can cause severe erosion, plasma contamination, and structural failure of these components. Erosion damage can take various forms such as surface vaporization, spallation, and liquid ejection of metallic materials. Comprehensive thermodynamic and radiation hydrodynamic codes have been developed, integrated, and used to evaluate the extent of various damage to plasma-facing and nearby components. The eroded and splashed materials will be transported and then redeposited elsewhere on other plasma-facing components. Detailed physics of plasma/solid-liquid/vapor interaction in a strong magnetic field have been developed, optimized, and implemented in a self-consistent model. The plasma energy deposited in the evolving divertor debris is quickly and intensely reradiated, which may cause severe erosion and melting of other nearby components. Factors that influence and reduce vapor-shielding efficiency such as vapor diffusion and turbulence are also discussed and evaluated

  6. Plasma facing materials and components for future fusion devices - development, characterization and performance under fusion specific loading conditions

    Energy Technology Data Exchange (ETDEWEB)

    Linke, J. [Forschungszentrum Juelich (Germany). Inst. fuer Plasmaphysik

    2006-04-15

    The plasma exposed components in existing and future fusion devices are strongly affected by the plasma material interaction processes. These mechanisms have a strong influence on the plasma performance; in addition they have major impact on the lifetime of the plasma facing armour and the joining interface between the plasma facing material (PFM) and the heat sink. Besides physical and chemical sputtering processes, high heat quasi-stationary fluxes during normal and intense thermal transients are of serious concern for the engineers who develop reliable wall components. In addition, the material and component degradation due to intense fluxes of energetic neutrons is another critical issue in D-T-burning fusion devices which requires extensive RandD. This paper presents an overview on the materials development and joining, the testing of PFMs and components, and the analysis of the neutron irradiation induced degradation.

  7. Plasma facing materials and components for future fusion devices - development, characterization and performance under fusion specific loading conditions

    International Nuclear Information System (INIS)

    Linke, J.

    2006-01-01

    The plasma exposed components in existing and future fusion devices are strongly affected by the plasma material interaction processes. These mechanisms have a strong influence on the plasma performance; in addition they have major impact on the lifetime of the plasma facing armour and the joining interface between the plasma facing material (PFM) and the heat sink. Besides physical and chemical sputtering processes, high heat quasi-stationary fluxes during normal and intense thermal transients are of serious concern for the engineers who develop reliable wall components. In addition, the material and component degradation due to intense fluxes of energetic neutrons is another critical issue in D-T-burning fusion devices which requires extensive RandD. This paper presents an overview on the materials development and joining, the testing of PFMs and components, and the analysis of the neutron irradiation induced degradation

  8. Plasma facing materials and components for future fusion devices—development, characterization and performance under fusion specific loading conditions

    Science.gov (United States)

    Linke, J.

    2006-04-01

    The plasma exposed components in existing and future fusion devices are strongly affected by the plasma material interaction processes. These mechanisms have a strong influence on the plasma performance; in addition they have major impact on the lifetime of the plasma facing armour and the joining interface between the plasma facing material (PFM) and the heat sink. Besides physical and chemical sputtering processes, high heat quasi-stationary fluxes during normal and intense thermal transients are of serious concern for the engineers who develop reliable wall components. In addition, the material and component degradation due to intense fluxes of energetic neutrons is another critical issue in D-T-burning fusion devices which requires extensive R&D. This paper presents an overview on the materials development and joining, the testing of PFMs and components, and the analysis of the neutron irradiation induced degradation.

  9. On helium cluster dynamics in tungsten plasma facing components of fusion devices

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Faney, T.; Wirth, B.D.

    2014-01-01

    This paper describes the dynamics of helium clustering behaviour within either a nanometer-sized tendril of fuzz, or a half-space domain, as predicted by a reaction–diffusion model. This analysis has identified a dimensionless parameter, P Δ , which is a balance of the reaction and diffusion actions of insoluble He in a metal matrix and which governs the self-trapping effects of He into growing bubbles within a tendril. The impact of He self-trapping, as well as trapping caused by pre-existing traps in the form of lattice defects or clusters of impurities, within a half-space domain results in the formation of a densely packed layer of nanometer-sized bubbles with high number density. This prediction is consistent with available experimental observations in which a dense zone of helium bubbles is observed in tungsten, which are compared to estimates of the layer characteristics. Direct numerical simulation of the reaction–diffusion cluster dynamics supports the analysis presented here. (paper)

  10. Development and optimisation of tungsten armour geometry for ITER divertor

    International Nuclear Information System (INIS)

    Makhankov, A.; Mazul, I.; Safronov, V.; Yablokov, N.

    1998-01-01

    The plasma facing components (PFC) of the future thermonuclear reactor in great extend determine the time of non-stop operation of the reactor. In current ITER project the most of the divertor PFC surfaces are covered by tungsten armour. Therefore selection of tungsten grade and attachment scheme for joining the tungsten armour to heat sink is a matter of great importance. Two attachment schemes for highly loaded components (up to 20 MW/m 2 ) are described in this paper. The small size mock-ups were manufactured and successfully tested at heat fluxes up to 30 MW/m 2 in screening test and up to 20 MW/m 2 at thermal fatigue test. One mock-up with four different tungsten grades was tested by consequent thermal shock (15 MJ/m 2 at 50 μs) and thermal cycling loading (15 MW/m 2 ). The damages that could lead to mock-up failure were not found but the behaviour of tungsten grades was quite different. (author)

  11. Plasma exposure of different tungsten grades with plasma accelerators under ITER-relevant conditions

    International Nuclear Information System (INIS)

    Makhlaj, Vadym A; Garkusha, Igor E; Aksenov, Nikolay N; Byrka, Oleg V; Bazylev, Boris; Landman, Igor; Linke, Jochen; Wirtz, Marius; Malykhin, Sergey V; Pugachov, Anatoliy T; Sadowski, Marek J; Skladnik-Sadowska, Elzbieta

    2014-01-01

    This paper presents the results of tungsten irradiation experiments performed with three plasma facilities: the QSPA Kh-50 quasi-steady-state plasma accelerator, the PPA pulsed plasma gun and the magneto-plasma compressor. Targets made of different kinds of tungsten (sintered, rolled and deformed) were irradiated with powerful plasma streams at heat fluxes relevant to edge-localized modes in ITER. The irradiated targets were analyzed and two different meshes of cracks were identified. It has been shown that the major cracks do not depend on the tungsten grade. This has been attributed to ductile-to-brittle transition effects. Meshes of inter-granular micro-cracks were detected for energy loads above the melting threshold and these were probably caused by the re-solidification process. The blister-like and cellular-like structures were observed on sample surfaces exposed to helium and hydrogen plasmas. (paper)

  12. Performance of plasma facing materials under intense thermal loads in tokamaks and stellarators

    Energy Technology Data Exchange (ETDEWEB)

    Linke, J.; Hirai, T.; Roedig, M.; Singheiser, L. [Forschungszentrum Juelich GmbH, EURATOM Association, Juelich (Germany)

    2003-07-01

    Beside quasi-stationary plasma operation, short transient thermal pulses with deposited energy densities in the order of several ten MJm{sup -2} are a serious concern for next step devices, in particular for tokamak devices such as ITER. The most serious of these transient events are plasma disruptions. Here a considerable fraction of the plasma energy is deposited on a localized surface area in the divertor strike zone region; the time scale of these events is typically in the order of 1 ms. In spite of the fact that a dense cloud of ablation vapour will form above the strike zone, only partial shielding of the divertor armour from incident plasma particles will occur. As a consequence, thermal shock induced crack formation, vaporization, surface melting, melt layer ejection, and particle emission induced by brittle destruction processes will limit the lifetime of the components. In addition, dust particles (neutron activated metals or tritium enriched carbon) are a serious concern form a safety point of view. Other transient heat loads which occasionally occur in magnetic confinement experiments such as instabilities in the plasma positioning (vertical displacement events) also may cause irreversible damage to plasma facing components (PFC), particularly to metals such as beryllium and tungsten. Another serious damage to PFCs is due to intense fluxes of 14 MeV neutrons in D-T-burning plasma devices. Integrated neutron fluence of several ten dpa in future thermonuclear fusion reactors will degrade essential physical properties of the components (e.g. thermal conductivity); another serious concern is the embrittlement of the heat sink and the plasma facing materials (PFM). (orig.)

  13. Design and development of a LIBS system on linear plasma device PSI-2 for in situ real-time diagnostics of plasma-facing materials

    Directory of Open Access Journals (Sweden)

    X. Jiang

    2017-08-01

    Full Text Available Laser induced breakdown spectroscopy (LIBS is a strong candidate for detecting and monitoring the H/D/T content on the surface of plasma facing components (PFCs due to its capability of fast direct in situ measurement in extreme environment (e.g., vacuum, magnetic field, long distance, complex geometry. To study the feasibilities and encounter the challenges of LIBS on plasma devices, a LIBS system has been set up on the linear plasma device PSI-2. A number of key parameters including laser energy, the influence of magnetic field and the persistence of laser induced plasma are studied. Real-time measurements of deuterium outgassing on tungsten samples exposed to deuterium plasma of 1025 D/m2 are performed in the first 40–130 min after plasma exposure. The experimental results are compared to the calculations in the literature.

  14. Laser-induced breakdown spectroscopy for the analysis of plasma facing components of tokamaks: parametric study and calibration-free measurements

    International Nuclear Information System (INIS)

    Mercadier, L.

    2011-09-01

    During the operation of a nuclear fusion device like the future reactor ITER, a fraction of tritium is trapped in the plasma facing components and has to be measured in order to fulfill nuclear safety requirements. Laser-induced breakdown spectroscopy (LIBS) is proposed to achieve this measurement. The laser plasma produced on carbon fibre composite tiles from the Tore Supra reactor is analyzed via a parametric study: it has to have a temperature over 10000 K and an electron density over 10 17 cm -3 to optimize the application. A calibration-free procedure that takes into account self-absorption is proposed to determine the relative concentration of hydrogen from the experimental spectra. The time- and space-resolved spectral emission of the plasma plume is investigated and reveals the presence of a temperature gradient from the core towards the periphery. This gradient is taken into account and the H/C concentration ratio is deduced. The accuracy of the results is evaluated and discussed. The study of the D/H isotopic ratio under low pressure argon reveals the presence of plume segregation that leads to an error of about 50%, error that can partially be reduced. Tungsten materials are investigated and difficulties related to spectroscopic databases are discussed. Finally, the feasibility of LIBS analysis with depth resolution is validated for multilayered metallic samples. (author)

  15. Plasma-wall interactions data compendium-1. ''Hydrogen retention property, diffusion and recombination coefficients database for selected plasma-facing materials''

    Energy Technology Data Exchange (ETDEWEB)

    Iwakiri, Hirotomo [Kyushu Univ., Fukuoka (Japan). Research Inst. for Applied Mechanics; Matsuhiro, Kenjirou [Osaka Univ., Osaka (Japan); Hirooka, Yoshi [National Inst. for Fusion Science, Toki, Gifu (Japan); Yamamura, Yasunori [Okayama Univ. of Scinece, Okayama (Japan)

    2002-05-01

    A summary on the recent activities of the plasma-wall interactions database task group at the National Institute for Fusion Science is presented in this report. These activities are focused on the compilation of literature data on the key parameters related to wall recycling characteristics that affect dynamic particle balance during plasma discharges and also on-site tritium inventory. More specifically, in this task group a universal fitting formula has been proposed and successfully applied to help compile hydrogen implantation-induced retention data. Also, presented here are the data on hydrogen diffusion and surface recombination coefficients, both critical in modeling dynamic wall recycling behavior. Data compilation has been conducted on beryllium, carbon, tungsten and molybdenum, all currently used for plasma-facing components in magnetic fusion experiments. (author)

  16. Carbon fiber composites application in ITER plasma facing components

    Science.gov (United States)

    Barabash, V.; Akiba, M.; Bonal, J. P.; Federici, G.; Matera, R.; Nakamura, K.; Pacher, H. D.; Rödig, M.; Vieider, G.; Wu, C. H.

    1998-10-01

    Carbon Fiber Composites (CFCs) are one of the candidate armour materials for the plasma facing components of the International Thermonuclear Experimental Reactor (ITER). For the present reference design, CFC has been selected as armour for the divertor target near the plasma strike point mainly because of unique resistance to high normal and off-normal heat loads. It does not melt under disruptions and might have higher erosion lifetime in comparison with other possible armour materials. Issues related to CFC application in ITER are described in this paper. They include erosion lifetime, tritium codeposition with eroded material and possible methods for the removal of the codeposited layers, neutron irradiation effect, development of joining technologies with heat sink materials, and thermomechanical performance. The status of the development of new advanced CFCs for ITER application is also described. Finally, the remaining R&D needs are critically discussed.

  17. Carbon fiber composites application in ITER plasma facing components

    International Nuclear Information System (INIS)

    Barabash, V.; Federici, G.; Matera, R.; Akiba, M.; Nakamura, K.; Bonal, J.P.; Pacher, H.D.; Roedig, M.; Vieider, G.; Wu, C.H.

    1998-01-01

    Carbon fiber composites (CFCs) are one of the candidate armour materials for the plasma facing components of the international thermonuclear experimental reactor (ITER). For the present reference design, CFC has been selected as armour for the divertor target near the plasma strike point mainly because of unique resistance to high normal and off-normal heat loads. It does not melt under disruptions and might have higher erosion lifetime in comparison with other possible armour materials. Issues related to CFC application in ITER are described in this paper. They include erosion lifetime, tritium codeposition with eroded material and possible methods for the removal of the codeposited layers, neutron irradiation effect, development of joining technologies with heat sink materials, and thermomechanical performance. The status of the development of new advanced CFCs for ITER application is also described. Finally, the remaining R and D needs are critically discussed. (orig.)

  18. Modeling of hydrogen desorption from tungsten surface

    Energy Technology Data Exchange (ETDEWEB)

    Guterl, J., E-mail: jguterl@ucsd.edu [University of California, San Diego, La Jolla, CA 92093 (United States); Smirnov, R.D. [University of California, San Diego, La Jolla, CA 92093 (United States); Krasheninnikov, S.I. [University of California, San Diego, La Jolla, CA 92093 (United States); Nuclear Research National University MEPhI, Moscow 115409 (Russian Federation); Uberuaga, B.; Voter, A.F.; Perez, D. [Los Alamos National Laboratory, Los Alamos, NM 8754 (United States)

    2015-08-15

    Hydrogen retention in metallic plasma-facing components is among key-issues for future fusion devices. For tungsten, which has been chosen as divertor material in ITER, hydrogen desorption parameters experimentally measured for fusion-related conditions show large discrepancies. In this paper, we therefore investigate hydrogen recombination and desorption on tungsten surfaces using molecular dynamics simulations and accelerated molecular dynamics simulations to analyze adsorption states, diffusion, hydrogen recombination into molecules, and clustering of hydrogen on tungsten surfaces. The quality of tungsten hydrogen interatomic potential is discussed in the light of MD simulations results, showing that three body interactions in current interatomic potential do not allow to reproduce hydrogen molecular recombination and desorption. Effects of surface hydrogen clustering on hydrogen desorption are analyzed by introducing a kinetic model describing the competition between surface diffusion, clustering and recombination. Different desorption regimes are identified and reproduce some aspects of desorption regimes experimentally observed.

  19. Tungsten and carbon surface change under high dose plasma exposure

    International Nuclear Information System (INIS)

    Martynenko, Y.V.; Khripunov, B.I.; Petrov, V.B.

    2009-01-01

    Study of surface composition dynamics has been made on the LENTA linear plasma simulator. Experiments have been made on tungsten and carbon materials subjected to steady-state plasma exposure. The achieved ion doses on the surface were 10 21 ion cm -2 . WL 10 tungsten containing 1% of La2O3 oxide and titanium-doped graphite RG-T were studied. The following experimental conditions were varied in these experiments: energy of ions, surface temperature, working gas. Irradiations of tungsten WL 10 were executed in deuterium plasma at low ion energies (about 20 eV) and at 200 eV for temperatures below 340 K. Graphite RG-T was exposed at 1300 K. Elevated surface temperature (about 1050K) was also characteristic of experiments on tungsten sample under nitrogen plasma impact (simulated inter-ELMs condition). Surface microstructure modification has been observed and surface composition changes were found on the materials showing influence of high dose plasma irradiations on element redistribution in the near surface layers. (author)

  20. Plasma-wall interaction studies within the EUROfusion consortium: progress on plasma-facing components development and qualification

    Science.gov (United States)

    Brezinsek, S.; Coenen, J. W.; Schwarz-Selinger, T.; Schmid, K.; Kirschner, A.; Hakola, A.; Tabares, F. L.; van der Meiden, H. J.; Mayoral, M.-L.; Reinhart, M.; Tsitrone, E.; Ahlgren, T.; Aints, M.; Airila, M.; Almaviva, S.; Alves, E.; Angot, T.; Anita, V.; Arredondo Parra, R.; Aumayr, F.; Balden, M.; Bauer, J.; Ben Yaala, M.; Berger, B. M.; Bisson, R.; Björkas, C.; Bogdanovic Radovic, I.; Borodin, D.; Bucalossi, J.; Butikova, J.; Butoi, B.; Čadež, I.; Caniello, R.; Caneve, L.; Cartry, G.; Catarino, N.; Čekada, M.; Ciraolo, G.; Ciupinski, L.; Colao, F.; Corre, Y.; Costin, C.; Craciunescu, T.; Cremona, A.; De Angeli, M.; de Castro, A.; Dejarnac, R.; Dellasega, D.; Dinca, P.; Dittmar, T.; Dobrea, C.; Hansen, P.; Drenik, A.; Eich, T.; Elgeti, S.; Falie, D.; Fedorczak, N.; Ferro, Y.; Fornal, T.; Fortuna-Zalesna, E.; Gao, L.; Gasior, P.; Gherendi, M.; Ghezzi, F.; Gosar, Ž.; Greuner, H.; Grigore, E.; Grisolia, C.; Groth, M.; Gruca, M.; Grzonka, J.; Gunn, J. P.; Hassouni, K.; Heinola, K.; Höschen, T.; Huber, S.; Jacob, W.; Jepu, I.; Jiang, X.; Jogi, I.; Kaiser, A.; Karhunen, J.; Kelemen, M.; Köppen, M.; Koslowski, H. R.; Kreter, A.; Kubkowska, M.; Laan, M.; Laguardia, L.; Lahtinen, A.; Lasa, A.; Lazic, V.; Lemahieu, N.; Likonen, J.; Linke, J.; Litnovsky, A.; Linsmeier, Ch.; Loewenhoff, T.; Lungu, C.; Lungu, M.; Maddaluno, G.; Maier, H.; Makkonen, T.; Manhard, A.; Marandet, Y.; Markelj, S.; Marot, L.; Martin, C.; Martin-Rojo, A. B.; Martynova, Y.; Mateus, R.; Matveev, D.; Mayer, M.; Meisl, G.; Mellet, N.; Michau, A.; Miettunen, J.; Möller, S.; Morgan, T. W.; Mougenot, J.; Mozetič, M.; Nemanič, V.; Neu, R.; Nordlund, K.; Oberkofler, M.; Oyarzabal, E.; Panjan, M.; Pardanaud, C.; Paris, P.; Passoni, M.; Pegourie, B.; Pelicon, P.; Petersson, P.; Piip, K.; Pintsuk, G.; Pompilian, G. O.; Popa, G.; Porosnicu, C.; Primc, G.; Probst, M.; Räisänen, J.; Rasinski, M.; Ratynskaia, S.; Reiser, D.; Ricci, D.; Richou, M.; Riesch, J.; Riva, G.; Rosinski, M.; Roubin, P.; Rubel, M.; Ruset, C.; Safi, E.; Sergienko, G.; Siketic, Z.; Sima, A.; Spilker, B.; Stadlmayr, R.; Steudel, I.; Ström, P.; Tadic, T.; Tafalla, D.; Tale, I.; Terentyev, D.; Terra, A.; Tiron, V.; Tiseanu, I.; Tolias, P.; Tskhakaya, D.; Uccello, A.; Unterberg, B.; Uytdenhoven, I.; Vassallo, E.; Vavpetič, P.; Veis, P.; Velicu, I. L.; Vernimmen, J. W. M.; Voitkans, A.; von Toussaint, U.; Weckmann, A.; Wirtz, M.; Založnik, A.; Zaplotnik, R.; PFC contributors, WP

    2017-11-01

    The provision of a particle and power exhaust solution which is compatible with first-wall components and edge-plasma conditions is a key area of present-day fusion research and mandatory for a successful operation of ITER and DEMO. The work package plasma-facing components (WP PFC) within the European fusion programme complements with laboratory experiments, i.e. in linear plasma devices, electron and ion beam loading facilities, the studies performed in toroidally confined magnetic devices, such as JET, ASDEX Upgrade, WEST etc. The connection of both groups is done via common physics and engineering studies, including the qualification and specification of plasma-facing components, and by modelling codes that simulate edge-plasma conditions and the plasma-material interaction as well as the study of fundamental processes. WP PFC addresses these critical points in order to ensure reliable and efficient use of conventional, solid PFCs in ITER (Be and W) and DEMO (W and steel) with respect to heat-load capabilities (transient and steady-state heat and particle loads), lifetime estimates (erosion, material mixing and surface morphology), and safety aspects (fuel retention, fuel removal, material migration and dust formation) particularly for quasi-steady-state conditions. Alternative scenarios and concepts (liquid Sn or Li as PFCs) for DEMO are developed and tested in the event that the conventional solution turns out to not be functional. Here, we present an overview of the activities with an emphasis on a few key results: (i) the observed synergistic effects in particle and heat loading of ITER-grade W with the available set of exposition devices on material properties such as roughness, ductility and microstructure; (ii) the progress in understanding of fuel retention, diffusion and outgassing in different W-based materials, including the impact of damage and impurities like N; and (iii), the preferential sputtering of Fe in EUROFER steel providing an in situ W

  1. Optimisation and characterisation of tungsten thick coatings on copper based alloy substrates

    Science.gov (United States)

    Riccardi, B.; Montanari, R.; Casadei, M.; Costanza, G.; Filacchioni, G.; Moriani, A.

    2006-06-01

    Tungsten is a promising armour material for plasma facing components of nuclear fusion reactors because of its low sputter rate and favourable thermo-mechanical properties. Among all the techniques able to realise W armours, plasma spray looks particularly attractive owing to its simplicity and low cost. The present work concerns the optimisation of spraying parameters aimed at 4-5 mm thick W coating on copper-chromium-zirconium (Cu,Cr,Zr) alloy substrates. Characterisation of coatings was performed in order to assess microstructure, impurity content, density, tensile strength, adhesion strength, thermal conductivity and thermal expansion coefficient. The work performed has demonstrated the feasibility of thick W coatings on flat and curved geometries. These coatings appear as a reliable armour for medium heat flux plasma facing component.

  2. Optimisation and characterisation of tungsten thick coatings on copper based alloy substrates

    International Nuclear Information System (INIS)

    Riccardi, B.; Montanari, R.; Casadei, M.; Costanza, G.; Filacchioni, G.; Moriani, A.

    2006-01-01

    Tungsten is a promising armour material for plasma facing components of nuclear fusion reactors because of its low sputter rate and favourable thermo-mechanical properties. Among all the techniques able to realise W armours, plasma spray looks particularly attractive owing to its simplicity and low cost. The present work concerns the optimisation of spraying parameters aimed at 4-5 mm thick W coating on copper-chromium-zirconium (Cu,Cr,Zr) alloy substrates. Characterisation of coatings was performed in order to assess microstructure, impurity content, density, tensile strength, adhesion strength, thermal conductivity and thermal expansion coefficient. The work performed has demonstrated the feasibility of thick W coatings on flat and curved geometries. These coatings appear as a reliable armour for medium heat flux plasma facing component

  3. Optimisation and characterisation of tungsten thick coatings on copper based alloy substrates

    Energy Technology Data Exchange (ETDEWEB)

    Riccardi, B. [Associazione Euratom-ENEA sulla Fusione, CR Frascati, P.B. 65 00044 Frascati, Roma (Italy)]. E-mail: riccardi@frascati.enea.it; Montanari, R. [Dipartimento di Ingegneria Meccanica, Universita di Roma, Tor Vergata, 00133 Roma (Italy); Casadei, M. [Centro Sviluppo Materiali, 00100 Roma (Italy); Costanza, G. [Dipartimento di Ingegneria Meccanica, Universita di Roma, Tor Vergata, 00133 Roma (Italy); Filacchioni, G. [ENEA CR Casaccia, I-00060 S. M. di Galeria, Roma (Italy); Moriani, A. [Associazione Euratom-ENEA sulla Fusione, CR Frascati, P.B. 65 00044 Frascati, Roma (Italy)

    2006-06-30

    Tungsten is a promising armour material for plasma facing components of nuclear fusion reactors because of its low sputter rate and favourable thermo-mechanical properties. Among all the techniques able to realise W armours, plasma spray looks particularly attractive owing to its simplicity and low cost. The present work concerns the optimisation of spraying parameters aimed at 4-5 mm thick W coating on copper-chromium-zirconium (Cu,Cr,Zr) alloy substrates. Characterisation of coatings was performed in order to assess microstructure, impurity content, density, tensile strength, adhesion strength, thermal conductivity and thermal expansion coefficient. The work performed has demonstrated the feasibility of thick W coatings on flat and curved geometries. These coatings appear as a reliable armour for medium heat flux plasma facing component.

  4. Plasma facing components: a conceptual design strategy for the first wall in FAST tokamak

    Science.gov (United States)

    Labate, C.; Di Gironimo, G.; Renno, F.

    2015-09-01

    Satellite tokamaks are conceived with the main purpose of developing new or alternative ITER- and DEMO-relevant technologies, able to contribute in resolving the pending issues about plasma operation. In particular, a high criticality needs to be associated to the design of plasma facing components, i.e. first wall (FW) and divertor, due to physical, topological and thermo-structural reasons. In such a context, the design of the FW in FAST fusion plant, whose operational range is close to ITER’s one, takes place. According to the mission of experimental satellites, the FW design strategy, which is presented in this paper relies on a series of innovative design choices and proposals with a particular attention to the typical key points of plasma facing components design. Such an approach, taking into account a series of involved physical constraints and functional requirements to be fulfilled, marks a clear borderline with the FW solution adopted in ITER, in terms of basic ideas, manufacturing aspects, remote maintenance procedure, manifolds management, cooling cycle and support system configuration.

  5. Feasibility of arc-discharge and plasma-sputtering methods in cleaning plasma-facing and diagnostics components of fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Hakola, Antti, E-mail: antti.hakola@vtt.fi [VTT Technical Research Centre of Finland, VTT (Finland); Likonen, Jari [VTT Technical Research Centre of Finland, VTT (Finland); Karhunen, Juuso; Korhonen, Juuso T. [Department of Applied Physics, Aalto University (Finland); Aints, Märt; Laan, Matti; Paris, Peeter [Department of Physics, University of Tartu (Estonia); Kolehmainen, Jukka; Koskinen, Mika; Tervakangas, Sanna [DIARC-Technology Oy, Espoo (Finland)

    2015-10-15

    Highlights: • Feasibility of the arc-discharge and plasma-sputtering techniques in removing deposited layers from ITER-relevant samples demonstrated. • Samples with the size of an A4 paper can be cleaned from 1-μm thick deposited layers in 10–20 minutes by the arc-discharge method. • The plasma-sputtering method is 5–10 times slower but the resulting surfaces are very smooth. • Arc-discharge method could be used for rapid cleaning of plasma-facing components during maintenance shutdowns of ITER, plasma sputtering is preferred for diagnostics mirrors. - Abstract: We have studied the feasibility of arc-discharge and plasma-sputtering methods in removing deposited layers from ITER-relevant test samples. Prototype devices have been designed and constructed for the experiments and the cleaning process is monitored by a spectral detection system. The present version of the arc-discharge device is capable of removing 1-μm thick layers from 350-mm{sup 2} areas in 4–8 s, but due to the increased roughness of the cleaned surfaces and signs of local melting, mirror-like surfaces cannot be treated by this technique. The plasma-sputtering approach, for its part, is some 5–10 times slower in removing the deposited layers but no changes in surface roughness or morphology of the samples could be observed after the cleaning phase. The arc-discharge technique could therefore be used for rapid cleaning of plasma-facing components during maintenance shutdowns of ITER while in the case of diagnostics mirrors plasma sputtering is preferred.

  6. Recent Advances on Hydrogenic Retention in ITER's Plasma-Facing Materials: BE, C, W

    International Nuclear Information System (INIS)

    Skinner, C.H.; Haasz, A.A.; Alimov, V.Kh.; Bekris, N.; Causey, R.A.; Clark, R.E.H.; Coad, J.P.; Davis, J.W.; Doerner, R.P.; Mayer, M.; Pisarev, A.; Roth, J.; Tanabe, T.

    2008-01-01

    Management of tritium inventory remains one of the grand challenges in the development of fusion energy and the choice of plasma-facing materials is a key factor for in-vessel tritium retention. The Atomic and Molecular Data Unit of the International Atomic Energy Agency organized a Coordinated Research Project (CRP) on the overall topic of tritium inventory in fusion reactors during the period 2001-2006. This dealt with hydrogenic retention in ITER's plasma-facing materials, Be, C, W, and in compounds (mixed materials) of these elements as well as tritium removal techniques. The results of the CRP are summarized in this article together with recommendations for ITER. Basic parameters of diffusivity, solubility and trapping in Be, C and W are reviewed. For Be, the development of open porosity can account for transient hydrogenic pumping but long term retention will be dominated by codeposition. Codeposition is also the dominant retention mechanism for carbon and remains a serious concern for both Be and C containing layers. Hydrogenic trapping in unirradiated tungsten is low but will increase with ion and neutron damage. Mixed materials will be formed in a tokamak and these can also retain significant amounts of hydrogen isotopes. Oxidative and photon-based techniques for detritiation of plasma-facing components are described

  7. Recent Advances on Hydrogenic Retention in ITER's Plasma-Facing Materials: BE, C, W.

    Energy Technology Data Exchange (ETDEWEB)

    Skinner, C H; Alimov, Kh; Bekris, N; Causey, R A; Clark, R.E.H.; Coad, J P; Davis, J W; Doerner, R P; Mayer, M; Pisarev, A; Roth, J

    2008-03-29

    Management of tritium inventory remains one of the grand challenges in the development of fusion energy and the choice of plasma-facing materials is a key factor for in-vessel tritium retention. The Atomic and Molecular Data Unit of the International Atomic Energy Agency organized a Coordinated Research Project (CRP) on the overall topic of tritium inventory in fusion reactors during the period 2001-2006. This dealt with hydrogenic retention in ITER's plasma-facing materials, Be, C, W, and in compounds (mixed materials) of these elements as well as tritium removal techniques. The results of the CRP are summarized in this article together with recommendations for ITER. Basic parameters of diffusivity, solubility and trapping in Be, C and W are reviewed. For Be, the development of open porosity can account for transient hydrogenic pumping but long term retention will be dominated by codeposition. Codeposition is also the dominant retention mechanism for carbon and remains a serious concern for both Be and C containing layers. Hydrogenic trapping in unirradiated tungsten is low but will increase with ion and neutron damage. Mixed materials will be formed in a tokamak and these can also retain significant amounts of hydrogen isotopes. Oxidative and photon-based techniques for detritiation of plasma-facing components are described.

  8. Direct depth distribution measurement of deuterium in bulk tungsten exposed to high-flux plasma

    Directory of Open Access Journals (Sweden)

    C. N. Taylor

    2017-05-01

    Full Text Available Understanding tritium retention and permeation in plasma-facing components is critical for fusion safety and fuel cycle control. Glow discharge optical emission spectroscopy (GD-OES is shown to be an effective tool to reveal the depth profile of deuterium in tungsten. Results confirm the detection of deuterium. A ∼46 μm depth profile revealed that the deuterium content decreased precipitously in the first 7 μm, and detectable amounts were observed to depths in excess of 20 μm. The large probing depth of GD-OES (up to 100s of μm enables studies not previously accessible to the more conventional techniques for investigating deuterium retention. Of particular applicability is the use of GD-OES to measure the depth profile for experiments where high deuterium concentration in the bulk material is expected: deuterium retention in neutron irradiated materials, and ultra-high deuterium fluences in burning plasma environment.

  9. Deuterium inventory in tungsten after plasma exposure. A microstructural survey

    International Nuclear Information System (INIS)

    Manhard, Armin

    2012-09-01

    Tungsten is a promising material for armouring the plasma-facing wall of future nuclear fusion experiments and power plants. It has a very high melting point, good thermal conductivity and is highly resistant against physical sputtering by energetic particles from the plasma. It also has a very low solubility for hydrogen isotopes. This is important both for safety and also for economic reasons, in particular with regard to the radioactive fusion fuel tritium. Due to this low solubility, the retention of hydrogen isotopes in tungsten materials after exposure to a plasma is dominated by the trapping of hydrogen isotopes at tungsten lattice defects. Therefore, a strong dependence of the hydrogen isotope retention on the microstructure of the tungsten is to be expected. This work describes a survey study of tungsten with different microstructures exposed to deuterium plasmas under a wide range of different plasma exposure conditions. The isotope deuterium was used because its natural abundance is much smaller than that of hydrogen (i.e., 1 H). This allows detecting even very small amounts retained in the tungsten practically without background signal. Furthermore, the use of deuterium allows utilising the nuclear reaction 2 D( 3 He,p) 4 He for depth-resolved quantification of the deuterium inventory up to depths of several microns. In order to standardise the specimens as far as possible, they were all cut from the same initial material from a single manufacturing batch. After a chemo-mechanical polishing procedure, which produces a well-defined surface, the specimens were annealed at either of four different temperatures in order to modify the grain structure and the dislocation density. These were then characterised by scanning electron microscopy and scanning transmission electron microscopy. The specimens were subsequently exposed in a fully characterised deuterium plasma source at different specimen temperatures, ion energies and deuterium fluences. In addition

  10. Heat loads to divertor nearby components from secondary radiation evolved during plasma instabilities

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V., E-mail: vsizyuk@purdue.edu; Hassanein, A., E-mail: hassanein@purdue.edu [Center for Materials under Extreme Environment, School of Nuclear Engineering, Purdue University, West Lafayette, IN 47907 (United States)

    2015-01-15

    A fundamental issue in tokamak operation related to power exhaust during plasma instabilities is the understanding of heat and particle transport from the core plasma into the scrape-off layer and to plasma-facing materials. During abnormal and disruptive operation in tokamaks, radiation transport processes play a critical role in divertor/edge-generated plasma dynamics and are very important in determining overall lifetimes of the divertor and nearby components. This is equivalent to or greater than the effect of the direct impact of escaped core plasma on the divertor plate. We have developed and implemented comprehensive enhanced physical and numerical models in the upgraded HEIGHTS package for simulating detailed photon and particle transport in the evolved edge plasma during various instabilities. The paper describes details of a newly developed 3D Monte Carlo radiation transport model, including optimization methods of generated plasma opacities in the full range of expected photon spectra. Response of the ITER divertor's nearby surfaces due to radiation from the divertor-developed plasma was simulated by using actual full 3D reactor design and magnetic configurations. We analyzed in detail the radiation emission spectra and compared the emission of both carbon and tungsten as divertor plate materials. The integrated 3D simulation predicted unexpectedly high damage risk to the open stainless steel legs of the dome structure in the current ITER design from the intense radiation during a disruption on the tungsten divertor plate.

  11. Final Report: Safety of Plasma-Facing Components and Aerosol Transport During Hard Disruptions and Accidental Energy Release in Fusion Reactor

    International Nuclear Information System (INIS)

    Bourham, Mohamed A.; Gilligan, John G.

    1999-01-01

    Safety considerations in large future fusion reactors like ITER are important before licensing the reactor. Several scenarios are considered hazardous, which include safety of plasma-facing components during hard disruptions, high heat fluxes and thermal stresses during normal operation, accidental energy release, and aerosol formation and transport. Disruption events, in large tokamaks like ITER, are expected to produce local heat fluxes on plasma-facing components, which may exceed 100 GW/m 2 over a period of about 0.1 ms. As a result, the surface temperature dramatically increases, which results in surface melting and vaporization, and produces thermal stresses and surface erosion. Plasma-facing components safety issues extends to cover a wide range of possible scenarios, including disruption severity and the impact of plasma-facing components on disruption parameters, accidental energy release and short/long term LOCA's, and formation of airborne particles by convective current transport during a LOVA (water/air ingress disruption) accident scenario. Study, and evaluation of, disruption-induced aerosol generation and mobilization is essential to characterize database on particulate formation and distribution for large future fusion tokamak reactor like ITER. In order to provide database relevant to ITER, the SIRENS electrothermal plasma facility at NCSU has been modified to closely simulate heat fluxes expected in ITER

  12. Mobility of hydrogen-helium clusters in tungsten studied by molecular dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Grigorev, Petr, E-mail: grigorievpit@gmail.com [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, Mol, 2400 (Belgium); Ghent University, Applied Physics EA17 FUSION-DC, St.Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Department of Experimental Nuclear Physics K-89, Institute of Physics, Nanotechnologies, and Telecommunications, Peter the Great St.Petersburg Polytechnic University, St. Petersburg (Russian Federation); Terentyev, Dmitry; Bonny, Giovanni [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, Mol, 2400 (Belgium); Zhurkin, Evgeny E. [Department of Experimental Nuclear Physics K-89, Institute of Physics, Nanotechnologies, and Telecommunications, Peter the Great St.Petersburg Polytechnic University, St. Petersburg (Russian Federation); Oost, Guido van [Ghent University, Applied Physics EA17 FUSION-DC, St.Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Noterdaeme, Jean-Marie [Ghent University, Applied Physics EA17 FUSION-DC, St.Pietersnieuwstraat, 41 B4, B-9000, Gent (Belgium); Max-Planck-Institut für Plasmaphysik, Garching (Germany)

    2016-06-15

    Tungsten is a primary candidate material for plasma facing components in fusion reactors. Interaction of plasma components with the material is unavoidable and will lead to degradation of the performance and the lifetime of the in-vessel components. In order to gain better understanding the mechanisms driving the material degradation at atomic level, atomistic simulations are employed. In this work we study migration, stability and self-trapping properties of pure helium and mixed helium-hydrogen clusters in tungsten by means of molecular dynamics simulations. We test two versions of an embedded atom model interatomic potential by comparing it with ab initio data regarding the binding properties of He clusters. By analysing the trajectories of the clusters during molecular dynamics simulations at finite temperatures we obtain the diffusion parameters. The results show that the diffusivity of mixed clusters is significantly lower, than that of pure helium clusters. The latter suggest that the formation of mixed clusters during mixed hydrogen helium plasma exposure will affect the helium diffusivity in the material.

  13. Tungsten as First Wall Material in Fusion Devices

    International Nuclear Information System (INIS)

    Kaufmann, M.

    2006-01-01

    In the PLT tokamak with a tungsten limiter strong cooling of the central plasma was observed. Since then mostly graphite has been used as limiter or target plate material. Only a few tokamaks (limiter: FTU, TEXTOR; divertor: Alcator C-Mod, ASDEX Upgrade) gained experience with high-Z-materials. With the observed strong co- deposition of tritium together with carbon in JET and as a result of design studies of fusion reactors, it became clear that in the long run tungsten is the favourite for the first-wall material. Tungsten as a plasma facing material requires intensive research in all areas, i.e. in plasma physics, plasma wall-interaction and material development. Tungsten as an impurity in the confined plasma reveals considerable differences to carbon. Strong radiation at high temperatures, in connection with mostly a pronounced inward drift forms a particular challenge. Turbulent transport plays a beneficial role in this regard. The inward drift is an additional problem in the pedestal region of H-mode plasmas in ITER-like configurations. The erosion by low energy hydrogen atoms is in contrast to carbon small. However, erosion by fast particles from heating measures and impurity ions, accelerated in the sheath potential, play an important role in the case of tungsten. Radiation by carbon in the plasma boundary reduces the load to the target plates. Neon or Argon as substitutes will increase the erosion of tungsten. So far experiments have demonstrated that in most scenarios the tungsten content in the central plasma can be kept sufficiently small. The material development is directed to the specific needs of existing or future devices. In ASDEX Upgrade, which will soon be a divertor experiment with a complete tungsten first-wall, graphite tiles are coated with tungsten layers. In ITER, the solid tungsten armour of the target plates has to be castellated because of its difference in thermal expansion compared to the cooling structure. In a reactor the technical

  14. A supercritical carbon dioxide plasma process for preparing tungsten oxide nanowires

    International Nuclear Information System (INIS)

    Kawashima, Ayato; Nomura, Shinfuku; Toyota, Hiromichi; Takemori, Toshihiko; Mukasa, Shinobu; Maehara, Tsunehiro

    2007-01-01

    A supercritical carbon dioxide (CO 2 ) plasma process for fabricating one-dimensional tungsten oxide nanowires coated with amorphous carbon is presented. High-frequency plasma was generated in supercritical carbon dioxide at 20 MPa by using tungsten electrodes mounted in a supercritical cell, and subsequently an organic solvent was introduced with supercritical carbon dioxide into the plasma. Electron microscopy and Raman spectroscopy investigations of the deposited materials showed the production of tungsten oxide nanowires with or without an outer layer. The nanowires with an outer layer exhibited a coaxial structure with an outer concentric layer of amorphous carbon and an inner layer of tungsten oxide with a thickness and diameter of 20-30 and 10-20 nm, respectively

  15. Heat Loads On Tore Supra ICRF Launchers Plasma Facing Components

    International Nuclear Information System (INIS)

    Bremond, S.; Colas, L.; Chantant, M.; Beaumont, B.; Ekedahl, A.; Goniche, M.; Moreau, P.; Mitteau, R.

    2005-01-01

    Understanding the heat loads on Ion Cyclotron Range of Frequency launchers plasma facing components is a crucial task both for operating present tokamaks and for designing ITER ICRF launchers as these loads may limit the RF power coupling capability. Tore Supra facility is particularly well suited to take this issue. Parametric studies have been performed which enables to get an overall detailed picture of the different heat loads on several areas, pointing to different mechanisms at the origin of the heat power fluxes. Lessons are drawned both with regards to Tore Supra possible operational limits and to ITER ICRF launcher design

  16. The influence of substrate temperature and spraying distance on the properties of plasma sprayed tungsten and steel coatings deposited in a shrouding chamber

    Czech Academy of Sciences Publication Activity Database

    Matějíček, Jiří; Vilémová, Monika; Nevrlá, Barbara; Kocmanová, Lenka; Veverka, Jakub; Halasová, Martina; Hadraba, Hynek

    2017-01-01

    Roč. 318, May (2017), s. 217-223 ISSN 0257-8972. [International Meeting on Thermal Spraying (RIPT)/7./. Limoges, 09.12.2015-11.12.2015] R&D Projects: GA ČR GB14-36566G EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 ; RVO:68081723 Keywords : Tungsten * Steel * Atmospheric plasma spraying * Shrouding * Substrate temperature * Fusion reactor materials * Plasma facing components Subject RIV: JK - Corrosion ; Surface Treatment of Materials; JK - Corrosion ; Surface Treatment of Materials (UFM-A) OBOR OECD: Coating and films; Coating and films (UFM-A) Impact factor: 2.589, year: 2016 http://www.sciencedirect.com/science/ article /pii/S0257897216310520

  17. Tungsten Deposition on Graphite using Plasma Enhanced Chemical Vapour Deposition

    International Nuclear Information System (INIS)

    Sharma, Uttam; Chauhan, Sachin S; Sharma, Jayshree; Sanyasi, A K; Ghosh, J; Choudhary, K K; Ghosh, S K

    2016-01-01

    The tokamak concept is the frontrunner for achieving controlled thermonuclear reaction on earth, an environment friendly way to solve future energy crisis. Although much progress has been made in controlling the heated fusion plasmas (temperature ∼ 150 million degrees) in tokamaks, technological issues related to plasma wall interaction topic still need focused attention. In future, reactor grade tokamak operational scenarios, the reactor wall and target plates are expected to experience a heat load of 10 MW/m 2 and even more during the unfortunate events of ELM's and disruptions. Tungsten remains a suitable choice for the wall and target plates. It can withstand high temperatures, its ductile to brittle temperature is fairly low and it has low sputtering yield and low fuel retention capabilities. However, it is difficult to machine tungsten and hence usages of tungsten coated surfaces are mostly desirable. To produce tungsten coated graphite tiles for the above-mentioned purpose, a coating reactor has been designed, developed and made operational at the SVITS, Indore. Tungsten coating on graphite has been attempted and successfully carried out by using radio frequency induced plasma enhanced chemical vapour deposition (rf -PECVD) for the first time in India. Tungsten hexa-fluoride has been used as a pre-cursor gas. Energy Dispersive X-ray spectroscopy (EDS) clearly showed the presence of tungsten coating on the graphite samples. This paper presents the details of successful operation and achievement of tungsten coating in the reactor at SVITS. (paper)

  18. Simulating tritium retention in tungsten with a multiple trap model in the TMAP code

    International Nuclear Information System (INIS)

    Merrill, Brad J.; Shimada, Masashi; Humrickhouse, Paul W.

    2013-01-01

    Accurately predicting the quantity of tritium retained in plasma facing components is a key safety issue for licensing future fusion power reactors. Retention of tritium in the lattice damage caused when high energy neutrons collide with atoms in the structural material of the reactor's plasma facing components (PFCs) is an area of ongoing experimental research at the Idaho National Laboratory (INL) under the US/Japan TITAN collaboration. Recent experiments with the Tritium Plasma Experiment (TPE), located in the INL's Safety and Tritium Applied Research (STAR) facility, demonstrate that this damage can only be simulated by computer codes like the Tritium Migration Analysis Program (TMAP) if one assumes that the lattice damage produced by these neutrons results in multiple types of hydrogen traps (energy wells) within the material, each possessing a different trap energy and density. Previous attempts to simulate the quantity of deuterium released from neutron irradiated TPE tungsten targets indicated that at least six different traps are required by TMAP to model this release. In this paper we describe a recent extension of the TMAP trap site model to include as many traps as required by the user to simulate retention of tritium in neutron damaged tungsten. This model has been applied to data obtained for tungsten irradiated to a damage level of 0.025 dpa in the High Flux Isotope Reactor (HFIR) at the Oak Ridge National Laboratory (ORNL) after exposure to a plasma in TPE. (author)

  19. Tungsten covered graphite and copper elements and ITER-like actively cooled tungsten divertor plasma facing units for the WEST project

    International Nuclear Information System (INIS)

    Guilhem, D; Bucalossi, J; Burles, S; Corre, Y; Ferlay, F; Firdaouss, M; Languille, P; Lipa, M; Martinez, A; Missirlian, M; Proust, M; Richou, M; Samaille, F; Tsitrone, E

    2016-01-01

    After a brief introduction giving some insight of the WEST project, we present the three types of plasma facing units (PFUs) developed for the WEST project taking into account the envisaged main scenarios: (1) high power short pulse scenario (a few seconds) where the objective is to maximize the power handling of the PFUs, up to 20 MW m −2 , (2) high fluence scenario (a few 100 s) on actively cooled ITER-like tungsten (W) PFUs, up to 10 MW m −2 during 1000 s. For the graphite PFUs, the high heat flux tests have been done at GLADIS (ion beam test facility), and for the CuCrZr PFUs on the JUDITH (electron beam test facility). The tests were successful, as no damage occurred for the different load cases. This confirms that the modelling done during the design phase is appropriate to describe these PFUs. Series productions are expected to be achieved by the end of 2015 for the graphite and CuCrZr PFUs, and few ITER-like W PFUs are expected at the beginning of 2016. The lower divertor will be complemented with ITER-like W PFUs as soon as available from our partners so that different fabrication procedures could be evaluated in a real industrial process and a real tokamak environment. (paper)

  20. Technological challenges at ITER plasma facing components production in Russia

    Energy Technology Data Exchange (ETDEWEB)

    Mazul, I.V., E-mail: mazuliv@niiefa.spb.su [Efremov Institute, 196641 St. Petersburg (Russian Federation); Belyakov, V.A.; Gervash, A.A.; Giniyatulin, R.N.; Guryeva, T.M.; Kuznetsov, V.E.; Makhankov, A.N.; Okunev, A.A. [Efremov Institute, 196641 St. Petersburg (Russian Federation); Sevryukov, O.N. [MEPhI, 115409 Moscow (Russian Federation)

    2016-11-01

    Highlights: • Technological aspects of ITER PFC manufacturing in Russia are presented. • Range of technologies to be used during manufacturing of ITER PFC at Efremov Institute has been, in general, defined and their complexity, originality and difficulty are described. • Some features and challenges of welding, brazing and various tests are discussed. - Abstract: Major part of ITER plasma facing components will be manufactured in the Russian Federation (RF). Operational conditions and other requirements to these components, as well as the scale of production, are quite unique. These unique features and related technological solutions found in the frame of the project are discussed. Procedure breakdown and results of qualification for the proposed technologies and potential producers are presented, based on mockups production and testing. Design of qualification mockups and prototypes, testing programs and results are described. Basic quantitative and qualitative parameters of manufactured components and methods of quality control are presented. Critical manufacturing issues and prospects for unique production for future fusion needs are discussed.

  1. The Effect of Ion Energy and Substrate Temperature on Deuterium Trapping in Tungsten

    Science.gov (United States)

    Roszell, John Patrick Town

    Tungsten is a candidate plasma facing material for next generation magnetic fusion devices such as ITER and there are major operational and safety issues associated with hydrogen (tritium) retention in plasma facing components. An ion gun was used to simulate plasma-material interactions under various conditions in order to study hydrogen retention characteristics of tungsten thus enabling better predictions of hydrogen retention in ITER. Thermal Desorption Spectroscopy (TDS) was used to measure deuterium retention from ion irradiation while modelling of TDS spectra with the Tritium Migration Analysis Program (TMAP) was used to provide information about the trapping mechanisms involved in deuterium retention in tungsten. X-ray Photoelectron Spectroscopy (XPS) and Secondary Ion Mass Spectrometry (SIMS) were used to determine the depth resolved composition of specimens used for irradiation experiments. Carbon and oxygen atoms will be among the most common contaminants within ITER. C and O contamination in polycrystalline tungsten (PCW) specimens even at low levels (˜0.1%) was shown to reduce deuterium retention by preventing diffusion of deuterium into the bulk of the specimen. This diffusion barrier was also responsible for the inhibition of blister formation during irradiations at 500 K. These observations may provide possible mitigation techniques for problems associated with tritium retention and mechanical damage to plasma facing components caused by hydrogen implantation. Deuterium trapping in PCW and single crystal tungsten (SCW) was studied as a function of ion energy and substrate temperature. Deuterium retention was shown to decrease with decreasing ion energy below 100 eV/D+. Irradiation of tungsten specimens with 10 eV/D+ ions was shown to retain up to an order of magnitude less deuterium than irradiation with 500 eV/D+ ions. Furthermore, the retention mechanism for deuterium was shown to be consistent across the entire energy range studied (10-500 e

  2. Influence of tungsten microstructure and ion flux on deuterium plasma-induced surface modifications and deuterium retention

    Energy Technology Data Exchange (ETDEWEB)

    Buzi, Luxherta [IEK - Plasmaphysik, Forschungszentrum Juelich GmbH, Association EURATOM-FZJ, Juelich (Germany); FOM Institute DIFFER-Dutch Institute for Fundamental Energy Research (Netherlands); Ghent University (Belgium); Temmerman, Greg de [FOM Institute DIFFER-Dutch Institute for Fundamental Energy Research (Netherlands); Reinhart, Michael; Matveev, Dmitry; Unterberg, Bernhard; Wienhold, Peter; Breuer, Uwe; Kreter, Arkadi [IEK - Plasmaphysik, Forschungszentrum Juelich GmbH, Association EURATOM-FZJ, Juelich (Germany); Oost, Guido van [Ghent University (Belgium)

    2014-07-01

    Tungsten is to be used as plasma-facing material for the ITER divertor due to its favourable thermal properties, low erosion and fuel retention. Bombardment of tungsten by low energy ions of hydrogen isotopes, at different surface temperature, can lead to surface modifications and influence the fuel accumulation in the material. This contribution will assess the impact of material microstructure and the correlation between the particle flux, surface modifications and deuterium retention in tungsten. Tungsten samples were exposed to deuterium plasma at a surface temperature of 510 K, 670 K and 870 K, ion energy of 40 eV and ion fluence of 10{sup 26} m{sup -2}. The high and low ion flux ranges were in the order 10{sup 24} m{sup -2}s{sup -1} and 10{sup 22} m{sup -2}s{sup -1}. Depth profiling of deuterium in all the samples was done by secondary ion mass spectroscopy technique and a scanning electron microscope was used to investigate the surface modifications. Modelling of the D desorption spectra with the coupled reaction diffusion system model will be also presented.

  3. Development of bonding techniques of W and Cu-alloys for plasma facing components of fusion reactor with HIP method

    International Nuclear Information System (INIS)

    Saito, S.; Fukaya, K.; Ishiyama, S.; Eto, M.; Sato, K.; Akiba, M.

    1998-01-01

    W (tungsten) and Cu (copper)-alloys, like oxygen free high thermal conductivity (OFHC)-copper or dispersion strengthened (DS)-copper, are candidate materials for plasma facing components(PFC) of TOKAMAK type fusion reactor as armor tile and heat sink, respectively. However, PFC are exposed to cyclic high heat load and heavy irradiation by 14 MeV neutrons. Under these conditions, thermal stresses at bonding interface and irradiation damage will decrease the bonding strength between W and Cu alloys. Therefore, it is necessary to develop a reliable bonding techniques in order to make PFC with enough integrity. We have applied the hot isostatic press (HIP) method to bond W with Cu-alloys. In this experiments, to optimize HIP bonding conditions, four point bending tests were performed for different bonding conditions at temperatures from R.T. to 873 K and we obtained an optimum HIP bonding condition for W and OFHC-Cu as 1273 SK x 2 hours x 98 ∼ 147 MPa. Tensile tests were also performed at the same temperature range. The tensile strength of the bonded W / Cu was almost equal to that of OFHC Cu which was HIPed at the same conditions. Tensile specimens were broken at the bonding interface or OFHC-Cu side. Bonding tests of W and DS-Cu showed that HIP was not successful because tungsten oxide was produced at the bonding interface and residual stresses were not relaxed. Therefore, it was concluded that some insert materials will be needed to bond W and DS-Cu. (author)

  4. Consolidation of tungsten disilicide by plasma spraying

    Czech Academy of Sciences Publication Activity Database

    Brožek, Vlastimil; Ctibor, Pavel; Matějíček, Jiří; Rohan, Pavel; Janča, J.

    2007-01-01

    Roč. 52, č. 3 (2007), s. 311-320 ISSN 0001-7043 R&D Projects: GA ČR(CZ) GA104/05/0540 Institutional research plan: CEZ:AV0Z20430508 Keywords : Water stabilized plasma * tungsten disilicide * plasma deposition * thermal spray coatings Subject RIV: JJ - Other Materials

  5. Thermographic analysis of plasma facing components covered by carbon surface layer in tokamaks

    International Nuclear Information System (INIS)

    Gardarein, Jean-Laurent

    2007-01-01

    Tokamaks are reactors based on the thermonuclear fusion energy with magnetic confinement of the plasma. In theses machines, several MW are coupled to the plasma for about 10 s. A large part of this power is directed towards plasma facing components (PFC). For better understanding and control the heat flux transfer from the plasma to the surrounding wall, it is very important to measure the surface temperature of the PFC and to estimate the imposed heat flux. In most of tokamaks using carbon PFC, the eroded carbon is circulating in the plasma and redeposited elsewhere. During the plasma operations, this leads at some locations to the formation of thin or thick carbon layers usually poorly attached to the PFC. These surface layers with unknown thermal properties complicate the calculation of the heat flux from IR surface temperature measurements. To solve this problem, we develop first, inverse method to estimate the heat flux using thermocouple (not sensitive to the carbon surface layers) temperature measurements. Then, we propose a front face pulsed photothermal method allowing an estimation of layers thermal diffusivity, conductivity, effusivity and the thermal contact resistance between the layer and the tile. The principle is to study with an infrared sensor, the cooling of the layer surface after heating by a short laser pulse, this cooling depending on the thermal properties of the successive layers. (author) [fr

  6. Characterization of thermomechanical damage on tungsten surfaces during long-duration plasma transients

    Energy Technology Data Exchange (ETDEWEB)

    Rivera, David, E-mail: david.rivera.ucla@gmail.com; Crosby, Tamer; Sheng, Andrew; Ghoniem, Nasr M.

    2014-12-15

    A new experimental facility constructed at UCLA for the simulation of high heat flux effects on plasma-facing materials is described. The High Energy Flux Test Facility (HEFTY) is equipped with a Praxair model SG-100 plasma gun, which is nominally rated at 80 kW of continuous operation, of which approximately 30 kW reaches the target due to thermal losses. The gun is used to impart high intermittent heat flux to metal samples mounted within a cylindrical chamber. The system is capable of delivering an instantaneous heat flux in the range of 30–300 MW/m{sup 2}, depending on sample proximity to the gun. The duration of the plasma heat flux is in the range of 1–1000 s, making it ideal for studies of mild plasma transients of relatively long duration. Tungsten and tungsten-copper alloy metal samples are tested in these transient heat flux conditions, and the surface is characterized for damage evaluation using optical, SEM, XRD, and micro-fabrication techniques. Results from a Finite Element (FE) thermo-elastoplasticity model indicate that during the heat-up phase of a plasma transient pulse, the majority of the sample surface is under compressive stresses leading to plastic deformation of the surface. Upon sample cooling, the recovered elastic strain of cooler parts of the sample exceeds that from parts that deformed plastically, resulting in a tensile surface self-stress (residual surface stress). The intensity of the residual tensile surface stress is experimentally correlated with the onset of complex surface fracture morphology on the tungsten surface, and extending below the surface region. Micro-compression mechanical tests of W micro-pillars show that the material has significant plasticity, failing by a “barreling” mode before plasma exposure, and by normal dislocation slip and localized shear after plasma exposure. Ongoing modeling of the complex thermo-fracture process, coupled with elasto-plasticity is based on a phase field approach for distributed

  7. RACLETTE: a model for evaluating the thermal response of plasma facing components to slow high power plasma transients. Part II: Analysis of ITER plasma facing components

    Science.gov (United States)

    Federici, Gianfranco; Raffray, A. René

    1997-04-01

    The transient thermal model RACLETTE (acronym of Rate Analysis Code for pLasma Energy Transfer Transient Evaluation) described in part I of this paper is applied here to analyse the heat transfer and erosion effects of various slow (100 ms-10 s) high power energy transients on the actively cooled plasma facing components (PFCs) of the International Thermonuclear Experimental Reactor (ITER). These have a strong bearing on the PFC design and need careful analysis. The relevant parameters affecting the heat transfer during the plasma excursions are established. The temperature variation with time and space is evaluated together with the extent of vaporisation and melting (the latter only for metals) for the different candidate armour materials considered for the design (i.e., Be for the primary first wall, Be and CFCs for the limiter, Be, W, and CFCs for the divertor plates) and including for certain cases low-density vapour shielding effects. The critical heat flux, the change of the coolant parameters and the possible severe degradation of the coolant heat removal capability that could result under certain conditions during these transients, for example for the limiter, are also evaluated. Based on the results, the design implications on the heat removal performance and erosion damage of the variuos ITER PFCs are critically discussed and some recommendations are made for the selection of the most adequate protection materials and optimum armour thickness.

  8. Application of lock-in thermography non destructive technique to CFC armoured plasma facing components

    International Nuclear Information System (INIS)

    Escourbiac, F.; Constans, S.; Courtois, X.; Durocher, A.

    2007-01-01

    A non destructive testing technique - so called modulated photothermal thermography or lock-in thermography - has been set-up for plasma facing components examination. Reliable measurements of phase contrast were obtained on 8 mm carbon fiber composite (CFC) armoured W7-X divertor component with calibrated flaws. A 3D finite element analysis allowed the correlation of the measured phase contrast and showed that a 4 mm strip flaw can be detected at the CFC/copper interface

  9. Impact of microstructure on the plasma performance of industrial and high-end tungsten grades

    Energy Technology Data Exchange (ETDEWEB)

    Pintsuk, G., E-mail: g.pintsuk@fz-juelich.de [Forschungszentrum Jülich, EURATOM Association, 52428 Jülich (Germany); Loewenhoff, Th. [Forschungszentrum Jülich, EURATOM Association, 52428 Jülich (Germany)

    2013-07-15

    Tungsten and tungsten alloys are actually the primary choice as plasma facing materials for future fusion reactors. Thereby, the material’s response to the different loading conditions occurring in a tokamak is strongly depending on the material properties and therefore the material’s microstructure. This is on the one hand controlled via the manufacturing process and/or the material’s composition and on the other hand by the operational conditions causing recrystallization and melting, and subsequently not only a modified microstructure but also locally a modified composition. The influence of the variation in microstructure is addressed and the pros and cons for using the respective materials and tungsten in general in a fusion environment with steady state and transient thermal loads are outlined. While roughening and the related cracking can hardly be avoided, melting will thwart all efforts to establish a high end microstructure with defined directional properties.

  10. Impact of microstructure on the plasma performance of industrial and high-end tungsten grades

    International Nuclear Information System (INIS)

    Pintsuk, G.; Loewenhoff, Th.

    2013-01-01

    Tungsten and tungsten alloys are actually the primary choice as plasma facing materials for future fusion reactors. Thereby, the material’s response to the different loading conditions occurring in a tokamak is strongly depending on the material properties and therefore the material’s microstructure. This is on the one hand controlled via the manufacturing process and/or the material’s composition and on the other hand by the operational conditions causing recrystallization and melting, and subsequently not only a modified microstructure but also locally a modified composition. The influence of the variation in microstructure is addressed and the pros and cons for using the respective materials and tungsten in general in a fusion environment with steady state and transient thermal loads are outlined. While roughening and the related cracking can hardly be avoided, melting will thwart all efforts to establish a high end microstructure with defined directional properties

  11. Erosion and migration of tungsten employed at the central column heat shield of ASDEX Upgrade

    International Nuclear Information System (INIS)

    Krieger, K.; Gong, X.; Balden, M.; Hildebrandt, D.; Maier, H.; Rohde, V.; Roth, J.; Schneider, W.

    2002-01-01

    In ASDEX Upgrade, tungsten was employed as plasma facing material at the central column heat shield in the plasma main chamber. The campaign averaged tungsten erosion flux was determined by measuring the difference of the W-layer thickness before and after the experimental campaign using ion beam analysis methods. The observed lateral variation and the total amount of eroded tungsten are attributed to erosion by impact of ions from the scrape-off layer plasma. Migration and redeposition of eroded tungsten were investigated by quantitative analysis of deposited tungsten on collector probes and wall samples. The obtained results, as well as the spectroscopically observed low tungsten plasma penetration probability, indicate that a major fraction of the eroded tungsten migrates predominantly through direct transport channels in the outer plasma scrape-off layer without entering the confined plasma

  12. The detection of He in tungsten following ion implantation by laser-induced breakdown spectroscopy

    Science.gov (United States)

    Shaw, G.; Bannister, M.; Biewer, T. M.; Martin, M. Z.; Meyer, F.; Wirth, B. D.

    2018-01-01

    Laser-induced breakdown spectroscopy (LIBS) results are presented that provide depth-resolved identification of He implanted in polycrystalline tungsten (PC-W) targets by a 200 keV He+ ion beam, with a surface temperature of approximately 900 °C and a peak fluence of 1023 m-2. He retention, and the influence of He on deuterium and tritium recycling, permeation, and retention in PC-W plasma facing components are important questions for the divertor and plasma facing components in a fusion reactor, yet are difficult to quantify. The purpose of this work is to demonstrate the ability of LIBS to identify helium in tungsten; to investigate the sensitivity of laser parameters including, laser energy and gate delay, that directly influence the sensitivity and depth resolution of LIBS; and to perform a proof-of-principle experiment using LIBS to measure relative He intensities as a function of depth. The results presented demonstrate the potential not only to identify helium but also to develop a methodology to quantify gaseous impurity concentration in PC-W as a function of depth.

  13. Conceptual Design for a Bulk Tungsten Divertor Tile in JET

    International Nuclear Information System (INIS)

    Mertens, P.; Neubauer, O.; Philipps, V.; Schweer, B.; Samm, U.; Hirai, T.; Sadakov, S.

    2006-01-01

    With ITER on the verge of being build, the ITER-like Wall project (ILW) for JET aims at providing the plasma chamber of the tokamak with an environment of mixed materials which will be relevant to the support of decisions to the first wall construction and, from the point of view of plasma physics, to the corresponding investigations of possible plasma configuration and plasma-wall interaction. In both respects, tungsten plays a key role in the divertor cladding whereas beryllium will be used for the vessel's first wall. For the central tile, also called LB-SRP for '' Load-Bearing Septum Replacement Plate '', resort to bulk tungsten is envisaged in order to cope with the high loads expected (up to 10 MW/m 2 for about 10 s). This is indeed the preferred plasma-facing component for positioning the outer strike-point in the divertor. Forschungszentrum Juelich has developed a conceptual design for this tile, based on an assembly of tungsten blades or lamellae. It was selected in the frame of an extensive R-and-D study in search of a suitable, inertially cooled component(T. Hirai et al., R-and-D on full tungsten divertor and beryllium wall for JET ITER-like Wall Project: this conference). As reported elsewhere, the design is actually driven by electromagnetic considerations in the first place(S. Sadakov et al., Detailed electromagnetic analysis for optimisation of a tungsten divertor plate for JET: this conference). The lamellae are grouped in four stacks per tile which are independently attached to an equally re-designed supporting structure. A so-called adapter plate, also a new design, takes care of an appropriate interface to the base carrier of JET, onto which modules of two tiles are positioned and screwed by remote handling (RH) procedures. The compatibility of the design on the whole with RH requirements is another essential ingredient which was duly taken into account throughout. The concept and the underlying philosophy will be presented along with important

  14. Lifetime evaluation of plasma-facing materials during a tokamak disruption

    International Nuclear Information System (INIS)

    Hassanein, A.; Konkashbaev, I.

    1995-09-01

    Erosion losses of plasma-facing materials in a tokamak reactor during major disruptions, giant ELMS, and large power excursions are serious concerns that influence component survivability and overall lifetime. Two different mechanisms lead to material erosion during these events: surface vaporization and loss of the melt layer. Hydrodynamics and radiation transport in the rapidly developed vapor-cloud region above the exposed area are found to control and determine the net erosion thickness from surface vaporization. A comprehensive self-consistent kinetic model has been developed in which the time-dependent optical properties and the radiation field of the vapor cloud are calculated in order to correctly estimate the radiation flux at the divertor surface. The developed melt layer of metallic divertor materials will, however, be free to move and can be eroded away due to various forces. , Physical mechanisms that affect surface vaporization and cause melt layer erosion are integrated in a comprehensive model. It is found that for metallic components such as beryllium and tungsten, lifetime due to these abnormal events will be controlled and dominated by the evolution and hydrodynamics of the melt layer during the disruption. The dependence of divertor plate lifetime on various aspects of plasma/material interaction physics is discussed

  15. Overview of processing technologies for tungsten-steel composites and FGMs for fusion applications

    Czech Academy of Sciences Publication Activity Database

    Matějíček, Jiří; Nevrlá, Barbara; Vilémová, Monika; Boldyryeva, Hanna

    2015-01-01

    Roč. 60, č. 2 (2015), s. 267-273 ISSN 0029-5922. [Kudowa Summer School „Towards Fusion Energy“. Kudowa Zdrój, 09.06.2014-13.06.2014] R&D Projects: GA ČR(CZ) GAP108/12/1872 Institutional support: RVO:61389021 Keywords : plasma-facing components * functionally graded materials (FGMs), * tungsten * steel * plasma spraying * powder metallurgy Subject RIV: JK - Corrosion ; Surface Treatment of Materials Impact factor: 0.546, year: 2015 http://www.nukleonika.pl/#/?p=1222

  16. Experimental study of tungsten transport properties in T-10 plasma

    Science.gov (United States)

    Krupin, V. A.; Nurgaliev, M. R.; Klyuchnikov, L. A.; Nemets, A. R.; Zemtsov, I. A.; Dnestrovskij, A. Yu.; Sarychev, D. V.; Lisitsa, V. S.; Shurygin, V. A.; Leontiev, D. S.; Borschegovskij, A. A.; Grashin, S. A.; Ryjakov, D. V.; Sergeev, D. S.; Mustafin, N. A.; Trukhin, V. M.; Solomatin, R. Yu.; Tugarinov, S. N.; Naumenko, N. N.

    2017-06-01

    First experimental results of tungsten transport investigation in OH and ECRH plasmas in the T-10 tokamak with W-limiter and movable Li-limiter are presented. It is shown that tungsten tends to accumulate (a joint process of cumulation and peaking) near the plasma axis in ohmic regimes. The cumulation of W is enhanced in discharges with high values of the parameter γ ={{\\bar{n}}\\text{e}}\\centerdot {{\\bar{Z}}\\text{eff}}\\centerdot I\\text{pl}-1.5 that coincides with accumulation conditions of light and medium impurities in T-10 plasmas. Experiments with Li-limiter show the immeasurable level of Li3+ (0.3-0.5% of n e) of T-10 CXRS diagnostics because of the low inflow of Li with respect to other light impurities. Nevertheless, the strong influence of lithium on inflow of light and tungsten impurities is observed. In discharges with lithized walls, vanishing of light impurities occurs and values of {{Z}\\text{eff}}≈ 1 are obtained. It is also shown that the tungsten density in the plasma center decreases by 15 to 20 times while the W inflow reduces only by 2 to 4 times. In lithized discharges with high γ, the flattening of the tungsten density profile occurs and its central concentration decreases up to 10 times during the on-axis ECRH. This effect is observed together with the increase of the W inflow by 3 to 4 times at the ECRH stage.

  17. Characterization of plasma coated tungsten heavy alloy

    International Nuclear Information System (INIS)

    Bose, A.; Kapoor, D.; Lankford, J. Jr.; Nicholls, A.E.

    1996-01-01

    The detrimental environmental impact of Depleted Uranium-based penetrators have led to tremendous development efforts in the area of tungsten heavy alloy based penetrators. One line of investigation involves the coating of tungsten heavy alloys with materials that are prone to shear localization. Plasma spraying of Inconel 718 and 4340 steel have been used to deposit dense coatings on tungsten heavy alloy substrates. The aim of the investigation was to characterize the coating primarily in terms of its microstructure and a special push-out test. The paper describes the results of the push-out tests and analyzes some of the possible failure mechanisms by carrying out microstructural characterization of the failed rings obtained from the push out tests

  18. Preparation of tungsten coatings on graphite by electro-deposition via Na2WO4–WO3 molten salt system

    International Nuclear Information System (INIS)

    Sun, Ning-bo; Zhang, Ying-chun; Jiang, Fan; Lang, Shao-ting; Xia, Min

    2014-01-01

    Highlights: • Tungsten coatings on graphite were firstly obtained by electro-deposition method via Na 2 WO 4 –WO 3 molten salt system. • Uniform and dense tungsten coatings could be easily prepared in each face of the sample, especially the complex components. • The obtained tungsten coatings are with high purity, ultra-low oxygen content (about 0.022 wt%). • Modulate pulse parameters can get tungsten coatings with different thickness and hardness. - Abstract: Tungsten coating on graphite substrate is one of the most promising candidate materials as the ITER plasma facing components. In this paper, tungsten coatings on graphite substrates were fabricated by electro-deposition from Na 2 WO 4 –WO 3 molten salt system at 1173 K in atmosphere. Tungsten coatings with no impurities were successfully deposited on graphite substrates under various pulsed current densities in an hour. By increasing the current density from 60 mA cm −2 to 120 mA cm −2 an increase of the average size of tungsten grains, the thickness and the hardness of tungsten coatings occurs. The average size of tungsten grains can reach 7.13 μm, the thickness of tungsten coating was in the range of 28.8–51 μm, and the hardness of coating was higher than 400 HV. No cracks or voids were observed between tungsten coating and graphite substrate. The oxygen content of tungsten coating is about 0.022 wt%

  19. High quality actively cooled plasma facing components for fusion

    International Nuclear Information System (INIS)

    Nygren, R.

    1993-01-01

    This paper interweaves some suggestions for developing actively-cooled PFCs (plasma facing components) for future fusion devices with supporting examples taken from the design, fabrication and operation of Tore Supra's Phase III Outboard Pump Limiter (OPL). This actively-cooled midplane limiter, designed for heat and particle removal during long pulse operation, has been operated in essentially thermally steady state conditions. From experience with testing to identify braze flaws in the OPL, recommendations are made to analyze the impact of joining flaws on thermal-hydraulic performance of PFCs and to validate a method of inspection for such flaws early in the design development. Capability for extensive in-service monitoring of future PFCs is also recommended and the extensive calorimetry and IR thermography used to confirm and update safe operating limits for power handling of the OPL are reviewed

  20. Displacement disorder and reconstruction of the (001) face of tungsten

    International Nuclear Information System (INIS)

    Egorushkin, V.E.; Kul'ment'ev, A.I.; Savushkin, E.V.

    1992-01-01

    The reconstruction of the (001) border of tungsten is examined taking into consideration random static displacements of surface atoms in the high-temperature (1 x 1) phase. A microscopic model is proposed, in which the creation of c(2 x 2) phase is described as a transition of the Jahn-Teller type and an ordering of static displacements. It is shown that displacement disorder induces instability of (001) tungsten with respect to reconstruction. The effect of a uniform electric field on a disordered reconstructing surface is examined. A possible reason is given for pronounced differences in the results of investigations of the structural conversion of the (001) face in tungsten when different experimental methods are used

  1. RACLETTE: a model for evaluating the thermal response of plasma facing components to slow high power plasma transients. Pt. II. Analysis of ITER plasma facing components

    International Nuclear Information System (INIS)

    Federici, G.; Raffray, A.R.

    1997-01-01

    For pt.I see ibid., p.85-100, 1997. The transient thermal model RACLETTE (acronym of Rate Analysis Code for pLasma Energy Transfer Transient Evaluation) described in part I of this paper is applied here to analyse the heat transfer and erosion effects of various slow (100 ms-10 s) high power energy transients on the actively cooled plasma facing components (PFCs) of the International Thermonuclear Experimental Reactor (ITER). These have a strong bearing on the PFC design and need careful analysis. The relevant parameters affecting the heat transfer during the plasma excursions are established. The temperature variation with time and space is evaluated together with the extent of vaporisation and melting (the latter only for metals) for the different candidate armour materials considered for the design (i.e., Be for the primary first wall, Be and CFCs for the limiter, Be, W, and CFCs for the divertor plates) and including for certain cases low-density vapour shielding effects. The critical heat flux, the change of the coolant parameters and the possible severe degradation of the coolant heat removal capability that could result under certain conditions during these transients, for example for the limiter, are also evaluated. Based on the results, the design implications on the heat removal performance and erosion damage of the various ITER PFCs are critically discussed and some recommendations are made for the selection of the most adequate protection materials and optimum armour thickness. (orig.)

  2. Structure and property evaluation of a vacuum plasma sprayed nanostructured tungsten-hafnium carbide bulk composite

    NARCIS (Netherlands)

    Rea, K. E.; Viswanathan, V.; Kruize, A.; De Hosson, J. Th. M.; O'Dell, S.; McKechnie, T.; Rajagopalan, S.; Vaidyanathan, R.; Seal, S.; O’Dell, S.

    2008-01-01

    Vacuum plasma spray (VPS) forming of tungsten-based metal matrix nanocomposites (MMCs) has shown to be a cost effective and time saving method for the formation of bulk monolithic nanostructured then no-mechanical components. Spray drying of powder feedstock appears to have a significant effect on

  3. Heat load and deuterium plasma effects on SPS and WSP tungsten

    Directory of Open Access Journals (Sweden)

    Vilémová Monika

    2015-06-01

    Full Text Available Tungsten is a prime choice for armor material in future nuclear fusion devices. For the realization of fusion, it is necessary to address issues related to the plasma–armor interactions. In this work, several types of tungsten material were studied, i.e. tungsten prepared by spark plasma sintering (SPS and by water stabilized plasma spraying (WSP technique. An intended surface porosity was created in the samples to model hydrogen/helium bubbles. The samples were subjected to a laser heat loading and a radiation loading of deuterium plasma to simulate edge plasma conditions of a nuclear fusion device (power density of 108 W/cm2 and 107 W/cm2, respectively, in the pulse intervals up to 200 ns. Thermally induced changes in the morphology and the damage to the studied surfaces are described. Possible consequences for the fusion device operation are pointed out.

  4. Tungsten transport and sources control in JET ITER-like wall H-mode plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Fedorczak, N., E-mail: nicolas.fedorczak@cea.fr [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Monier-Garbet, P. [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Pütterich, T. [MPI für Plasmaphysik, EURATOM Association, Boltzmannstrasse 2, 85748 Garching (Germany); Brezinsek, S. [Institute of Energy and Climate Research, Forschungszentrum Jlich, Assoc EURATOM-FZJ, Jlich (Germany); Devynck, P.; Dumont, R.; Goniche, M.; Joffrin, E. [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Lerche, E. [Association EURATOM-Belgian State, LPP-ERM-KMS, TEC partner, Brussels (Belgium); Euratom/CCFE Fusion Association, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Lipschultz, B. [York Plasma Institute, University of York, Heslington, York YO10 5DD (United Kingdom); Luna, E. de la [Laboratorio Nacional de Fusin, Asociacin EURATOM/CIEMAT, 28040 Madrid (Spain); Maddison, G. [Culham Centre for Fusion Energy, EURATOM-CCFE Association, Abingdon (United Kingdom); Maggi, C. [MPI für Plasmaphysik, EURATOM Association, Boltzmannstrasse 2, 85748 Garching (Germany); Matthews, G. [Culham Centre for Fusion Energy, EURATOM-CCFE Association, Abingdon (United Kingdom); Nunes, I. [Istituto de plasmas e fusao nuclear, Lisboa (Portugal); Rimini, F. [Culham Centre for Fusion Energy, EURATOM-CCFE Association, Abingdon (United Kingdom); Solano, E.R. [Laboratorio Nacional de Fusin, Asociacin EURATOM/CIEMAT, 28040 Madrid (Spain); Tamain, P. [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Tsalas, M. [Association EURATOM-Hellenic Republic, NCSR Demokritos 153 10, Attica (Greece); Vries, P. de [ITER Organization, Route de Vinon sur Verdon, 13115 Saint Paul Lez Durance (France)

    2015-08-15

    A set of discharges performed with the JET ITER-like wall is investigated with respect to control capabilities on tungsten sources and transport. In attached divertor regimes, increasing fueling by gas puff results in higher divertor recycling ion flux, lower divertor tungsten source, higher ELM frequency and lower core plasma radiation, dominated by tungsten ions. Both pedestal flushing by ELMs and divertor screening (including redeposition) are possibly responsible. For specific scenarios, kicks in plasma vertical position can be employed to increase the ELM frequency, which results in slightly lower core radiation. The application of ion cyclotron radio frequency heating at the very center of the plasma is efficient to increase the core electron temperature gradient and flatten electron density profile, resulting in a significantly lower central tungsten peaking. Beryllium evaporation in the main chamber did not reduce the local divertor tungsten source whereas core radiation was reduced by approximately 50%.

  5. Actively cooled plasma facing components qualification, commissioning and health monitoring

    International Nuclear Information System (INIS)

    Escourbiac, F.; Durocher, A.; Grosman, A.; Courtois, X.; Farjon, J.-L.; Schlosser, J.; Merola, M.; Tivey, R.

    2006-01-01

    In modern steady state magnetic fusion devices, actively cooled plasma facing components (PFC) have to handle heat fluxes in the range of 10-20 MW/m 2 . This generates a number of engineering constraints: the armour materials must be refractory and compatible with plasma wall interaction requirements (low sputtering and/or low atomic number); the heat sink must offer high thermal conductivity, high mechanical resistance and sufficient ductility; the component cooling system -which is generally based on the circulation of pressurized water in the PFC's heat sink - must offer high thermal heat transfer efficiency. Furthermore, the assembling of the refractory armour material onto the metallic heat sink causes generic difficulties strongly depending on thermo-mechanical properties of materials and design requirements. Life time of the PFC during plasma operation are linked to their manufacturing quality, in particular they are reduced by the possible presence of flaw assembling. The fabrication of PFC in an industrial frame including their qualification and their commissioning - which consists in checking the manufacturing quality during and at the end of manufacture - is a real challenge. From experience gained at Tore Supra on carbon fibre composite flat tiles technology components, it was assessed that a set of qualifications activities must be operated during R(and)D and manufacturing phases. Dedicated Non Destructive Technique (NDT) based on advanced active infrared thermography was developed for this purpose, afterwards, correlations between NDT, high heat flux testing and thermomechanical modelling were performed to analyse damage detection and propagation, and define an acceptance criteria valuable for industrial application. Health monitoring using lock-in technique was also recently operated in-situ of the Tore Supra tokamak for detection of possible defect propagation during operations, presence of acoustic precursor for critical heat flux detection induced

  6. Simulations with current constraints of ELM- induced tungsten melt motion in ASDEX Upgrade.

    Czech Academy of Sciences Publication Activity Database

    Thorén, E.; Bazylev, B.; Ratynskaia, S.; Tolias, P.; Krieger, K.; Pitts, R.A.; Pestchanyi, S.; Komm, Michael; Sieglin, B.

    T170, December (2017), č. článku 014006. ISSN 0031-8949. [PFMC 2017: 16th International Conference on Plasma-Facing Materials and Components for Fusion Applications. Düsseldorf, 16.05.2017-19.05.2017] EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : thermionic * MEMOS * AUG * tungsten * melting Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: 1.3 Physical sciences Impact factor: 1.280, year: 2016 http://iopscience.iop.org/article/10.1088/1402-4896/aa8855/meta

  7. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  8. Evaluation of energy and particle impact on the plasma facing components in DEMO

    International Nuclear Information System (INIS)

    Igitkhanov, Yuri; Bazylev, Boris

    2012-01-01

    removal capability. From the plasma side it is particularly demanding to keep the bulk plasma contamination during the reactor long operational discharges below the fatal level. The possible damage of the FW materials due to the plasma sputtering erosion is estimated. The minimum thickness of the tungsten amour about 3 mm for W/EUROFER sandwich structure will keep the maximum EUROFER temperature below the critical limit for EUROFER steel under steady-state operation and ITER like cooling conditions.

  9. Evaluation of energy and particle impact on the plasma facing components in DEMO

    Energy Technology Data Exchange (ETDEWEB)

    Igitkhanov, Yuri, E-mail: juri.gitkhanov@ihm.fzk.de [Karlsruhe Institute of Technology, IHM, Karlsruhe (Germany); Bazylev, Boris [Karlsruhe Institute of Technology, IHM, Karlsruhe (Germany)

    2012-08-15

    -state operation heat transfer into the coolant must remain below the critical heat flux (CHF) to avoid the possible severe degradation of the coolant heat removal capability. From the plasma side it is particularly demanding to keep the bulk plasma contamination during the reactor long operational discharges below the fatal level. The possible damage of the FW materials due to the plasma sputtering erosion is estimated. The minimum thickness of the tungsten amour about 3 mm for W/EUROFER sandwich structure will keep the maximum EUROFER temperature below the critical limit for EUROFER steel under steady-state operation and ITER like cooling conditions.

  10. Tungsten migration studies by controlled injection of volatile compounds

    Energy Technology Data Exchange (ETDEWEB)

    Rubel, M., E-mail: rubel@kth.se [Royal Institute of Technology (KTH), Association EURATOM-VR, Stockholm (Sweden); Coenen, J. [IEK-4, Plasma Physics, Forschungszentrum Jülich, Association EURATOM-FZJ, Jülich (Germany); Ivanova, D. [Royal Institute of Technology (KTH), Association EURATOM-VR, Stockholm (Sweden); Möller, S. [IEK-4, Plasma Physics, Forschungszentrum Jülich, Association EURATOM-FZJ, Jülich (Germany); Petersson, P. [Royal Institute of Technology (KTH), Association EURATOM-VR, Stockholm (Sweden); Brezinsek, S.; Kreter, A.; Philipps, V.; Pospieszczyk, A.; Schweer, B. [IEK-4, Plasma Physics, Forschungszentrum Jülich, Association EURATOM-FZJ, Jülich (Germany)

    2013-07-15

    Volatile tungsten hexa-fluoride was locally injected into the TEXTOR tokamak as a marker for material migration studies. The injection was accompanied by puffing N-15 rare isotope as a nitrogen tracer in discharges with edge cooling by impurity seeding. The objective was to assess material balance by qualitative and quantitative determination of a global and local deposition pattern, material mixing effects and fluorine residence in plasma-facing components. Spectroscopy and ex situ ion beam analysis techniques were used. Tungsten was detected on all types of limiter tiles and short-term probes retrieved from the vessel. Over 80% of the injected W was identified. The largest tungsten concentration, 1 × 10{sup 18} cm{sup −2}, was in the vicinity of the gas inlet. Co-deposits contained tungsten and a mix of light isotopes: H, D, He-4, B-10, B-11, C-12, C-13, N-14, N-15, O-16 and small quantities of F-19 thus showing that both He and nitrogen are trapped following wall conditioning (He glow) and edge cooling.

  11. Tritium Decay Helium-3 Effects in Tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Shimada, M. [Idaho National Lab. (INL), Idaho Falls, ID (United States); Merrill, B. J. [Idaho National Lab. (INL), Idaho Falls, ID (United States)

    2016-06-01

    A critical challenge for long-term operation of ITER and beyond to a Demonstration reactor (DEMO) and future fusion reactor will be the development of plasma-facing components (PFCs) that demonstrate erosion resistance to steady-state/transient heat fluxes and intense neutral/ion particle fluxes under the extreme fusion nuclear environment, while at the same time minimizing in-vessel tritium inventories and permeation fluxes into the PFC’s coolant. Tritium will diffuse in bulk tungsten at elevated temperatures, and can be trapped in radiation-induced trap site (up to 1 at. % T/W) in tungsten [1,2]. Tritium decay into helium-3 may also play a major role in microstructural evolution (e.g. helium embrittlement) in tungsten due to relatively low helium-4 production (e.g. He/dpa ratio of 0.4-0.7 appm [3]) in tungsten. Tritium-decay helium-3 effect on tungsten is hardly understood, and its database is very limited. Two tungsten samples (99.99 at. % purity from A.L.M.T. Co., Japan) were exposed to high flux (ion flux of 1.0x1022 m-2s-1 and ion fluence of 1.0x1026 m-2) 0.5%T2/D2 plasma at two different temperatures (200, and 500°C) in Tritium Plasma Experiment (TPE) at Idaho National Laboratory. Tritium implanted samples were stored at ambient temperature in air for more than 3 years to investigate tritium decay helium-3 effect in tungsten. The tritium distributions on plasma-exposed was monitored by a tritium imaging plate technique during storage period [4]. Thermal desorption spectroscopy was performed with a ramp rate of 10°C/min up to 900°C to outgas residual deuterium and tritium but keep helium-3 in tungsten. These helium-3 implanted samples were exposed to deuterium plasma in TPE to investigate helium-3 effect on deuterium behavior in tungsten. The results show that tritium surface concentration in 200°C sample decreased to 30 %, but tritium surface concentration in 500°C sample did not alter over the 3 years storage period, indicating possible tritium

  12. Development and evaluation of plasma facing materials for future thermonuclear fusion reactors

    International Nuclear Information System (INIS)

    Linke, J.; Pintsuk, G.; Roedig, M.; Schmidt, A.; Thomser, C.

    2010-01-01

    sink materials as well as reliable fabrication processes for actively cooled plasma facing components. The technical solutions which are considered today are mainly based on the PFMs beryllium, carbon or tungsten joined to copper alloys or stainless steel heat sinks. To test and to demonstrate the acceptability of plasma facing materials and components special high heat flux test facilities based on intense ion or electron beams are being used routinely to demonstrate the heat removal efficiency and the lifetime under fusion specific loading conditions. In addition to the above mentioned quasi-stationary heat loads, short transient thermal pulses with deposited energy densities up to several tens of MJm -2 are a serious concern for next step tokamak devices. The most frequent events are so-called Edge Localized Modes (type I ELMs) and plasma disruptions. Here a considerable fraction of the plasma energy is deposited on a localized surface area in the divertor strike zone; the time scale of these events is typically in the order of 1 ms. As a consequence, thermal shock induced crack formation, vaporization, surface melting and droplet ejection as well as particle emission induced by brittle destruction processes will limit the lifetime of the components. This is also valid for instabilities in the plasma positioning (vertical displacement events) which cause irreversible damage to plasma facing components, particularly to the metallic wall armour. Moreover, dust particles (neutron activated or toxic metals or tritium enriched carbon) are a serious concern from a safety point of view. In order to investigate the thermally induced plasma wall interaction under fusion specific thermal loads, high heat flux simulation tests are performed in electron or ion beam test facilities as well as in quasi stationary plasma devices. These experiments cover thermal fatigue loads and/or thermal shock tests with relevant operational loading conditions. Furthermore, the wall bombardment

  13. Development and evaluation of plasma facing materials for future thermonuclear fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Linke, J.; Pintsuk, G.; Roedig, M.; Schmidt, A.; Thomser, C. [Forschungszentrum Juelich GmbH, EURATOM Association, Juelich (Germany)

    2010-07-01

    and heat sink materials as well as reliable fabrication processes for actively cooled plasma facing components. The technical solutions which are considered today are mainly based on the PFMs beryllium, carbon or tungsten joined to copper alloys or stainless steel heat sinks. To test and to demonstrate the acceptability of plasma facing materials and components special high heat flux test facilities based on intense ion or electron beams are being used routinely to demonstrate the heat removal efficiency and the lifetime under fusion specific loading conditions. In addition to the above mentioned quasi-stationary heat loads, short transient thermal pulses with deposited energy densities up to several tens of MJm{sup -2} are a serious concern for next step tokamak devices. The most frequent events are so-called Edge Localized Modes (type I ELMs) and plasma disruptions. Here a considerable fraction of the plasma energy is deposited on a localized surface area in the divertor strike zone; the time scale of these events is typically in the order of 1 ms. As a consequence, thermal shock induced crack formation, vaporization, surface melting and droplet ejection as well as particle emission induced by brittle destruction processes will limit the lifetime of the components. This is also valid for instabilities in the plasma positioning (vertical displacement events) which cause irreversible damage to plasma facing components, particularly to the metallic wall armour. Moreover, dust particles (neutron activated or toxic metals or tritium enriched carbon) are a serious concern from a safety point of view. In order to investigate the thermally induced plasma wall interaction under fusion specific thermal loads, high heat flux simulation tests are performed in electron or ion beam test facilities as well as in quasi stationary plasma devices. These experiments cover thermal fatigue loads and/or thermal shock tests with relevant operational loading conditions. Furthermore, the

  14. Results of high heat flux qualification tests of W monoblock components for WEST

    Science.gov (United States)

    Greuner, H.; Böswirth, B.; Lipa, M.; Missirlian, M.; Richou, M.

    2017-12-01

    One goal of the WEST project (W Environment in Steady-state Tokamak) is the manufacturing, quality assessment and operation of ITER-like actively water-cooled divertor plasma facing components made of tungsten. Six W monoblock plasma facing units (PFUs) from different suppliers have been successfully evaluated in the high heat flux test facility GLADIS at IPP. Each PFU is equipped with 35 W monoblocks of an ITER-like geometry. However, the W blocks are made of different tungsten grades and the suppliers applied different bonding techniques between tungsten and the inserted Cu-alloy cooling tubes. The intention of the HHF test campaign was to assess the manufacturing quality of the PFUs on the basis of a statistical analysis of the surface temperature evolution of the individual W monoblocks during thermal loading with 100 cycles at 10 MW m-2. These tests confirm the non-destructive examinations performed by the manufacturer and CEA prior to the installation of the WEST platform, and no defects of the components were detected.

  15. Results of high heat flux qualification tests of W monoblock components for WEST

    International Nuclear Information System (INIS)

    Greuner, H; Böswirth, B; Lipa, M; Missirlian, M; Richou, M

    2017-01-01

    One goal of the WEST project (W Environment in Steady-state Tokamak) is the manufacturing, quality assessment and operation of ITER-like actively water-cooled divertor plasma facing components made of tungsten. Six W monoblock plasma facing units (PFUs) from different suppliers have been successfully evaluated in the high heat flux test facility GLADIS at IPP. Each PFU is equipped with 35 W monoblocks of an ITER-like geometry. However, the W blocks are made of different tungsten grades and the suppliers applied different bonding techniques between tungsten and the inserted Cu-alloy cooling tubes. The intention of the HHF test campaign was to assess the manufacturing quality of the PFUs on the basis of a statistical analysis of the surface temperature evolution of the individual W monoblocks during thermal loading with 100 cycles at 10 MW m −2 . These tests confirm the non-destructive examinations performed by the manufacturer and CEA prior to the installation of the WEST platform, and no defects of the components were detected. (paper)

  16. High quality actively cooled plasma-facing components for fusion

    International Nuclear Information System (INIS)

    Nygren, R.E.

    1995-01-01

    This paper interweaves some suggestions for developing actively cooled plasma-facing components (PFCs) for future fusion devices, with supporting examples taken from the design, fabrication and operation of Tore Supra's Phase III outboard pump limiter (OPL). This actively cooled midplane limiter, designed for heat and particle removal during long-pulse operation, has been operated under essentially thermally steady state conditions. Testing to identify braze flaws, analysis of the impact of joining flaws on the thermal-hydraulic performance of the OPL, and the extensive calorimetry and IR thermography used to confirm and update safe operating limits for power handling of the OPL are reviewed. This experience suggests that, for PFCs in future fusion devices, flaw-tolerant designs are possible; analyses of the impacts of flaws on performance can provide criteria for quality assurance; and validating appropriate methods of inspection for such flaws early in the design development of PFCs is prudent. The need for in-service monitoring is also discussed. (orig.)

  17. High Heat Flux Interactions and Tritium Removal from Plasma Facing Components by a Scanning Laser

    International Nuclear Information System (INIS)

    Skinner, C.H.; Gentile, C.A.; Hassanein, A.

    2002-01-01

    A new technique for studying high heat flux interactions with plasma facing components is presented. The beam from a continuous wave 300 W neodymium laser was focused to 80 W/mm2 and scanned at high speed over the surface of carbon tiles. These tiles were previously used in the TFTR [Tokamak Fusion Test Reactor] inner limiter and have a surface layer of amorphous hydrogenated carbon that was codeposited during plasma operations. Laser scanning released up to 84% of the codeposited tritium. The temperature rise of the codeposit on the tiles was significantly higher than that of the manufactured material. In one experiment, the codeposit surface temperature rose to 1,770 C while for the same conditions, the manufactured surface increased to only 1,080 C. The peak temperature did not follow the usual square-root dependence on heat pulse duration. Durations of order 100 ms resulted in brittle destruction and material loss from the surface, while a duration of approximately 10 ms showed minimal change. A digital microscope imaged the codeposit before, during, and after the interaction with the laser and revealed hot spots on a 100-micron scale. These results will be compared to analytic modeling and are relevant to the response of plasma facing components to disruptions and vertical displacement events (VDEs) in next-step magnetic fusion devices

  18. RF induction plasma spheroidization of tungsten powders

    International Nuclear Information System (INIS)

    Gu Zhogntao; Ye Gaoying; Liu Chuandong; Tong Honghui

    2009-01-01

    Irregularly-shaped tungsten powders (average granular sizes of 512 μm) have been spheroidized by radio frequency (RF)induction plasma. The effects of feed rate, mode of material dispersion, particle size on spheroidization efficiency are investigated. Experimental results show that the spheroidization efficiency decreases rapidly when the feed rate increases to more than 95 g/min. Only 30% spheroidization efficiency is gained at the feed rate of 135.75 g/min. The spheroidization efficiency is also affected by the flow rate of carrier gas. When the flow rate of carrier gas is 0.12 m 3 /h, the dispersion effect is the best, and the spheroidization efficiency is almost 100%. The apparent density of tungsten powders increases a bit with the increase of spheroidization efficiency. And the particle size uniformity of spheroidized tungsten powders is in accordance with that of original powders. (authors)

  19. Critical plasma-wall interaction issues for plasma-facing materials and components in near-term fusion devices

    International Nuclear Information System (INIS)

    Federici, G.; Coad, J.P.; Haasz, A.A.; Janeschitz, G.; Noda, N.; Philipps, V.; Roth, J.; Skinner, C.H.; Tivey, R.; Wu, C.H.

    2000-01-01

    The increase in pulse duration and cumulative run-time, together with the increase of the plasma energy content, will represent the largest changes in operation conditions in future fusion devices such as the International Thermonuclear Experimental Reactor (ITER) compared to today's experimental facilities. These will give rise to important plasma-physics effects and plasma-material interactions (PMIs) which are only partially observed and accessible in present-day experiments and will open new design, operation and safety issues. For the first time in fusion research, erosion and its consequences over many pulses (e.g., co-deposition and dust) may determine the operational schedule of a fusion device. This paper identifies the most critical issues arising from PMIs which represent key elements in the selection of materials, the design, and the optimisation of plasma-facing components (PFCs) for the first-wall and divertor. Significant advances in the knowledge base have been made recently, as part of the R and D supporting the engineering design activities (EDA) of ITER, and some of the most relevant data are reviewed here together with areas where further R and D work is urgently needed

  20. On “bubbly” structures in plasma facing components

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Smirnov, R.D.

    2013-01-01

    The theoretical model of “fuzz” growth describing the main features observed in experiments is discussed. This model is based on the assumption of enhancement of plasticity of tungsten containing significant fraction of helium atoms and clusters. The results of molecular dynamics (MD) simulations support this idea and demonstrate strong reduction of the yield strength for all temperature range. The MD simulations also show that the “flow” of tungsten strongly facilitates coagulation of helium clusters, which otherwise practically immobile, and the formation of nano-bubbles

  1. Irradiation effects of hydrogen and helium plasma on different grade tungsten materials

    Directory of Open Access Journals (Sweden)

    X. Liu

    2017-08-01

    Full Text Available Fine-grain tungsten alloys could be one of the solutions for the plasma facing materials of future DEMO reactors. In order to evaluate the service performances of the newly developed W alloys under edge plasma irradiation and the synergetic effect of fusion plasma together with high heat flux, both low energy He ions and high energy H, H/He mixed neutral beam irradiation on W-ZrC, W-K, W-Y2O3, W-La2O3 and CVD-W coating were performed respectively at a liner plasma facility (Dalian Nationality University, China and the neutral beam facility GLADIS (IPP, Germany. Surface damages were characterized, and the crack formation and extension behaviors under ELM-like transient loading after H and H/He mixed beam irradiation were also investigated in the 60kW EMS-60 facility (Electron beam Materials testing Scenario at SWIP (Southwestern Institute of Physics, China. The experimental results indicated that surface damages induced by low or high energy H/He ion/neutral beam didn't closely correlate with the type of tungsten materials. However, H/He (6at% He concentration neutral beam induced more significant surface damages of the tested W materials than only H neutral beam irradiation under the similar irradiation conditions. Similarly, the mixed H/He pre-exposure remarkably reduced the critical power of crack initiation compared with the un-irradiated samples under 100 repetitive loads of 1ms pulse, while no significant degeneration for the case of only H beam irradiation was observed.

  2. Sequential and simultaneous thermal and particle exposure of tungsten

    International Nuclear Information System (INIS)

    Steudel, I; Huber, A; Kreter, A; Linke, J; Sergienko, G; Unterberg, B; Wirtz, M

    2016-01-01

    The broad array of expected loading conditions in a fusion reactor such as ITER necessitates high requirements on the plasma facing materials (PFMs). Tungsten, the PFM for the divertor region, the most affected part of the in-vessel components, must thus sustain severe, distinct exposure conditions. Accordingly, comprehensive experiments investigating sequential and simultaneous thermal and particle loads were performed on double forged pure tungsten, not only to investigate whether the thermal and particle loads cause damage but also if the sequence of exposure maintains an influence. The exposed specimens showed various kinds of damage such as roughening, blistering, and cracking at a base temperature where tungsten could be ductile enough to compensate the induced stresses exclusively by plastic deformation (Pintsuk et al 2011 J. Nucl. Mater. 417 481–6). It was found out that hydrogen has an adverse effect on the material performance and the loading sequence on the surface modification. (paper)

  3. Thermal response of plasma sprayed tungsten coating to high heat flux

    International Nuclear Information System (INIS)

    Liu, X.; Yang, L.; Tamura, S.; Tokunaga, K.; Yoshida, N.; Noda, N.; Xu, Z.

    2004-01-01

    In order to investigate the thermal response of tungsten coating on carbon and copper substrates by vacuum plasma spray (VPS) or inert gas plasma spray (IPS), annealing and cyclic heat load experiments of these coatings were conducted. It is indicated that the multi-layered tungsten and rhenium interface of VPS-W/CFC failed to act as a diffusion barrier at elevated temperature and tungsten carbides were developed after 1 h incubation time when annealing temperature was higher than 1600 deg. C. IPS-W/Cu and W/C without an intermediate bonding layer were failed by the detachment of the tungsten coating at 900 and 1200 deg. C annealing for several hours, respectively. Cyclic heat load of electron beam with 35 MW/m 2 and 3-s pulse duration indicated that IPS-W/Cu samples failed with local detachment of the tungsten coating within 200 cycles and IPS-W/C showed local cracks by 300 cycles, but VPS-W/CFC withstood 1000 cycles without visible damages. However, crack creation and propagation in VPS-W/CFC were also observed under higher heat load

  4. Effects of hydrogen and helium irradiation on optical property of tungsten

    International Nuclear Information System (INIS)

    Kazutoshi Tokunaga; Tadashi Fujiwara; Naoaki Yoahida; Koichiro Ezato; Satoshi Suzuki; Masato Akiba

    2006-01-01

    Plasma-wall interactions cause surface modification, compositional and structural change on material surface due to sputtering, impurity deposition and radiation damage, etc. As a result, optical property (response of electron and lattice on material for electromagnetic wave) on surface of the plasma facing components would be changed. In particular, diagnostic components, such as metallic mirrors, mounted close to the plasma will be subjected by plasma particles such as hydrogen isotope and helium in the fusion devices. It is well recognized that decrease of optical reflectivity of the metallic mirrors due to the plasma-material interaction will be critical issues for the plasma diagnosis. In the present work, tungsten has been irradiated by hydrogen and helium beam. After that, optical reflectivity and surface modification have been measured to investigate fundamental process of optical property change due to hydrogen and helium beam irradiation. Samples used in the present experiment are powder metallurgy tungsten. Hydrogen and helium irradiations are performed in an ion beam facility at JAEA, the Particle Beam Engineering Facility (PBEF). The energy of hydrogen and helium is 19.0 and 18.7 keV, respectively. Beam duration is 1.3 - 3.5 s. The samples are irradiated up to a fluence of the orders between 10 22 and 10 24 He/m 2 by the repeated pulse irradiations of 14-450 cycles. The surface temperature is measured with an optical pyrometer. After the repeated irradiation experiments, surface modification and composition are examined with a scanning electron microscope (SEM) and a scanning probe microscope (SPM), etc. In addition, the optical reflectivity is measured in the wavelength range of 190 - 2400 nm using an ultraviolet-visible and near-infrared spectrophotometer. The reflectivity after the irradiation decreases depending on fluence and a peak temperature of the samples during the irradiation. In addition, their reflectivity spectra also change. This means

  5. Multi parametric sensitivity study applied to temperature measurement of metallic plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Aumeunier, M-H.; Corre, Y.; Firdaouss, M.; Gauthier, E.; Loarer, T.; Travere, J-M.; Gardarein, J-L.; EFDA JET Contributor

    2013-06-01

    In nuclear fusion experiments, the protection system of the Plasma Facing Components (PFCs) is commonly ensured by infrared (IR) thermography. Nevertheless, the surface monitoring of new metallic plasma facing component, as in JET and ITER is being challenging. Indeed, the analysis of infrared signals is made more complicated in such a metallic environment since the signals will be perturbed by the reflected photons coming from high temperature regions. To address and anticipate this new measurement environment, predictive photonic models, based on Monte-Carlo ray tracing (SPEOS R CAA V5 Based), have been performed to assess the contribution of the reflective part in the total flux collected by the camera and the resulting temperature error. This paper deals with the effects of metals features, as the emissivity and reflectivity models, on the accuracy of the surface temperature estimation. The reliability of the features models is discussed by comparing the simulation with experimental data obtained with the wide angle IR thermography system of JET ITER like wall. The impact of the temperature distribution is studied by considering two different typical plasma scenarios, in limiter (ITER start-up scenario) and in X-point configurations (standard divertor scenario). The achievable measurement performances of IR system and risks analysis on its functionalities are discussed. (authors)

  6. Tritium saturation in plasma-facing materials surfaces

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J.; Causey, R.A.; Federici, G.; Haasz, A.A.

    1998-01-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10 20 -10 23 particles/m 2 s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.)

  7. Tritium saturation in plasma-facing materials surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Longhurst, G.R.; Anderl, R.A.; Pawelko, R.J. [Idaho Nat. Eng. and Environ. Lab., Idaho Falls, ID (United States); Causey, R.A. [Sandia National Labs., Livermore, CA (United States); Federici, G. [ITER Garching Joint Work Site, Garching (Germany); Haasz, A.A. [Toronto Univ., ON (Canada). Inst. for Aerospace Studies

    1998-10-01

    Plasma-facing components in the international thermonuclear experimental reactor (ITER) will experience high heat loads and intense plasma fluxes of order 10{sup 20}-10{sup 23} particles/m{sup 2}s. Experiments on Be and W, two of the materials considered for use in ITER, have revealed that a tritium saturation phenomenon can take place under these conditions in which damage to the surface results that enhances the return of implanted tritium to the plasma and inhibits uptake of tritium. This phenomenon is important because it implies that tritium inventories due to implantation in these plasma-facing materials will probably be lower than was previously estimated using classical recombination-limited release at the plasma surface. Similarly, permeation through these components to the coolant streams should be reduced. In this paper we discuss evidences for the existence of this phenomenon, describe techniques for modeling it, and present results of the application of such modeling to prior experiments. (orig.) 39 refs.

  8. Synergistic effects of surface erosion on tritium inventory and permeation in metallic plasma facing armours

    Science.gov (United States)

    Federici, G.; Holland, D. F.; Matera, R.

    1996-10-01

    In the next generation of DT fuelled tokamaks, i.e., the International Thermonuclear Experimental Reactor (ITER) implantation of energetic DT particles on some portions of the plasma facing components (PFCs) will take place along with significant erosion of the armour surfaces. As a result of the simultaneous removal of material from the front surface, the build-up of tritium inventory and the start of permeation originating in the presence of large densities of neutron-induced traps is expected to be influenced considerably and special provisions could be required to minimise the consequences on the design. This paper reports on the results of a tritium transport modelling study based on a new model which describes the migration of implanted tritium across the bulk of metallic plasma facing materials containing neutron-induced traps which can capture it and includes the synergistic effects of surface erosion. The physical basis of the model is summarised, but emphasis is on the discussion of the results of a comparative study performed for beryllium and tungsten armours for ranges of design and operation conditions similar to those anticipated in the divertor of ITER.

  9. Synergistic effects of surface erosion on tritium inventory and permeation in metallic plasma facing armours

    International Nuclear Information System (INIS)

    Federici, G.; Holland, D.F.; Matera, R.

    1996-01-01

    In the next generation of DT fuelled tokamaks, i.e., the international thermonuclear experimental reactor (ITER) implantation of energetic DT particles on some portions of the plasma facing components (PFCs) will take place along with significant erosion of the armour surfaces. As a result of the simultaneous removal of material from the front surface, the build-up of tritium inventory and the start of permeation originating in the presence of large densities of neutron-induced traps is expected to be influenced considerably and special provisions could be required to minimise the consequences on the design. This paper reports on the results of a tritium transport modelling study based on a new model which describes the migration of implanted tritium across the bulk of metallic plasma facing materials containing neutron-induced traps which can capture it and includes the synergistic effects of surface erosion. The physical basis of the model is summarised, but emphasis is on the discussion of the results of a comparative study performed for beryllium and tungsten armours for ranges of design and operation conditions similar to those anticipated in the divertor of ITER. (orig.)

  10. Deuterium Depth Profile in Neutron-Irradiated Tungsten Exposed to Plasma

    International Nuclear Information System (INIS)

    Shimada, Masashi; Cao, G.; Hatano, Y.; Oda, T.; Oya, Y.; Hara, M.; Calderoni, P.

    2011-01-01

    The effect of radiation damage has been mainly simulated using high-energy ion bombardment. The ions, however, are limited in range to only a few microns into the surface. Hence, some uncertainty remains about the increase of trapping at radiation damage produced by 14 MeV fusion neutrons, which penetrate much farther into the bulk material. With the Japan-US joint research project: Tritium, Irradiations, and Thermofluids for America and Nippon (TITAN), the tungsten samples (99.99 % pure from A.L.M.T., 6mm in diameter, 0.2mm in thickness) were irradiated to high flux neutrons at 50 C and to 0.025 dpa in the High Flux Isotope Reactor (HFIR) at the Oak Ridge National Laboratory (ORNL). Subsequently, the neutron-irradiated tungsten samples were exposed to a high-flux deuterium plasma (ion flux: 1021-1022 m-2s-1, ion fluence: 1025-1026 m-2) in the Tritium Plasma Experiment (TPE) at the Idaho National Laboratory (INL). First results of deuterium retention in neutron-irradiated tungsten exposed in TPE have been reported previously. This paper presents the latest results in our on-going work of deuterium depth profiling in neutron-irradiated tungsten via nuclear reaction analysis. The experimental data is compared with the result from non neutron-irradiated tungsten, and is analyzed with the Tritium Migration Analysis Program (TMAP) to elucidate the hydrogen isotope behavior such as retention and depth distribution in neutron-irradiated and non neutron-irradiated tungsten.

  11. Alternative electro-chemically based processing routes for joining of plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Krauss, Wolfgang, E-mail: wolfgang.krauss@kit.edu [Karlsruhe Institute of Technology (KIT), Institute for Materials Research III, Hermann-von-Helmholtz-Platz 1 76344 Eggenstein-Leopoldshafen (Germany); Lorenz, Julia; Holstein, Nils; Konys, Juergen [Karlsruhe Institute of Technology (KIT), Institute for Materials Research III, Hermann-von-Helmholtz-Platz 1 76344 Eggenstein-Leopoldshafen (Germany)

    2011-10-15

    Tungsten is considered in fusion technology as functional and structural material in the area of blanket and divertor for future application in DEMO. The KIT design of a He-cooled divertor includes joints between W and W-alloys as well as of W with Eurofer-steel. The main challenges range from expansion mismatch problem for tungsten/steel joints over metallurgical reactions with brittle phase formation to crack stopping ability and excellent surface wetting. These requirements were only met partly and insufficiently in the past e.g. by direct Cu-casting of tungsten onto steel. Both, the joining needs and the observed failure scenarios of conventionally joined components initiated the development of improved joining technologies based on electro-chemical processing routes. As electrolytes aqueous and aprotic, water free, system are integrated into this development line. In the first step principle requirements are presented to guarantee a reproducible and adherent deposition of scales based on Ni and Cu acting as inter layers and filler, respectively, to generate a real metallurgical bonding as demonstrate by 1100 deg. C joining tests. The development field aprotic systems based on ionic liquids is discussed with respect to enable development of refractory metal based fillers with focus high temperature W-W brazing.

  12. 1st IAEA research coordination meeting on tritium retention in fusion reactor plasma facing components. October 5-6, 1995, Vienna, Austria. Summary report

    International Nuclear Information System (INIS)

    Langley, R.A.

    1995-12-01

    The proceedings and results of the 1st IAEA research Coordination Meeting on ''Tritium Retention in Fusion Reactor Plasma Facing Components'' held on October 5 and 6, 1995 at the IAEA Headquarters in Vienna are briefly described. This report includes a summary of presentations made by the meeting participants, the results of a data survey and needs assessment for the retention, release and removal of tritium from plasma facing components, a summary of data evaluation, and recommendations regarding future work. (author). 4 tabs

  13. Preparation of tungsten coatings on graphite by electro-deposition via Na{sub 2}WO{sub 4}–WO{sub 3} molten salt system

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ning-bo [School of Materials Science and Engineering, University of Science and Technology Beijing, 30 Xueyuan Road, Haidian District, Beijing 100083 (China); Zhang, Ying-chun, E-mail: zycustb@163.com [School of Materials Science and Engineering, University of Science and Technology Beijing, 30 Xueyuan Road, Haidian District, Beijing 100083 (China); Jiang, Fan; Lang, Shao-ting [School of Materials Science and Engineering, University of Science and Technology Beijing, 30 Xueyuan Road, Haidian District, Beijing 100083 (China); Xia, Min [School of Materials Science and Engineering, University of Science and Technology Beijing, 30 Xueyuan Road, Haidian District, Beijing 100083 (China); Institute of Powder Metallurgy and Advanced Ceramics, Southwest Jiaotong University, 111, 1st Section, Northern 2nd Ring Road, Chengdu (China)

    2014-11-15

    Highlights: • Tungsten coatings on graphite were firstly obtained by electro-deposition method via Na{sub 2}WO{sub 4}–WO{sub 3} molten salt system. • Uniform and dense tungsten coatings could be easily prepared in each face of the sample, especially the complex components. • The obtained tungsten coatings are with high purity, ultra-low oxygen content (about 0.022 wt%). • Modulate pulse parameters can get tungsten coatings with different thickness and hardness. - Abstract: Tungsten coating on graphite substrate is one of the most promising candidate materials as the ITER plasma facing components. In this paper, tungsten coatings on graphite substrates were fabricated by electro-deposition from Na{sub 2}WO{sub 4}–WO{sub 3} molten salt system at 1173 K in atmosphere. Tungsten coatings with no impurities were successfully deposited on graphite substrates under various pulsed current densities in an hour. By increasing the current density from 60 mA cm{sup −2} to 120 mA cm{sup −2} an increase of the average size of tungsten grains, the thickness and the hardness of tungsten coatings occurs. The average size of tungsten grains can reach 7.13 μm, the thickness of tungsten coating was in the range of 28.8–51 μm, and the hardness of coating was higher than 400 HV. No cracks or voids were observed between tungsten coating and graphite substrate. The oxygen content of tungsten coating is about 0.022 wt%.

  14. Tungsten coatings electro-deposited on CFC substrates from oxide molten salt

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Ningbo; Zhang, Yingchun, E-mail: zycustb@163.com; Lang, Shaoting; Jiang, Fan; Wang, Lili

    2014-12-15

    Tungsten is considered as plasma facing material in fusion devices because of its high melting point, its good thermal conductivity, its low erosion rate and its benign neutron activation properties. On the other hand, carbon based materials like C/C fiber composites (CFC) have been used for plasma facing materials (PFMs) due to their high thermal shock resistance, light weight and high strength. Tungsten coatings on CFC substrates are used in the JET divertor in the frame of the JET ITER-like wall project, and have been prepared by plasma spray (PS) and other techniques. In this study, tungsten coatings were electro-deposited on CFC from Na{sub 2}WO{sub 4}–WO{sub 3} molten salt under various deposition parameters at 900 °C in air. In order to obtain tungsten coatings with excellent performance, the effects of pulse duration ratio and pulse current density on microstructures and crystal structures of tungsten coatings were investigated by X-ray diffraction (XRD, Rigaku Industrial Co., Ltd., D/MAX-RB) and a scanning electron microscope (SEM, JSM 6480LV). It is found that the pulsed duration ratio and pulse current density had a significant influence on tungsten nucleation and electro-crystallization phenomena. SEM observation revealed that intact, uniform and dense tungsten coatings formed on the CFC substrates. Both the average grain size and thickness of the coating increased with the pulsed current density. The XRD results showed that the coatings consisted of a single phase of tungsten with the body centered cubic (BCC) structure. The oxygen content of electro-deposited tungsten coatings was lower than 0.05%, and the micro-hardness was about 400 HV.

  15. Chemically deposited tungsten fibre-reinforced tungsten – The way to a mock-up for divertor applications

    Directory of Open Access Journals (Sweden)

    J. Riesch

    2016-12-01

    Full Text Available The development of advanced materials is essential for sophisticated energy systems like a future fusion reactor. Tungsten fibre-reinforced tungsten composites (Wf/W utilize extrinsic toughening mechanisms and therefore overcome the intrinsic brittleness of tungsten at low temperature and its sensitivity to operational embrittlement. This material has been successfully produced and tested during the last years and the focus is now put on the technological realisation for the use in plasma facing components of fusion devices. In this contribution, we present a way to utilize Wf/W composites for divertor applications by a fabrication route based on the chemical vapour deposition (CVD of tungsten. Mock-ups based on the ITER typical design can be realized by the implementation of Wf/W tiles. A concept based on a layered deposition approach allows the production of such tiles in the required geometry. One fibre layer after the other is positioned and ingrown into the W-matrix until the final sample size is reached. Charpy impact tests on these samples showed an increased fracture energy mainly due to the ductile deformation of the tungsten fibres. The use of Wf/W could broaden the operation temperature window of tungsten significantly and mitigate problems of deep cracking occurring typically in cyclic high heat flux loading. Textile techniques are utilized to optimise the tungsten wire positioning and process speed of preform production. A new device dedicated to the chemical deposition of W enhances significantly, the available machine time for processing and optimisation. Modelling shows that good deposition results are achievable by the use of a convectional flow and a directed temperature profile in an infiltration process.

  16. CFC/Cu bond damage in actively cooled plasma facing components

    International Nuclear Information System (INIS)

    Schlosser, J; Martin, E; Henninger, C; Boscary, J; Camus, G; Escourbiac, F; Leguillon, D; Missirlian, M; Mitteau, R

    2007-01-01

    Carbon fibre composite (CFC) armours have been successfully used for actively cooled plasma facing components (PFCs) of the Tore Supra (TS) tokamak. They were also selected for the divertor of the stellarator W7-X under construction and for the vertical target of the ITER divertor. In TS and W7-X a flat tile design for heat fluxes of 10 MW m -2 has been chosen. To predict the lifetime of such PFCs, it is necessary to analyse the damage mechanisms and to model the damage propagation when the component is exposed to thermal cycling loads. Work has been performed to identify a constitutive law for the CFC and parameters to model crack propagation from the edge singularity. The aim is to predict damage rates and to propose geometric or material improvements to increase the strength and the lifetime of the interfacial bond. For ITER a tube-in-tile concept (monoblock), designed to sustain heat fluxes up to 20 MW m -2 , has been developed. The optimization of the CFC/Cu bond, proposed for flat tiles, could be adopted for the monoblock concept

  17. Leak tightness tests on actively cooled plasma facing components: Lessons learned from Tore Supra experience and perspectives for the new fusion machines

    Energy Technology Data Exchange (ETDEWEB)

    Chantant, M., E-mail: michel.chantant@cea.fr; Lambert, R.; Gargiulo, L.; Hatchressian, J.-C.; Guilhem, D.; Samaille, F.; Soler, B.

    2015-10-15

    Highlights: • Test procedures for the qualification of the tightness of actively cooled plasma facing components were defined. • The test is performed after the component manufacturing and before its set-up in the vacuum vessel. • It allows improving the fusion machine availability. • The lessons of tests over 20 years at Tore Supra are presented. - Abstract: The fusion machines under development or construction (ITER, W7X) use several hundreds of actively cooled plasma facing components (ACPFC). They are submitted to leak tightness requirements in order to get an appropriate vacuum level in the vessel to create the plasma. During the ACPFC manufacturing and before their installation in the machine, their leak tightness performance must be measured to check that they fulfill the vacuum requirements. A relevant procedure is needed which allows to segregate potential defects. It must also be optimized in terms of test duration and costs. Tore Supra, as an actively cooled Tokamak, experienced several leaks on ACPFCs during the commissioning and during the operation of the machine. A test procedure was then defined and several test facilities were set-up. Since 1990 the tightness of all the new ACPFCs is systematically tested before their installation in Tore Supra. During the qualification test, the component is set up in a vacuum test tank, and its cooling circuits are pressurized with helium. It is submitted to 3 temperature cycles from room temperature up to the baking temperature level in Tore Supra (200 °C) and two pressurization tests are performed (6 MPa at room temperature and 4 MPa at 200 °C) at each stage. At the end of the last cycle when the ACPFC is at room temperature and pressurized with helium at 6 MPa, the measured leak rate must be lower than 5 × 10{sup −11} Pa m{sup 3} s{sup −1}, the pressure in the test tank being <5 × 10{sup −5} Pa. A large experience has been gained on ACPFCs with carbon parts on stainless steel and Cu

  18. RACLETTE: a model for evaluating the thermal response of plasma facing components to slow high power plasma transients. Part I: Theory and description of model capabilities

    Science.gov (United States)

    Raffray, A. René; Federici, Gianfranco

    1997-04-01

    RACLETTE (Rate Analysis Code for pLasma Energy Transfer Transient Evaluation), a comprehensive but relatively simple and versatile model, was developed to help in the design analysis of plasma facing components (PFCs) under 'slow' high power transients, such as those associated with plasma vertical displacement events. The model includes all the key surface heat transfer processes such as evaporation, melting, and radiation, and their interaction with the PFC block thermal response and the coolant behaviour. This paper represents part I of two sister and complementary papers. It covers the model description, calibration and validation, and presents a number of parametric analyses shedding light on and identifying trends in the PFC armour block response to high plasma energy deposition transients. Parameters investigated include the plasma energy density and deposition time, the armour thickness and the presence of vapour shielding effects. Part II of the paper focuses on specific design analyses of ITER plasma facing components (divertor, limiter, primary first wall and baffle), including improvements in the thermal-hydraulic modeling required for better understanding the consequences of high energy deposition transients in particular for the ITER limiter case.

  19. RACLETTE: a model for evaluating the thermal response of plasma facing components to slow high power plasma transients. Pt. I. Theory and description of model capabilities

    International Nuclear Information System (INIS)

    Raffray, A.R.; Federici, G.

    1997-01-01

    For pt.II see ibid., p.101-30, 1997. RACLETTE (Rate Analysis Code for pLasma Energy Transfer Transient Evaluation), a comprehensive but relatively simple and versatile model, was developed to help in the design analysis of plasma facing components (PFCs) under 'slow' high power transients, such as those associated with plasma vertical displacement events. The model includes all the key surface heat transfer processes such as evaporation, melting, and radiation, and their interaction with the PFC block thermal response and the coolant behaviour. This paper represents part I of two sister and complementary papers. It covers the model description, calibration and validation, and presents a number of parametric analyses shedding light on and identifying trends in the PFC armour block response to high plasma energy deposition transients. Parameters investigated include the plasma energy density and deposition time, the armour thickness and the presence of vapour shielding effects. Part II of the paper focuses on specific design analyses of ITER plasma facing components (divertor, limiter, primary first wall and baffle), including improvements in the thermal-hydraulic modeling required for better understanding the consequences of high energy deposition transients in particular for the ITER limiter case. (orig.)

  20. Retention and release of hydrogen isotopes in tungsten plasma-facing components: the role of grain boundaries and the native oxide layer from a joint experiment-simulation integrated approach

    Science.gov (United States)

    Hodille, E. A.; Ghiorghiu, F.; Addab, Y.; Založnik, A.; Minissale, M.; Piazza, Z.; Martin, C.; Angot, T.; Gallais, L.; Barthe, M.-F.; Becquart, C. S.; Markelj, S.; Mougenot, J.; Grisolia, C.; Bisson, R.

    2017-07-01

    Fusion fuel retention (trapping) and release (desorption) from plasma-facing components are critical issues for ITER and for any future industrial demonstration reactors such as DEMO. Therefore, understanding the fundamental mechanisms behind the retention of hydrogen isotopes in first wall and divertor materials is necessary. We developed an approach that couples dedicated experimental studies with modelling at all relevant scales, from microscopic elementary steps to macroscopic observables, in order to build a reliable and predictive fusion reactor wall model. This integrated approach is applied to the ITER divertor material (tungsten), and advances in the development of the wall model are presented. An experimental dataset, including focused ion beam scanning electron microscopy, isothermal desorption, temperature programmed desorption, nuclear reaction analysis and Auger electron spectroscopy, is exploited to initialize a macroscopic rate equation wall model. This model includes all elementary steps of modelled experiments: implantation of fusion fuel, fuel diffusion in the bulk or towards the surface, fuel trapping on defects and release of trapped fuel during a thermal excursion of materials. We were able to show that a single-trap-type single-detrapping-energy model is not able to reproduce an extended parameter space study of a polycrystalline sample exhibiting a single desorption peak. It is therefore justified to use density functional theory to guide the initialization of a more complex model. This new model still contains a single type of trap, but includes the density functional theory findings that the detrapping energy varies as a function of the number of hydrogen isotopes bound to the trap. A better agreement of the model with experimental results is obtained when grain boundary defects are included, as is consistent with the polycrystalline nature of the studied sample. Refinement of this grain boundary model is discussed as well as the inclusion

  1. Performance Test of Korea Heat Load Test Facility (KoHLT-EB) for the Plasma Facing Components of Fusion Reactor

    International Nuclear Information System (INIS)

    Kim, Suk-Kwon; Jin, Hyung Gon; Lee, Eo Hwak; Yoon, Jae-Sung; Lee, Dong Won; Cho, Seungyon

    2014-01-01

    The main components of the plasma facing components (PFCs) in the tokamak are the blanket first wall and divertor, which include the armour materials, the heat sink with the cooling mechanism, and the diagnostics devices for the temperature measurement. The Korea Heat Load Test facility by using electron beam (KoHLT-EB) has been operating for the plasma facing components to develop fusion engineering. This electron beam facility was constructed using a 300 kW electron gun and a cylindrical vacuum chamber. Performance tests were carried out for the calorimetric calibrations with Cu dummy mockup and for the heat load test of large Cu module. For the simulation of the heat load test of each mockup, the preliminary thermal-hydraulic analyses with ANSYS-CFX were performed. For the development of the plasma facing components in the fusion reactors, test mockups were fabricated and tested in the high heat flux test facility. To perform a beam profile test, an assessment of the possibility of electron beam Gaussian power density profile and the results of the absorbed power for that profile before the test starts are needed. To assess the possibility of a Gaussian profile, for the qualification test of the Gaussian heat load profile, a calorimeter mockup and large Cu module were manufactured to simulate real heat. For this high-heat flux test, the Korean high-heat flux test facility using an electron beam system was constructed. In this facility, a cyclic heat flux test will be performed to measure the surface heat flux, surface temperature profile, and cooling capacity

  2. Performance Test of Korea Heat Load Test Facility (KoHLT-EB) for the Plasma Facing Components of Fusion Reactor

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Suk-Kwon; Jin, Hyung Gon; Lee, Eo Hwak; Yoon, Jae-Sung; Lee, Dong Won [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of); Cho, Seungyon [National Fusion Research Institute, Daejeon (Korea, Republic of)

    2014-10-15

    The main components of the plasma facing components (PFCs) in the tokamak are the blanket first wall and divertor, which include the armour materials, the heat sink with the cooling mechanism, and the diagnostics devices for the temperature measurement. The Korea Heat Load Test facility by using electron beam (KoHLT-EB) has been operating for the plasma facing components to develop fusion engineering. This electron beam facility was constructed using a 300 kW electron gun and a cylindrical vacuum chamber. Performance tests were carried out for the calorimetric calibrations with Cu dummy mockup and for the heat load test of large Cu module. For the simulation of the heat load test of each mockup, the preliminary thermal-hydraulic analyses with ANSYS-CFX were performed. For the development of the plasma facing components in the fusion reactors, test mockups were fabricated and tested in the high heat flux test facility. To perform a beam profile test, an assessment of the possibility of electron beam Gaussian power density profile and the results of the absorbed power for that profile before the test starts are needed. To assess the possibility of a Gaussian profile, for the qualification test of the Gaussian heat load profile, a calorimeter mockup and large Cu module were manufactured to simulate real heat. For this high-heat flux test, the Korean high-heat flux test facility using an electron beam system was constructed. In this facility, a cyclic heat flux test will be performed to measure the surface heat flux, surface temperature profile, and cooling capacity.

  3. Microstructural stability of spark-plasma-sintered W f /W composite with zirconia interface coating under high-heat-flux hydrogen beam irradiation.

    Czech Academy of Sciences Publication Activity Database

    Avello de Lama, M.; Balden, M.; Greuner, H.; Höschen, T.; Matějíček, Jiří; You, J.H.

    2017-01-01

    Roč. 13, December (2017), s. 74-80 ISSN 2352-1791 R&D Projects: GA ČR GB14-36566G EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : tungsten-fibre/tungsten composites * plasma-facing components * spark plasma sintering Subject RIV: JI - Composite Materials OBOR OECD: Composites (including laminates, reinforced plastics, cermets, combined natural and synthetic fibre fabrics https://www.sciencedirect.com/science/article/pii/S2352179117300273

  4. Tritium decay helium-3 effects in tungsten

    Directory of Open Access Journals (Sweden)

    M. Shimada

    2017-08-01

    Full Text Available Tritium (T implanted by plasmas diffuses into bulk material, especially rapidly at elevated temperatures, and becomes trapped in neutron radiation-induced defects in materials that act as trapping sites for the tritium. The trapped tritium atoms will decay to produce helium-3 (3He atoms at a half-life of 12.3 years. 3He has a large cross section for absorbing thermal neutrons, which after absorbing a neutron produces hydrogen (H and tritium ions with a combined kinetic energy of 0.76 MeV through the 3He(n,HT nuclear reaction. The purpose of this paper is to quantify the 3He produced in tungsten by tritium decay compared to the neutron-induced helium-4 (4He produced in tungsten. This is important given the fact that helium in materials not only creates microstructural damage in the bulk of the material but alters surface morphology of the material effecting plasma-surface interaction process (e.g. material evolution, erosion and tritium behavior of plasma-facing component materials. Effects of tritium decay 3He in tungsten are investigated here with a simple model that predicts quantity of 3He produced in a fusion DEMO FW based on a neutron energy spectrum found in literature. This study reveals that: (1 helium-3 concentration was equilibrated to ∼6% of initial/trapped tritium concentration, (2 tritium concentration remained approximately constant (94% of initial tritium concentration, and (3 displacement damage from 3He(n,HT nuclear reaction became >1 dpa/year in DEMO FW.

  5. Challenges and opportunities of modeling plasma–surface interactions in tungsten using high-performance computing

    Energy Technology Data Exchange (ETDEWEB)

    Wirth, Brian D., E-mail: bdwirth@utk.edu [Department of Nuclear Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Nuclear Science and Engineering Directorate, Oak Ridge National Laboratory, Oak Ridge, TN (United States); Hammond, K.D. [Department of Nuclear Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Krasheninnikov, S.I. [University of California, San Diego, La Jolla, CA (United States); Maroudas, D. [University of Massachusetts, Amherst, Amherst, MA 01003 (United States)

    2015-08-15

    The performance of plasma facing components (PFCs) is critical for ITER and future magnetic fusion reactors. The ITER divertor will be tungsten, which is the primary candidate material for future reactors. Recent experiments involving tungsten exposure to low-energy helium plasmas reveal significant surface modification, including the growth of nanometer-scale tendrils of “fuzz” and formation of nanometer-sized bubbles in the near-surface region. The large span of spatial and temporal scales governing plasma surface interactions are among the challenges to modeling divertor performance. Fortunately, recent innovations in computational modeling, increasingly powerful high-performance computers, and improved experimental characterization tools provide a path toward self-consistent, experimentally validated models of PFC and divertor performance. Recent advances in understanding tungsten–helium interactions are reviewed, including such processes as helium clustering, which serve as nuclei for gas bubbles; and trap mutation, dislocation loop punching and bubble bursting; which together initiate surface morphological modification.

  6. Challenges and opportunities of modeling plasma–surface interactions in tungsten using high-performance computing

    International Nuclear Information System (INIS)

    Wirth, Brian D.; Hammond, K.D.; Krasheninnikov, S.I.; Maroudas, D.

    2015-01-01

    The performance of plasma facing components (PFCs) is critical for ITER and future magnetic fusion reactors. The ITER divertor will be tungsten, which is the primary candidate material for future reactors. Recent experiments involving tungsten exposure to low-energy helium plasmas reveal significant surface modification, including the growth of nanometer-scale tendrils of “fuzz” and formation of nanometer-sized bubbles in the near-surface region. The large span of spatial and temporal scales governing plasma surface interactions are among the challenges to modeling divertor performance. Fortunately, recent innovations in computational modeling, increasingly powerful high-performance computers, and improved experimental characterization tools provide a path toward self-consistent, experimentally validated models of PFC and divertor performance. Recent advances in understanding tungsten–helium interactions are reviewed, including such processes as helium clustering, which serve as nuclei for gas bubbles; and trap mutation, dislocation loop punching and bubble bursting; which together initiate surface morphological modification

  7. Tungsten Ions in Plasmas: Statistical Theory of Radiative-Collisional Processes

    Directory of Open Access Journals (Sweden)

    Alexander V. Demura

    2015-05-01

    Full Text Available The statistical model for calculations of the collisional-radiative processes in plasmas with tungsten impurity was developed. The electron structure of tungsten multielectron ions is considered in terms of both the Thomas-Fermi model and the Brandt-Lundquist model of collective oscillations of atomic electron density. The excitation or ionization of atomic electrons by plasma electron impacts are represented as photo-processes under the action of flux of equivalent photons introduced by E. Fermi. The total electron impact single ionization cross-sections of ions Wk+ with respective rates have been calculated and compared with the available experimental and modeling data (e.g., CADW. Plasma radiative losses on tungsten impurity were also calculated in a wide range of electron temperatures 1 eV–20 keV. The numerical code TFATOM was developed for calculations of radiative-collisional processes involving tungsten ions. The needed computational resources for TFATOM code are orders of magnitudes less than for the other conventional numerical codes. The transition from corona to Boltzmann limit was investigated in detail. The results of statistical approach have been tested by comparison with the vast experimental and conventional code data for a set of ions Wk+. It is shown that the universal statistical model accuracy for the ionization cross-sections and radiation losses is within the data scattering of significantly more complex quantum numerical codes, using different approximations for the calculation of atomic structure and the electronic cross-sections.

  8. Advanced smart tungsten alloys for a future fusion power plant

    Science.gov (United States)

    Litnovsky, A.; Wegener, T.; Klein, F.; Linsmeier, Ch; Rasinski, M.; Kreter, A.; Tan, X.; Schmitz, J.; Mao, Y.; Coenen, J. W.; Bram, M.; Gonzalez-Julian, J.

    2017-06-01

    The severe particle, radiation and neutron environment in a future fusion power plant requires the development of advanced plasma-facing materials. At the same time, the highest level of safety needs to be ensured. The so-called loss-of-coolant accident combined with air ingress in the vacuum vessel represents a severe safety challenge. In the absence of a coolant the temperature of the tungsten first wall may reach 1200 °C. At such a temperature, the neutron-activated radioactive tungsten forms volatile oxide which can be mobilized into atmosphere. Smart tungsten alloys are being developed to address this safety issue. Smart alloys should combine an acceptable plasma performance with the suppressed oxidation during an accident. New thin film tungsten-chromium-yttrium smart alloys feature an impressive 105 fold suppression of oxidation compared to that of pure tungsten at temperatures of up to 1000 °C. Oxidation behavior at temperatures up to 1200 °C, and reactivity of alloys in humid atmosphere along with a manufacturing of reactor-relevant bulk samples, impose an additional challenge in smart alloy development. First exposures of smart alloys in steady-state deuterium plasma were made. Smart tungsten-chroimium-titanium alloys demonstrated a sputtering resistance which is similar to that of pure tungsten. Expected preferential sputtering of alloying elements by plasma ions was confirmed experimentally. The subsequent isothermal oxidation of exposed samples did not reveal any influence of plasma exposure on the passivation of alloys.

  9. Results of high heat flux testing of W/CuCrZr multilayer composites with percolating microstructure for plasma-facing components

    International Nuclear Information System (INIS)

    Greuner, Henri; Zivelonghi, Alessandro; Böswirth, Bernd; You, Jeong-Ha

    2015-01-01

    Highlights: • Improvement of the performance of plasma-facing components made of W and CuCrZr. • Functionally graded composite at the interface of W and CuCrZr to mitigate the CTE. • A three-layer composite system (W volume fraction: 70/50/30%) was developed. • Design of water-cooled divertor components up to 20 MW/m"2 heat load for e.g. DEMO. • HHF tests up to 20 MW/m"2 were successfully performed. - Abstract: Reliable joining of tungsten to copper is a major issue in the design of water-cooled divertor components for future fusion reactors. One of the suggested advanced engineering solutions is to use functionally graded composite interlayers. Recently, the authors have developed a novel processing route for fabricating multi-layer graded W/CuCrZr composites. Previous characterization confirmed that the composite materials possess enhanced strength compared to the matrix alloy and shows reasonable ductility up to 300 °C indicating large potential to extend the operation temperature limit. Furthermore, a three-layer composite system (W volume fraction: 70/50/30%) was developed as a graded interlayer between the W armour and CuCrZr heat sink. In this study, we investigated the structural performance of the graded joint. Three water-cooled mock-ups of a flat tile type component were fabricated using electron beam welding and thermally loaded at the hydrogen neutral beam test facility GLADIS. Cycling tests at 10 MW/m"2 and screening tests up to 20 MW/m"2 were successfully performed and confirmed the expected thermal performance of the compound. The measured temperature values were in good agreement with the prediction of finite element analysis. Microscopic investigation confirmed the structural integrity of the newly developed functionally graded composite after these tests.

  10. Results of high heat flux testing of W/CuCrZr multilayer composites with percolating microstructure for plasma-facing components

    Energy Technology Data Exchange (ETDEWEB)

    Greuner, Henri, E-mail: henri.greuner@ipp.mpg.de; Zivelonghi, Alessandro; Böswirth, Bernd; You, Jeong-Ha

    2015-10-15

    Highlights: • Improvement of the performance of plasma-facing components made of W and CuCrZr. • Functionally graded composite at the interface of W and CuCrZr to mitigate the CTE. • A three-layer composite system (W volume fraction: 70/50/30%) was developed. • Design of water-cooled divertor components up to 20 MW/m{sup 2} heat load for e.g. DEMO. • HHF tests up to 20 MW/m{sup 2} were successfully performed. - Abstract: Reliable joining of tungsten to copper is a major issue in the design of water-cooled divertor components for future fusion reactors. One of the suggested advanced engineering solutions is to use functionally graded composite interlayers. Recently, the authors have developed a novel processing route for fabricating multi-layer graded W/CuCrZr composites. Previous characterization confirmed that the composite materials possess enhanced strength compared to the matrix alloy and shows reasonable ductility up to 300 °C indicating large potential to extend the operation temperature limit. Furthermore, a three-layer composite system (W volume fraction: 70/50/30%) was developed as a graded interlayer between the W armour and CuCrZr heat sink. In this study, we investigated the structural performance of the graded joint. Three water-cooled mock-ups of a flat tile type component were fabricated using electron beam welding and thermally loaded at the hydrogen neutral beam test facility GLADIS. Cycling tests at 10 MW/m{sup 2} and screening tests up to 20 MW/m{sup 2} were successfully performed and confirmed the expected thermal performance of the compound. The measured temperature values were in good agreement with the prediction of finite element analysis. Microscopic investigation confirmed the structural integrity of the newly developed functionally graded composite after these tests.

  11. Deuterium trapping at vacancy clusters in electron/neutron-irradiated tungsten studied by positron annihilation spectroscopy

    Science.gov (United States)

    Toyama, T.; Ami, K.; Inoue, K.; Nagai, Y.; Sato, K.; Xu, Q.; Hatano, Y.

    2018-02-01

    Deuterium trapping at irradiation-induced defects in tungsten, a candidate material for plasma facing components in fusion reactors, was revealed by positron annihilation spectroscopy. Pure tungsten was electron-irradiated (8.5 MeV at ∼373 K and to a dose of ∼1 × 10-3 dpa) or neutron-irradiated (at 573 K to a dose of ∼0.3 dpa), followed by post-irradiation annealing at 573 K for 100 h in deuterium gas of ∼0.1 MPa. In both cases of electron- or neutron-irradiation, vacancy clusters were found by positron lifetime measurements. In addition, positron annihilation with deuterium electrons was demonstrated by coincidence Doppler broadening measurements, directly indicating deuterium trapping at vacancy-type defects. This is expected to cause significant increase in deuterium retention in irradiated-tungsten.

  12. Studies of plasma interactions with tungsten targets in PF-1000U facility

    Directory of Open Access Journals (Sweden)

    Ladygina Maryna S.

    2016-06-01

    Full Text Available This paper presents results of experimental studies of tungsten samples of 99.95% purity, which were irradiated by intense plasma-ion streams. The behaviour of tungsten, and particularly its structural change induced by high plasma loads, is of great importance for fusion technology. The reported measurements were performed within a modified PF-1000U plasma-focus facility operated at the IFPiLM in Warsaw, Poland. The working gas was pure deuterium. In order to determine the main plasma parameters and to study the behaviour of impurities at different instants of the plasma discharge, the optical emission spectroscopy was used. The dependence of plasma parameters on the initial charging voltage (16, 19 and 21 kV was studied. Detailed optical measurements were performed during interactions of a plasma stream with the tungsten samples placed at the z-axis of the facility, at a distance of 6 cm from the electrode outlets. The recorded spectra showed distinct WI and WII spectral lines. Investigation of a target surface morphology, after its irradiation by intense plasma streams, was performed by means of an optical microscope. The observations revealed that some amounts of the electrodes material (mainly copper were deposited upon the irradiated sample surface. In all the cases, melted zones were observed upon the irradiated target surface, and in experiments performed at the highest charging voltage there were formed some cracks.

  13. Surface hardening induced by high flux plasma in tungsten revealed by nano-indentation

    Energy Technology Data Exchange (ETDEWEB)

    Terentyev, D., E-mail: dterenty@sckcen.be [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, 2400 Mol (Belgium); Bakaeva, A. [SCK-CEN, Nuclear Materials Science Institute, Boeretang 200, 2400 Mol (Belgium); Department of Applied Physics, Ghent University, St. Pietersnieuwstraat 41, 9000 Ghent (Belgium); Pardoen, T.; Favache, A. [Institute of Mechanics, Materials and Civil Engineering, Université catholique de Louvain, Place Sainte Barbe 2 L5.02.02, 1348 Louvain-la-Neuve (Belgium); Zhurkin, E.E. [Department of Experimental Nuclear Physics K-89, Faculty of Physics and Mechanics, St. Petersburg State Polytechnical University, 29 Polytekhnicheskaya str., 195251 St. Petersburg (Russian Federation)

    2016-08-01

    Surface hardness of tungsten after high flux deuterium plasma exposure has been characterized by nanoindentation. The effect of plasma exposure was rationalized on the basis of available theoretical models. Resistance to plastic penetration is enhanced within the 100 nm sub-surface region, attributed to the pinning of geometrically necessary dislocations on nanometric deuterium cavities – signature of plasma-induced defects and deuterium retention. Sub-surface extension of thereby registered plasma-induced damage is in excellent agreement with the results of alternative measurements. The study demonstrates suitability of nano-indentation to probe the impact of deposition of plasma-induced defects in tungsten on near surface plasticity under ITER-relevant plasma exposure conditions.

  14. ITER tungsten divertor design development and qualification program

    Energy Technology Data Exchange (ETDEWEB)

    Hirai, T., E-mail: takeshi.hirai@iter.org [ITER Organization, Route de Vinon sur Verdon, F-13115 Saint Paul lez Durance (France); Escourbiac, F.; Carpentier-Chouchana, S.; Fedosov, A.; Ferrand, L.; Jokinen, T.; Komarov, V.; Kukushkin, A.; Merola, M.; Mitteau, R.; Pitts, R.A.; Shu, W.; Sugihara, M. [ITER Organization, Route de Vinon sur Verdon, F-13115 Saint Paul lez Durance (France); Riccardi, B. [F4E, c/ Josep Pla, n.2, Torres Diagonal Litoral, Edificio B3, E-08019 Barcelona (Spain); Suzuki, S. [JAEA, Fusion Research and Development Directorate JAEA, 801-1 Mukouyama, Naka, Ibaragi 311-0193 (Japan); Villari, R. [Associazione EURATOM-ENEA sulla Fusione, Via Enrico Fermi 45, I-00044 Frascati, Rome (Italy)

    2013-10-15

    Highlights: • Detailed design development plan for the ITER tungsten divertor. • Latest status of the ITER tungsten divertor design. • Brief overview of qualification program for the ITER tungsten divertor and status of R and D activity. -- Abstract: In November 2011, the ITER Council has endorsed the recommendation that a period of up to 2 years be set to develop a full-tungsten divertor design and accelerate technology qualification in view of a possible decision to start operation with a divertor having a full-tungsten plasma-facing surface. To ensure a solid foundation for such a decision, a full tungsten divertor design, together with a demonstration of the necessary high performance tungsten monoblock technology should be completed within the required timescale. The status of both the design and technology R and D activity is summarized in this paper.

  15. On the origin, properties, and implications of asymmetries in the tungsten impurity density in tokamak plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Odstrcil, Tomas

    2017-07-03

    In this thesis, the transport of tungsten ions is studied in the plasma of ASDEX Upgrade tokamak. The plasma facing components of the fusion reactors are expected to be built from high-Z materials such as W, Mo or Fe. These materials provide advantages like a high melting point, small erosion rates, and low tritium retention. However, due to the interaction of the plasma with the wall, ions of this material will be inevitably present also in the main plasma. These ions are not entirely stripped even at fusion plasma temperatures, and therefore emit strong line radiation, which can significantly degrade the performance of the fusion plasma. Thus the understanding and control of impurity transport are of critical importance to the success of fusion. The high mass and charge of the heavy impurities make them susceptible to some of the forces acting upon the plasma, resulting in a poloidal variation of their density. The most prominent are the centrifugal force arising from the plasma rotation and the electric force caused by magnetically trapped non-thermal ions. Furthermore, the poloidal asymmetries should have a significant impact on the radial transport of heavy ions, which was widely ignored up to date. In the present work, the poloidal asymmetries in the heavy impurity density were inferred from the soft X-ray radiation using a newly developed tomographic method. The high accuracy of the tomography and of the model for the centrifugal force allowed to identify for the first time in an experiment the effect of the fast ion distribution produced by neutral beam injection on the poloidal asymmetry of the tungsten density. The measured asymmetry was compared to several fast ion models, and the best match was found with the Monte Carlo code in the TRANSP code suite that includes finite orbits effects of the fast ions. Similarly, fast ions accelerated by ion cyclotron heating and localized mainly in the outboard side of the plasma due to a magnetic trapping and produce

  16. Technical design of a solid tungsten divertor row for the ITER-like wall in the JET tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Mertens, P.; Knaup, M.; Neubauer, O.; Sadakov, S.; Schweer, B.; Terra, A.; Samm, U. [Forschungszentrum Juelich, Association EURATOM-FZJ (DE). Inst. fuer Energieforschung IEF-4 (Plasmaphysik); Pintsuk, G. [Forschungszentrum Juelich, Association EURATOM-FZJ (DE). Inst. fuer Energieforschung IEF-2 (Werkstoffstruktur und Eigenschaften)

    2009-07-01

    ITER (originally International Thermonuclear Experimental Reactor) is now under construction in Cadarache, France. In order to investigate plasma scenarios compatible with an ITER relevant mix of materials, a new, complete inner wall will be installed in the JET tokamak vessel (Culham, UK) in 2010. The plasmafacing components in the main chamber will be made of beryllium whereas the exposed areas in the divertor shall be made of tungsten, mostly of tungsten coatings on a carbon-fibre composite substrate. A notable exception is the central row of tiles where the outer strike point is located. Fig. 1 illustrates it with a camera view during a suitable discharge which shows the emission of atomic hydrogen, hence the main interaction regions. Plasma-facing components at this position are exposed to very high particle fluxes which cause material sputtering, and to extremely high heat loads without active cooling, which is not available. It was accordingly decided to resort to solid tungsten in this particular case. An overview of the conceptual design was presented earlier. Manufacturing is just starting, so the technical design has been frozen to the largest extent as presented in the following. (orig.)

  17. Fatigue life of the plasma-facing components in PULSAR

    International Nuclear Information System (INIS)

    Crowell, J.A.; Blanchard, J.P.

    1994-01-01

    The PULSAR project is a multi-institutional effort to determine the advantages that can be gained by building a tokamak without current drive. This machine would reduce the capital and operating costs of the machine by avoiding the need for complex current drive hardware but it must compensate for this with an energy storage scheme and with increased structural requirements due to cyclic fatigue. This paper presents the results of the fatigue analysis for the plasma-facing components of PULSAR. The structural analysis is carried out using two-dimensional finite element models and a variety of boundary conditions to account for the third dimension. In some cases the temperature distribution is modified to simulate behaviors which cannot normally be modeled with two-dimensional finite element models. PULSAR features two major engineering designs: a liquid metal-cooled vanadium design and a helium-cooled SiC/SiC design. Results are given for each. It is shown that the superior thermal and strength properties of the vanadium alloy simplify the component design process significantly. The SiC composite properties cause significantly more difficulty for the designer and, in particular, no credible design is found for a divertor fabricated solely from the SiC composite. This conclusion is based on current data for the thermophysical properties and fatigue strength of SiC fiber composites, so developments in these areas could allow the fabrication of a SiC/SiC divertor for a pulsed tokamak

  18. Development of the plasma facing components for the dome-liner component of the ITER divertor

    International Nuclear Information System (INIS)

    Luconi, U.; Di Marco, M.; Federici, A.; Grattarola, M.; Gualco, G.; Larrea, J.M.; Merola, M.; Ozzano, C.; Pasquale, G.

    2005-01-01

    On the basis of the design and the specification of the dome-liner elaborated by EFDA, a manufacturing route based on high temperature brazing has been developed and proved by means of the fabrication and testing of several samples and mock-ups. The dome is protected with tungsten armour tiles joined onto heat sinks obtained from a bimetallic plate made of precipitation hardened copper-chromium-zirconium alloy and stainless steel realized by explosion bonding. The brazed joint between the tungsten tiles and the heat sink has been qualified by means of thermal fatigue tests on small-scale mock-ups in reactor relevant conditions. The properties of the explosion bonding joint between the front copper alloy plate to the rear steel backing has been assessed by means of an extensive metallurgical and mechanical test program according to the specification provided by EFDA. The dimensional stability during the fabrication route has been investigated by means of the realization of a relevant curved component that has been dimensionally tested after the completion of each step of the manufacturing route. The results of the experimental activity are presented and discussed in this paper

  19. Liquid-metal plasma-facing component research on the National Spherical Torus Experiment

    Science.gov (United States)

    Jaworski, M. A.; Khodak, A.; Kaita, R.

    2013-12-01

    Liquid metal plasma-facing components (PFCs) have been proposed as a means of solving several problems facing the creation of economically viable fusion power reactors. Liquid metals face critical issues in three key areas: free-surface stability, material migration and demonstration of integrated scenarios. To date, few demonstrations exist of this approach in a diverted tokamak and we here provide an overview of such work on the National Spherical Torus Experiment (NSTX). The liquid lithium divertor (LLD) was installed and operated for the 2010 run campaign using evaporated coatings as the filling method. Despite a nominal liquid level exceeding the capillary structure and peak current densities into the PFCs exceeding 100 kA m-2, no macroscopic ejection events were observed. The stability can be understood from a Rayleigh-Taylor instability analysis. Capillary restraint and thermal-hydraulic considerations lead to a proposed liquid-metal PFCs scheme of actively-supplied, capillary-restrained systems. Even with state-of-the-art cooling techniques, design studies indicate that the surface temperature with divertor-relevant heat fluxes will still reach temperatures above 700 °C. At this point, one would expect significant vapor production from a liquid leading to a continuously vapor-shielded regime. Such high-temperature liquid lithium PFCs may be possible on the basis of momentum-balance arguments.

  20. A possible method of carbon deposit mapping on plasma facing components using infrared thermography

    International Nuclear Information System (INIS)

    Mitteau, R.; Spruytte, J.; Vallet, S.; Travere, J.M.; Guilhem, D.; Brosset, C.

    2007-01-01

    The material eroded from the surface of plasma facing components is redeposited partly close to high heat flux areas. At these locations, the deposit is heated by the plasma and the deposition pattern evolves depending on the operation parameters. The mapping of the deposit is still a matter of intense scientific activity, especially during the course of experimental campaigns. A method based on the comparison of surface temperature maps, obtained in situ by infrared cameras and by theoretical modelling is proposed. The difference between the two is attributed to the thermal resistance added by deposited material, and expressed as a deposit thickness. The method benefits of elaborated imaging techniques such as possibility theory and fuzzy logics. The results are consistent with deposit maps obtained by visual inspection during shutdowns

  1. Heat transfer characteristics of rectangular coolant channels with various aspect ratios in the plasma-facing components under fully developed MHD laminar flow

    International Nuclear Information System (INIS)

    Takase, K.; Hasan, M.Z.

    1995-01-01

    Convective heat transfer in MHD laminar flow through rectangular channels in the plasma-facing components of a fusion reactor has been analyzed numerically to investigate the effects of channel aspect ratio, defined as the ratio of the lengths of the plasma-facing side to the other side. The adverse effect of the nonuniformity of surface heat flus on Nusselt number (Nu) at the plasma-facing side can be alleviated by increasing the aspect ratio of a rectangular duct. At the center and corner of the plasma-facing side of a square duct, the Nu of non-MHD flow are 6.8 and 2.2, respectively, for uniform surface heat flux. In the presence of a strong magnetic field, Nu at the center and corner increases to 22 and 3.6, respectively. However, when the heat flux is highly nonuniform, as in the plasma-facing components, Nu decreases from 22 to 3.1 at the center and from 3.6 to 3.1 at the corner. When the aspect ratio is increased to 4, Nu at the center and corner increase to 5 and 4.7. Along the circumference of a rectangular channel, there are locations where the wall temperature is equal to or less than the bulk coolant temperature, thus making the Nu with conventional definition infinity or negative. The ratio between Nu of MHD flow and Nu of non-MHD flow for various aspect ratios is constant in the region of Hartmann number of more than 200 at least. On the other hand, its ratio increases monotonously with increasing the aspect ratio

  2. Thermal shock behaviour of different tungsten grades under varying conditions

    Energy Technology Data Exchange (ETDEWEB)

    Wirtz, Oliver Marius

    2012-07-19

    Thermonuclear fusion power plants are a promising option to ensure the energy supply for future generations, but in many fields of research enormous challenges have to be faced. A major step on the way to the prototype fusion reactor DEMO will be ITER which is build in Cadarache, southern France. One of the most critical issues is the field of in-vessel materials and components, in particular the plasma facing materials (PFM). PFMs that will be used in a device like ITER have to withstand severe environmental conditions in terms of steady state and transient thermal loads as well as high particle fluxes such as hydrogen, helium and neutrons. Candidate wall materials are beryllium, tungsten and carbon based materials like CFC (carbon fibre composite). Tungsten is the most promising material for an application in the divertor region with very severe loading conditions and it will most probably also be used as PFM for DEMO. Hence, this work focuses on the investigation of the thermal shock response of different tungsten grades in order to understand the damage mechanisms and to identify material parameters which influence this behaviour under ITER and DEMO relevant operation conditions. Therefore the microstructure and the mechanical and thermal properties of five industrially manufactured tungsten grades were characterised. All five tungsten grades were exposed to transient thermal events with very high power densities of up to 1.27 GWm{sup -2} at varying base temperatures between RT and 600 C in the electron beam device JUDITH 1. The pulse numbers were limited to a maximum of 1000 in order to avoid immoderate workload on the test facility and to have enough time to cover a wide range of loading conditions. The results of this damage mapping enable to define different damage and cracking thresholds for the investigated tungsten grades and to identify certain material parameters which influence the location of these thresholds and the distinction of the induced

  3. Thermal shock behaviour of different tungsten grades under varying conditions

    International Nuclear Information System (INIS)

    Wirtz, Oliver Marius

    2012-01-01

    Thermonuclear fusion power plants are a promising option to ensure the energy supply for future generations, but in many fields of research enormous challenges have to be faced. A major step on the way to the prototype fusion reactor DEMO will be ITER which is build in Cadarache, southern France. One of the most critical issues is the field of in-vessel materials and components, in particular the plasma facing materials (PFM). PFMs that will be used in a device like ITER have to withstand severe environmental conditions in terms of steady state and transient thermal loads as well as high particle fluxes such as hydrogen, helium and neutrons. Candidate wall materials are beryllium, tungsten and carbon based materials like CFC (carbon fibre composite). Tungsten is the most promising material for an application in the divertor region with very severe loading conditions and it will most probably also be used as PFM for DEMO. Hence, this work focuses on the investigation of the thermal shock response of different tungsten grades in order to understand the damage mechanisms and to identify material parameters which influence this behaviour under ITER and DEMO relevant operation conditions. Therefore the microstructure and the mechanical and thermal properties of five industrially manufactured tungsten grades were characterised. All five tungsten grades were exposed to transient thermal events with very high power densities of up to 1.27 GWm -2 at varying base temperatures between RT and 600 C in the electron beam device JUDITH 1. The pulse numbers were limited to a maximum of 1000 in order to avoid immoderate workload on the test facility and to have enough time to cover a wide range of loading conditions. The results of this damage mapping enable to define different damage and cracking thresholds for the investigated tungsten grades and to identify certain material parameters which influence the location of these thresholds and the distinction of the induced damages

  4. Adsorption of lithium-lanthanum films on the (100) tungsten face

    International Nuclear Information System (INIS)

    Gupalo, M.S.; Smereka, T.P.; Babkin, G.V.; Palyukh, B.M.

    1982-01-01

    The method of contact potential difference is used to investigate combined adsorption of lithium-lanthanum on the (100) tungsten face. The data on work functions and thermal stability of mixed lithium-lanthanum films are obtained. The presence of lanthanum on the W(100) surface leads to appearance of minimum of work functions unobserved for the Li-W(100) system, minimum work functions and optimum lithium concentration in a mixed film are decreased at initial lanthanum coating increase. The presence of lanthanum on the W(100) face leads to lithium adsorption heat decrease

  5. OEDGE Modeling of Collector Probe measurements in L-mode from the DIII-D tungsten ring campaign

    Science.gov (United States)

    Elder, J. D.; Stangeby, P. C.; Unterberg, Z.; Donovan, D.; Wampler, W. R.; Watkins, J.; Abrams, T.; McLean, A. G.

    2017-10-01

    During the tungsten ring campaign on DIII-D, a collector probe system with multiple diameter, dual-facing collector rods was inserted into the far scrape off layer (SOL) near the outer midplane to measure the plasma tungsten content. For most probes more tungsten was observed on the side connected along field lines to the inner divertor, with the larger probes showing largest divertor-facing asymmetries The OEDGE code is used to model the tungsten erosion, transport and deposition. It has been enhanced with (i) a peripheral particle transport and deposition model to record the impurity content in the peripheral region outside the regular mesh, and (ii) a collector probe model. The OEDGE results approximately reproduce both the divertor-facing asymmetries and the radial decay of each collector probe profile. The effect of changing impurity transport assumptions and wall location are examined. The measured divertor-facing asymmetries imply a higher tungsten density in the plasma upstream of the probe; this was expected theoretically from the effect of the parallel ion temperature gradient force driving upstream transport of tungsten from the outer divertor and was also found in the code analysis. Work supported by the US Department of Energy under DE-FC02-04ER54698, DE-NA0003525, DE-AC05-00OR22725, and DE-AC52-07NA27344.

  6. Temperature Dependent Surface Modification of Tungsten Exposed to High-Flux Low-Energy Helium Ion Irradiation

    OpenAIRE

    Damico, Antony Q; Tripathi, Jitendra K; Novakowski, Theodore J; Miloshevsky, Gennady; Hassanein, Ahmed

    2016-01-01

    Nuclear fusion is a great potential energy source that can provide a relatively safe and clean limitless supply of energy using hydrogen isotopes as fuel material. ITER (international thermonuclear experimental reactor) is the world first fusion reactor currently being built in France. Tungsten (W) is a prime candidate material as plasma facing component (PFC) due to its excellent mechanical properties, high melting point, and low erosion rate. However, W undergoes a severe surface morphology...

  7. Towards intelligent video understanding applied to plasma facing component monitoring

    Energy Technology Data Exchange (ETDEWEB)

    Martin, V.; Bremond, F. [INRIA, Pulsa team-project, Sophia Antipolis (France); Travere, J.M. [CEA IRFM, Saint Paul-lez-Durance (France); Moncada, V.; Dunand, G. [Sophia Conseil Company, Sophia Antipolis (France)

    2011-07-01

    Infrared thermography has become a routine diagnostic in many magnetic fusion devices to monitor the heat loads on the plasma facing components (PFCs) for both physics studies and machine protection. The good results of the developed systems obtained so far motivate the use of imaging diagnostics for control, especially during long pulse tokamak operation (e.g. lasting several minutes). In this paper, we promote intelligent monitoring for both real-time purposes (machine protection issues) and post event analysis purposes (PWI understanding). We propose a vision-based system able to automatically detect and classify into different pre-defined categories phenomena as localized hot spots, transient thermal events (e.g. electrical arcing), and unidentified flying objects (UFOs) as dusts from infrared imaging data of PFCs. This original vision system is made intelligent by endowing it with high-level reasoning (i.e. integration of a priori knowledge of thermal event spatial and temporal properties to guide the recognition), self-adaptability to varying conditions (e.g. different plasma scenarios), and learning capabilities (e.g. statistical modelling of thermal event behaviour based on training samples). This approach has been already successfully applied to the recognition of one critical thermal event at Tore Supra. We present here latest results of its extension for the recognition of others thermal events (e.g., B{sub 4}C flakes, impact of fast particles, UFOs) and show how extracted information can be used during plasma operation at Tore Supra to improve the real time control system, and for further analysis of PFC aging. This document is composed of an abstract followed by the slides of the presentation. (authors)

  8. Smart tungsten alloys as a material for the first wall of a future fusion power plant

    Science.gov (United States)

    Litnovsky, A.; Wegener, T.; Klein, F.; Linsmeier, Ch.; Rasinski, M.; Kreter, A.; Unterberg, B.; Coenen, J. W.; Du, H.; Mayer, J.; Garcia-Rosales, C.; Calvo, A.; Ordas, N.

    2017-06-01

    Tungsten is currently deemed as a promising plasma-facing material (PFM) for the future power plant DEMO. In the case of an accident, air can get into contact with PFMs during the air ingress. The temperature of PFMs can rise up to 1200 °C due to nuclear decay heat in the case of damaged coolant supply. Heated neutron-activated tungsten forms a volatile radioactive oxide which can be mobilized into the atmosphere. New self-passivating ‘smart’ alloys can adjust their properties to the environment. During plasma operation the preferential sputtering of lighter alloying elements will leave an almost pure tungsten surface facing the plasma. During an accident the alloying elements in the bulk are forming oxides thus protecting tungsten from mobilization. Good plasma performance and the suppression of oxidation are required for smart alloys. Bulk tungsten (W)-chroimum (Cr)-titanium (Ti) alloys were exposed together with pure tungsten (W) samples to the steady-state deuterium plasma under identical conditions in the linear plasma device PSI 2. The temperature of the samples was ~576 °C-715 °C, the energy of impinging ions was 210 eV matching well the conditions expected at the first wall of DEMO. Weight loss measurements demonstrated similar mass decrease of smart alloys and pure tungsten samples. The oxidation of exposed samples has proven no effect of plasma exposure on the oxidation resistance. The W-Cr-Ti alloy demonstrated advantageous 3-fold lower mass gain due to oxidation than that of pure tungsten. New yttrium (Y)-containing thin film systems are demonstrating superior performance in comparison to that of W-Cr-Ti systems and of pure W. The oxidation rate constant of W-Cr-Y thin film is 105 times less than that of pure tungsten. However, the detected reactivity of the bulk smart alloy in humid atmosphere is calling for a further improvement.

  9. Experimental study of plasma energy transfer and material erosion under ELM-like heat loads

    Energy Technology Data Exchange (ETDEWEB)

    Garkusha, I.E., E-mail: garkusha@ipp.kharkov.u [Institute of Plasma Physics of the NSC KIPT, Akademicheskaya 1, 61108 Kharkov (Ukraine); Makhlaj, V.A.; Chebotarev, V.V. [Institute of Plasma Physics of the NSC KIPT, Akademicheskaya 1, 61108 Kharkov (Ukraine); Landman, I. [Forschungszentrum Karlsruhe, IHM, 76021 Karlsruhe (Germany); Tereshin, V.I.; Aksenov, N.N.; Bandura, A.N. [Institute of Plasma Physics of the NSC KIPT, Akademicheskaya 1, 61108 Kharkov (Ukraine)

    2009-06-15

    Main features of plasma-surface interaction and energy transfer to tokamak plasma facing components are studied at different heat loads in ELM simulation experiments with the plasma gun QSPA Kh-50. Repetitive plasma exposures of tungsten, graphite and different combined W-C targets were performed at the pulse duration of 0.25 ms and the heat loads varied in the range 0.2-2.5 MJ/m{sup 2}. The onset of vapor shield in front of the surface was investigated. The evaporation is immediately followed by a saturation of surface heat load if further increasing the impact energy. The presence of graphite essentially decreases the heat flux to the nearby tungsten surface, which is due to the carbon vapor shield. Droplet splashing at the tungsten surface and formation of hot spots on the graphite surface are discussed.

  10. Experimental study of plasma energy transfer and material erosion under ELM-like heat loads

    International Nuclear Information System (INIS)

    Garkusha, I.E.; Makhlaj, V.A.; Chebotarev, V.V.; Landman, I.; Tereshin, V.I.; Aksenov, N.N.; Bandura, A.N.

    2009-01-01

    Main features of plasma-surface interaction and energy transfer to tokamak plasma facing components are studied at different heat loads in ELM simulation experiments with the plasma gun QSPA Kh-50. Repetitive plasma exposures of tungsten, graphite and different combined W-C targets were performed at the pulse duration of 0.25 ms and the heat loads varied in the range 0.2-2.5 MJ/m 2 . The onset of vapor shield in front of the surface was investigated. The evaporation is immediately followed by a saturation of surface heat load if further increasing the impact energy. The presence of graphite essentially decreases the heat flux to the nearby tungsten surface, which is due to the carbon vapor shield. Droplet splashing at the tungsten surface and formation of hot spots on the graphite surface are discussed.

  11. Research status and issues of tungsten plasma facing materials for ITER and beyond

    International Nuclear Information System (INIS)

    Ueda, Y.; Coenen, J.W.; De Temmerman, G.; Doerner, R.P.; Linke, J.; Philipps, V.; Tsitrone, E.

    2014-01-01

    This review summarizes surface morphology changes of tungsten caused by heat and particle loadings from edge plasmas, and their effects on enhanced erosion and material lifetime in ITER and beyond. Pulsed heat loadings by transients (disruption and ELM) are the largest concerns due to surface melting, cracking, and dust formation. Hydrogen induced blistering is unlikely to be an issue of ITER. Helium bombardment would cause surface morphology changes such as W fuzz, He holes, and nanometric bubble layers, which could lead to enhanced erosion (e.g. unipolar arcing of W fuzz). Particle loadings could enhance pulsed heat effects (cracking and erosion) due to surface layer embrittlement by nanometric bubbles and solute atoms. But pulsed heat loadings alleviate surfaces morphology changes in some cases (He holes by ELM-like heat pulses). Effects of extremely high fluence (∼10 30 m −2 ), mixed materials, and neutron irradiation are important issues to be pursued for ITER and beyond. In addition, surface refurbishment to prolong material lifetime is also an important issue

  12. Plasma spraying of zirconium carbide – hafnium carbide – tungsten cermets

    Czech Academy of Sciences Publication Activity Database

    Brožek, Vlastimil; Ctibor, Pavel; Cheong, D.-I.; Yang, S.-H.

    2009-01-01

    Roč. 9, č. 1 (2009), s. 49-64 ISSN 1335-8987 Institutional research plan: CEZ:AV0Z20430508 Keywords : Plasma spraying * cermet coatings * microhardness * zirconium carbide * hafnium carbide * tungsten * water stabilized plasma Subject RIV: JH - Ceramics, Fire-Resistant Materials and Glass

  13. Development of positron annihilation spectroscopy for investigating deuterium decorated voids in neutron-irradiated tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Taylor, C.N., E-mail: chase.taylor@inl.gov [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID 83415 (United States); Shimada, M.; Merrill, B.J. [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID 83415 (United States); Akers, D.W. [Experimental Programs, Idaho National Laboratory, Idaho Falls, ID 83415 (United States); Hatano, Y. [Hydrogen Isotope Research Center, University of Toyama, Toyama 930-8555 (Japan)

    2015-08-15

    The present work is a continuation of a recent research to develop and optimize positron annihilation spectroscopy (PAS) for characterizing neutron-irradiated tungsten. Tungsten samples were exposed to neutrons in the High Flux Isotope Reactor (HFIR) at Oak Ridge National Laboratory and damaged to 0.025 and 0.3 dpa. Subsequently, they were exposed to deuterium plasmas in the Tritium Plasma Experiment (TPE) at Idaho National Laboratory. The implanted deuterium was desorbed through sample heating to 900 °C, and Doppler broadening (DB)-PAS was performed both before and after heating. Results show that deuterium impregnated tungsten is identified as having a smaller S-parameter. The S-parameter increases after deuterium desorption. Microstructural changes also occur during sample heating. These effects can be isolated from deuterium desorption by comparing the S-parameters from the deuterium-free back face with the deuterium-implanted front face. The application of using DB-PAS to examine deuterium retention in tungsten is examined.

  14. Development of positron annihilation spectroscopy for investigating deuterium decorated voids in neutron-irradiated tungsten

    Science.gov (United States)

    Taylor, C. N.; Shimada, M.; Merrill, B. J.; Akers, D. W.; Hatano, Y.

    2015-08-01

    The present work is a continuation of a recent research to develop and optimize positron annihilation spectroscopy (PAS) for characterizing neutron-irradiated tungsten. Tungsten samples were exposed to neutrons in the High Flux Isotope Reactor (HFIR) at Oak Ridge National Laboratory and damaged to 0.025 and 0.3 dpa. Subsequently, they were exposed to deuterium plasmas in the Tritium Plasma Experiment (TPE) at Idaho National Laboratory. The implanted deuterium was desorbed through sample heating to 900 °C, and Doppler broadening (DB)-PAS was performed both before and after heating. Results show that deuterium impregnated tungsten is identified as having a smaller S-parameter. The S-parameter increases after deuterium desorption. Microstructural changes also occur during sample heating. These effects can be isolated from deuterium desorption by comparing the S-parameters from the deuterium-free back face with the deuterium-implanted front face. The application of using DB-PAS to examine deuterium retention in tungsten is examined.

  15. On tungsten technologies and qualification for DEMO

    International Nuclear Information System (INIS)

    Laan, J. van der; Hegeman, H.; Wouters, O.; Luzginova, N.; Jonker, B.; Van der Marck, S.; Opschoor, J.; Wang, J.; Dowling, G.; Stuivenga, M.; Carton, E.

    2009-01-01

    Tungsten alloys are considered prime candidates for the in-vessel components directly facing the plasma. For example, in the HEMJ helium cooled divertor design tiles may be operated at temperatures up to 1700 deg. C, supported by a structure partially consisting of tungsten at temperatures from 600 to 1000 deg. C, and connected to a HT steel structure. The tungsten armoured primary wall is operated at 500-900 deg. C. Irradiation doses will be few tens dpa at minimum, but FPR requirements for plants availability will stretch these targets. Recently injection moulding technology was developed for pure tungsten and representative parts were manufactured for ITER monobloc divertors and DEMO HEMJ thimbles. The major advantages for this technology are the efficient use of material feedstock/resources and the intrinsic possibility to produce near-finished product, avoiding machining processes that are costly and may introduce surface defects deteriorating the component in service performance. It is well suited for mass-manufacturing of components as well known in e.g. lighting industries. To further qualify this material technology various specimen types were produced with processing parameters identical to the components, and tested successfully, showing the high potential for implementation in (fusion) devices. Furthermore, the engineering approach can clearly be tailored away from conventional design and manufacturing technologies based on bulk materials. The technology is suitable for shaping of new W-alloys and W-ODS variants as well. Basically this technology allows a particular qualification trajectory. There is no need to produce large batches of material during the material development and optimization stage. For the verification of irradiation behaviour in the specific neutron spectra, there is a further attractive feature to use e.g. isotope tailored powders to adjust to available irradiation facilities like MTR's. In addition the ingrowth of transmutation

  16. Engineering solutions for components facing the plasma in experimental power reactors

    International Nuclear Information System (INIS)

    Casini, G.; Farfaletti-Casali, F.

    1985-01-01

    A review of the engineering problems related to the structures in front of the plasma of experimental Tokamak-type reactors is made. Attention is focused on the so-named ''first wall'', i.e. the wall side of the blanket segments facing the plasma, and on the collector plates of the impurity control system, in particular for the case of the single-null poloidal divertor. Even if the uncertainties related to the plasma-wall interaction are stil relevant, some engineering solutions which look manageable are identified and described. (orig.)

  17. The feasibility of beryllium as structural material for the ITER plasma-facing components (PFC)

    International Nuclear Information System (INIS)

    Vieider, G.; Cardella, A.; Gorenflo, H.

    1993-01-01

    Be as plasma-facing armour has attractive features including excellent plasma compatibility, no T-retention via co-deposition and the potential for in-situ repair via plasma spraying. In order to avoid the bonding of the Be-armour to a heatsink structure in e.g., Cu-alloys, the ITER Joint Central Team (JCT) proposed for the divertor tubular elements with monolithic Be, both as plasma-facing and structural material. The analysis of these Be-tubes with 5 mm wall thickness at a heat load of 5 MW/m 2 showed that even for the most favourable assumptions thermal stresses exceed by far the allowed values according to design codes. Damage by neutrons and disruptions would worsen further the case for Be as monolithic plasma-facing and structural material. For PFC at heat flux significantly above 1 MW/m 2 it appears evident that Be should be used merely as armour bonded to a suitable structural material as heatsink. (orig.)

  18. Elastic–plastic adhesive impacts of tungsten dust with metal surfaces in plasma environments

    NARCIS (Netherlands)

    Ratynskaia, S.; Tolias, P.; Shalpegin, A.; Vignitchouk, L.; de Angeli, M.; I. Bykov,; Bystrov, K.; Bardin, S.; Brochard, F.; Ripamonti, D.; N. den Harder,; De Temmerman, G.

    2015-01-01

    Dust-surface collisions impose size selectivity on the ability of dust grains to migrate in scrape-off layer and divertor plasmas and to adhere to plasma-facing components. Here, we report first experimental evidence of dust impact phenomena in plasma environments concerning low-speed collisions of

  19. Tungsten erosion and redeposition in the all-tungsten divertor of ASDEX Upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Mayer, M; Krieger, K; Matern, G; Neu, R; Rasinski, M; Rohde, V; Sugiyama, K; Wiltner, A [Max-Planck-Institut fuer Plasmaphysik, EURATOM Association, Boltzmannstrasse 2, 85748 Garching (Germany); Andrzejczuk, M; Fortuna-Zalesna, E; Kurzydlowski, K J; Zielinski, W [Faculty of Materials Science and Engineering, Warsaw University of Technology, Association EURATOM-IPPLM, 02-507 Warsaw (Poland); Hakola, A; Koivuranta, S; Likonen, J [VTT Materials for Power Engineering, EURATOM Association, PO Box 1000, FI-02044 VTT (Finland); Ramos, G [CICATA-Qro, Instituto Politecnico Nacional, Queretaro (Mexico); Dux, R, E-mail: matej.mayer@ipp.mpg.de

    2009-12-15

    Net erosion and deposition of tungsten (W) in the ASDEX Upgrade divertor were determined after the 2007 campaign by using thin W marker stripes. ASDEX Upgrade had full-W plasma-facing components during this campaign. The inner divertor and the roof baffle were net W deposition areas with a maximum deposition of about 1x10{sup 18} W-atoms cm{sup -2} in the private flux region below the inner strike point. Net erosion of W was observed in the whole outer divertor, with the largest erosion close to the outer strike point. Only a small fraction of the W eroded in the main chamber and in the outer divertor was found in redeposits in the inner divertor, while a large fraction was either redeposited at unidentified places in the main chamber or has formed dust.

  20. Application of tungsten-fibre-reinforced copper matrix composites to a high-heat-flux component: A design study by dual scale finite element analysis

    International Nuclear Information System (INIS)

    Jeong-Ha You

    2006-01-01

    According to the European Power Plant Conceptual Study, actively cooled tungsten mono-block is one of the divertor design options for fusion reactors. In this study the coolant tube acts as a heat sink and the tungsten block as plasma-facing armour. A key material issue here is how to achieve high temperature strength and high heat conductivity of the heat sink tube simultaneously. Copper matrix composite reinforced with continuous strong fibres has been considered as a candidate material for heat sink of high-heat-flux components. Refractory tungsten wire is a promising reinforcement material due to its high strength, winding flexibility and good interfacial wetting with copper. We studied the applicability of tungsten-fibre-reinforced copper matrix composite heat sink tubes for the tungsten mono-block divertor by means of dual-scale finite element analysis. Thermo-elasto-plastic micro-mechanics homogenisation technique was applied. A heat flux of 15 MW/m 2 with cooling water temperature of 320 o C was considered. Effective stress-free temperature was assumed to be 500 o C. Between the tungsten block and the composite heat sink tube interlayer (1 mm thick) of soft Cu was inserted. The finite element analysis yields the following results: The predicted maximum temperature at steady state is 1223 o C at the surface and 562 o C at the interface between tube and copper layer. On the macroscopic scale, residual stress is generated during fabrication due to differences in thermal expansion coefficients of the materials. Strong compressive stress occurs in the tungsten block around the tube while weak tensile stress is present in the interlayer. The local and global probability of brittle failure of the tungsten block was also estimated using the probabilistic failure theories. The thermal stresses are significantly decreased upon subsequent heat flux loading. Resolving the composite stress on microscopic scale yields a maximum fibre axial stress of 3000 MPa after

  1. Liquid-metal plasma-facing component research on the National Spherical Torus Experiment

    International Nuclear Information System (INIS)

    Jaworski, M A; Khodak, A; Kaita, R

    2013-01-01

    Liquid metal plasma-facing components (PFCs) have been proposed as a means of solving several problems facing the creation of economically viable fusion power reactors. Liquid metals face critical issues in three key areas: free-surface stability, material migration and demonstration of integrated scenarios. To date, few demonstrations exist of this approach in a diverted tokamak and we here provide an overview of such work on the National Spherical Torus Experiment (NSTX). The liquid lithium divertor (LLD) was installed and operated for the 2010 run campaign using evaporated coatings as the filling method. Despite a nominal liquid level exceeding the capillary structure and peak current densities into the PFCs exceeding 100 kA m −2 , no macroscopic ejection events were observed. The stability can be understood from a Rayleigh–Taylor instability analysis. Capillary restraint and thermal-hydraulic considerations lead to a proposed liquid-metal PFCs scheme of actively-supplied, capillary-restrained systems. Even with state-of-the-art cooling techniques, design studies indicate that the surface temperature with divertor-relevant heat fluxes will still reach temperatures above 700 °C. At this point, one would expect significant vapor production from a liquid leading to a continuously vapor-shielded regime. Such high-temperature liquid lithium PFCs may be possible on the basis of momentum-balance arguments. (paper)

  2. Radiative Recombination and Photoionization Data for Tungsten Ions. Electron Structure of Ions in Plasmas

    Directory of Open Access Journals (Sweden)

    Malvina B. Trzhaskovskaya

    2015-05-01

    Full Text Available Theoretical studies of tungsten ions in plasmas are presented. New calculations of the radiative recombination and photoionization cross-sections, as well as radiative recombination and radiated power loss rate coefficients have been performed for 54 tungsten ions for the range W6+–W71+. The data are of importance for fusion investigations at the reactor ITER, as well as devices ASDEX Upgrade and EBIT. Calculations are fully relativistic. Electron wave functions are found by the Dirac–Fock method with proper consideration of the electron exchange. All significant multipoles of the radiative field are taken into account. The radiative recombination rates and the radiated power loss rates are determined provided the continuum electron velocity is described by the relativistic Maxwell–Jüttner distribution. The impact of the core electron polarization on the radiative recombination cross-section is estimated for the Ne-like iron ion and for highly-charged tungsten ions within an analytical approximation using the Dirac–Fock electron wave functions. The effect is shown to enhance the radiative recombination cross-sections by ≲20%. The enhancement depends on the photon energy, the principal quantum number of polarized shells and the ion charge. The influence of plasma temperature and density on the electron structure of ions in local thermodynamic equilibrium plasmas is investigated. Results for the iron and uranium ions in dense plasmas are in good agreement with previous calculations. New calculations were performed for the tungsten ion in dense plasmas on the basis of the average-atom model, as well as for the impurity tungsten ion in fusion plasmas using the non-linear self-consistent field screening model. The temperature and density dependence of the ion charge, level energies and populations are considered.

  3. Steady-state operation of magnetic fusion devices: Plasma control and plasma facing components. Report on the IAEA technical committee meeting held at Fukuoka, 25-29 October 1999

    International Nuclear Information System (INIS)

    Engelmann, F.

    2000-01-01

    An IAEA Technical Committee Meeting on Steady-State Operation of Magnetic Fusion Devices - Plasma Control and Plasma Facing Components was held at Fukuoka, Japan, from 25 to 29 October 1999. The meeting was the second IAEA Techical Committee Meeting on the subject, following the one held at Hefei, China, a year earlier. The meeting was attended by over 150 researchers from 10 countries

  4. Deuterium-induced nanostructure formation on tungsten exposed to high-flux plasma

    NARCIS (Netherlands)

    Xu, H.Y.; De Temmerman, G.C.; Luo, G.-N.; Jia, Y.Z.; Yuan, Y.; Fu, B.Q.; Godfrey, A.; Liu, W.

    2015-01-01

    PLASMA-SURFACE INTERACTIONS 21 — Proceedings of the 21st International Conference on Plasma-Surface Interactions in Controlled Fusion Devices Kanazawa, Japan May 26-30, 2014 Surface topography of polycrystalline tungsten (W) have been examined after exposure to a low-energy (38 eV/D), high-flux

  5. FOREWORD: 13th International Workshop on Plasma-Facing Materials and Components for Fusion Applications/1st International Conference on Fusion Energy Materials Science 13th International Workshop on Plasma-Facing Materials and Components for Fusion Applications/1st International Conference on Fusion Energy Materials Science

    Science.gov (United States)

    Jacob, Wolfgang; Linsmeier, Christian; Rubel, Marek

    2011-12-01

    subjected to extremely high heat loads and very high particle and neutron fluxes. They must have high thermal conductivity for efficient heat transport, high cohesive energy for low erosion by particle bombardment and low atomic number to minimize plasma cooling. These contradictory requirements make the development of plasma-facing materials one of the greatest challenges ever faced by materials scientists. The erosion of plasma-facing materials is one of the main factors influencing the operational schedule of experimental fusion reactors and future power plants. A number of materials selected for current designs cannot withstand the presently foreseen plasma scenarios of a power plant for a commercially viable period of time. Therefore, further coordinated development of plasma scenarios and materials is essential for the realization of fusion as an energy source. The design and development of plasma-facing materials requires a detailed understanding of the processes that occur when a material surface is bombarded with an intense flux of heat, particles and neutrons simultaneously. These materials-related topics are the focus of this series of workshops which has established itself as a discussion forum for experts from research institutions and industry dealing with materials for plasma-facing components in present and future thermonuclear fusion devices. During the joint conference PFMC-13/FEMaS-1 recent developments and research results in the following fields were addressed: carbon, beryllium, and tungsten based materials mixed materials erosion and redeposition high heat flux component development benchmarking of radiation damage modelling synchrotron and neutron based characterization techniques application of advanced transmission electron microscopy and micro-/nano-mechanical testing. With the approaching technical realization of ITER, the ITER-related PFMC topics are naturally the main focus of research. In this respect the start of the ITER-like wall experiment

  6. ITER vacuum vessel, in vessel components and plasma facing materials

    International Nuclear Information System (INIS)

    Ioki, Kimihiro; Enoeda, M.; Federici, G.

    2007-01-01

    in a protected position flush with the FW. There are no sliding supports inside the vacuum, to keep the reliability of the system. Driving mechanisms are located outside the vacuum boundary. The divertor activities have progressed with the aim of launching the procurement according to the ITER project schedule. They include: (a) the consolidation of the design and manufacturing technologies for the plasma facing components (PFCs); (b) the prequalification programme by the parties prior to entering into the procurement phase, (c) the diagnostics integration into the divertor design, (d) the development of suitable acceptance criteria for the divertor PFCs including the required fabrication control methods; (e) the development of remote handling procedures for the first installation and for the following replacements of the divertor cassettes. (orig.)

  7. US-Japan workshop Q-181 on high heat flux components and plasma-surface interactions for next devices: Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    McGrath, R.T. [ed.] [Sandia National Labs., Albuquerque, NM (United States); Yamashina, T. [ed.] [Hokkadio Univ. (Japan)

    1994-04-01

    This report contain viewgraphs of papers from the following sessions: plasma facing components issues for future machines; recent PMI results from several tokamaks; high heat flux technology; plasma facing components design and applications; plasma facing component materials and irradiation damage; boundary layer plasma; plasma disruptions; conditioning and tritium; and erosion/redeposition.

  8. US-Japan workshop Q-181 on high heat flux components and plasma-surface interactions for next devices: Proceedings

    International Nuclear Information System (INIS)

    McGrath, R.T.; Yamashina, T.

    1994-04-01

    This report contain viewgraphs of papers from the following sessions: plasma facing components issues for future machines; recent PMI results from several tokamaks; high heat flux technology; plasma facing components design and applications; plasma facing component materials and irradiation damage; boundary layer plasma; plasma disruptions; conditioning and tritium; and erosion/redeposition

  9. Plasma Wall Interaction Phenomena on Tungsten Armour Materials for Fusion Applications

    Energy Technology Data Exchange (ETDEWEB)

    Uytdenhouwen, I. [SCK.CEN - The Belgian Nuclear Research Centre, Institute for Nuclear Materials Science, Boeretang 200, 2400 Mol (Belgium); Forschungszentrum Juelich GmbH, EURATOM-association, D-52425 Juelich (Germany); Department of Applied Physics, Ghent University, Rozier 44, 9000 Ghent (Belgium); Massaut, V. [Department of Applied Physics, Ghent University, Rozier 44, 9000 Ghent (Belgium); Linke, J. [Forschungszentrum Juelich GmbH, EURATOM-association, D-52425 Juelich (Germany); Van Oost, G. [Department of Applied Physics, Ghent University, Rozier 44, 9000 Ghent (Belgium)

    2008-07-01

    One of the most attractive future complements to present energy sources is nuclear fusion. A large progress was made throughout the last decade from both the physical as the technological area leading to the construction of the ITER machine. One of the key issues that recently received a large interest at international level is focused on the Plasma Wall Interaction (PWI). One of the promising Plasma Facing Materials (PFM) are Tungsten (W) and Tungsten alloys. However, despite the worldwide use and industrial availability of W, the database of physical and mechanical properties is very limited. Especially after fusion relevant neutron irradiation and PWI phenomena, most of the properties are still unknown. The plasma fuel consists out of deuterium (D) and tritium (T). Tritium is radio-active and therefore an issue from the safety point of view. During steady-state plasma operation of future fusion power plants, the PFM need to extract a power density of {approx}10-20 MW/m{sup 2}. On top of this heat, transient events will deposit an additional non-negligible amount of energy (Disruptions, Vertical Displacement Events, Edge Localized Modes) during short durations. These severe heat loads cause cracking and even melting of the surface resulting in a reduced lifetime and the creation of dust. A contribution to the understanding of cracking phenomena under the severe thermal loads is described as well as the properties degradation under neutron irradiation. Several W grades were irradiated in the BR2 reactor (SCK.CEN) and the thermal loads were simulated with the electron-beam facility JUDITH (FZJ). Since knowledge should be gained about the Tritium retention in the PFM for safety and licensing reasons, a unique test facility at SCK.CEN is being set-up. The plasmatron VISION-I will simulate steady state plasmas for Tritium retention studies. The formation of surface cracks and dust, the initial porosity, neutron induced traps, re-deposited material - change the Tritium

  10. Plasma Wall Interaction Phenomena on Tungsten Armour Materials for Fusion Applications

    International Nuclear Information System (INIS)

    Uytdenhouwen, I.; Massaut, V.; Linke, J.; Van Oost, G.

    2008-01-01

    One of the most attractive future complements to present energy sources is nuclear fusion. A large progress was made throughout the last decade from both the physical as the technological area leading to the construction of the ITER machine. One of the key issues that recently received a large interest at international level is focused on the Plasma Wall Interaction (PWI). One of the promising Plasma Facing Materials (PFM) are Tungsten (W) and Tungsten alloys. However, despite the worldwide use and industrial availability of W, the database of physical and mechanical properties is very limited. Especially after fusion relevant neutron irradiation and PWI phenomena, most of the properties are still unknown. The plasma fuel consists out of deuterium (D) and tritium (T). Tritium is radio-active and therefore an issue from the safety point of view. During steady-state plasma operation of future fusion power plants, the PFM need to extract a power density of ∼10-20 MW/m 2 . On top of this heat, transient events will deposit an additional non-negligible amount of energy (Disruptions, Vertical Displacement Events, Edge Localized Modes) during short durations. These severe heat loads cause cracking and even melting of the surface resulting in a reduced lifetime and the creation of dust. A contribution to the understanding of cracking phenomena under the severe thermal loads is described as well as the properties degradation under neutron irradiation. Several W grades were irradiated in the BR2 reactor (SCK.CEN) and the thermal loads were simulated with the electron-beam facility JUDITH (FZJ). Since knowledge should be gained about the Tritium retention in the PFM for safety and licensing reasons, a unique test facility at SCK.CEN is being set-up. The plasmatron VISION-I will simulate steady state plasmas for Tritium retention studies. The formation of surface cracks and dust, the initial porosity, neutron induced traps, re-deposited material - change the Tritium

  11. Traditional Technology of Chromium-Tungsten Steels Facing, its Disadvantages and Suggestions for their Eliminations

    OpenAIRE

    Valuev, Denis Viktorovich; Malushin, N. N.; Valueva, Anna Vladimirovna; Dariev, R. S.; Mamadaliev, R. A.

    2016-01-01

    To reveal the disadvantages of the traditional technology of facing with chromium-tungsten steels analysis of the given technology was completed. The analysis showed that the main disadvantages of the technology are high-temperature heating and underutilization of high-alloyed metal properties. To eliminate the disadvantages we developed the methods of facing allowing obtaining faced metal which state is close to that of the hardened one without cracks.

  12. Demonstration of multi-generational growth of tungsten nanoparticles in hydrogen plasma using in situ laser extinction method

    Science.gov (United States)

    Ouaras, K.; Lombardi, G.; Hassouni, K.

    2018-03-01

    For the first time, we demonstrate that tungsten (W) nanoparticles (NPs) are created when a tungsten target is exposed to low-pressure, high density hydrogen plasma. The plasma was generated using a novel dual plasma system combining a microwave discharge and a pulsed direct-current (DC) discharge. The tungsten surface originates in the multi-generational formation of a significant population of 30-70 nm diameter particles when the W cathode is biased at ~  -1 kV and submitted to ~1020 m2 s-1 H+/H2+ /H3+ ions flux. The evidenced NPs formation should be taking into account as one of the consequence of the plasma surface interaction outcomes, especially for fusion applications.

  13. Progress in the engineering design and assessment of the European DEMO first wall and divertor plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Barrett, Thomas R., E-mail: tom.barrett@ukaea.uk [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Ellwood, G.; Pérez, G.; Kovari, M.; Fursdon, M.; Domptail, F.; Kirk, S.; McIntosh, S.C.; Roberts, S.; Zheng, S. [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Boccaccini, L.V. [KIT, INR, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); You, J.-H. [Max Planck Institute for Plasma Physics, Boltzmannstr. 2, 85748 Garching (Germany); Bachmann, C. [EUROfusion, PPPT, Boltzmann Str. 2, 85748 Garching (Germany); Reiser, J.; Rieth, M. [KIT, IAM, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Visca, E.; Mazzone, G. [ENEA, Unità Tecnica Fusione, ENEA C. R. Frascati, via E. Fermi 45, 00044 Frascati (Italy); Arbeiter, F. [KIT, INR, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Domalapally, P.K. [Research Center Rez, Hlavní 130, 250 68 Husinec – Řež (Czech Republic)

    2016-11-01

    Highlights: • The engineering of the plasma facing components for DEMO is an extreme challenge. • PFC overall requirements, methods for assessment and designs status are described. • Viable divertor concepts for 10 MW/m{sup 2} surface heat flux appear to be within reach. • The first wall PFC concept will need to vary poloidally around the wall. • First wall coolant, structural material and PFC topology are open design choices. - Abstract: The European DEMO power reactor is currently under conceptual design within the EUROfusion Consortium. One of the most critical activities is the engineering of the plasma-facing components (PFCs) covering the plasma chamber wall, which must operate reliably in an extreme environment of neutron irradiation and surface heat and particle flux, while also allowing sufficient neutron transmission to the tritium breeding blankets. A systems approach using advanced numerical analysis is vital to realising viable solutions for these first wall and divertor PFCs. Here, we present the system requirements and describe bespoke thermo-mechanical and thermo-hydraulic assessment procedures which have been used as tools for design. The current first wall and divertor designs are overviewed along with supporting analyses. The PFC solutions employed will necessarily vary around the wall, depending on local conditions, and must be designed in an integrated manner by analysis and physical testing.

  14. Proceedings of the 4th International Workshop on Tritium Effects in Plasma Facing Components

    International Nuclear Information System (INIS)

    Causey, R. A.

    1999-01-01

    The 4th International Workshop on Tritium Effects in Plasma Facing Components was held in Santa Fe, New Mexico on May 14-15, 1998. This workshop occurs every two years, and has previously been held in Livermore/California, Nagoya/Japan, and the JRC-Ispra Site in Italy. The purpose of the workshop is to gather researchers involved in the topic of tritium migration, retention, and recycling in materials used to line magnetic fusion reactor walls and provide a forum for presentation and discussions in this area. This document provides an overall summary of the workshop, the workshop agenda, a summary of the presentations, and a list of attendees

  15. Analysis of heat transfer and erosion effects on ITER divertor plasma facing components induced by slow high-power transients

    International Nuclear Information System (INIS)

    Federici, G.; Raffray, A.R.; Chiocchio, S.; Esser, B.; Dietz, J.; Igitkhanov, Y.; Janeschitz, G.

    1995-01-01

    This paper presents the results of an analysis carried out to investigate the thermal response of ITER divertor plasma facing components (PFC's) clad with Be, W, and CFC, to high-recycling, high-power thermal transients (i.e. 10--30 MW/m 2 ) which are anticipated to last up to a few seconds. The armour erosion and surface melting are estimated for the different plasma facing materials (PFM's) together with the maximum heat flux to the coolant, and armour/heat-sink interface temperature. The analysis assumes that intense target evaporation will lead to high radiative power losses in the plasma in front of the target which self-protects the target. The cases analyzed clarify the influence of several key parameters such as the plasma heat flux to the target, the loss of the melt layer, the duration of the event, the thickness of the armour, and comparison is made with cases without vapor shielding. Finally, some implications for the performance and lifetime of divertor PFC's clad with different PFM's are discussed

  16. Sub-surface microstructure of single and polycrystalline tungsten after high flux plasma exposure studied by TEM

    Energy Technology Data Exchange (ETDEWEB)

    Dubinko, A., E-mail: adubinko@sckcen.be [Institute for Nuclear Material Sciences, SCK-CEN, 2400 Mol (Belgium); Department of Applied Physics, Ghent University, 9000 Ghent (Belgium); Terentyev, D. [Institute for Nuclear Material Sciences, SCK-CEN, 2400 Mol (Belgium); Bakaeva, A. [Institute for Nuclear Material Sciences, SCK-CEN, 2400 Mol (Belgium); Department of Applied Physics, Ghent University, 9000 Ghent (Belgium); Hernández-Mayoral, M. [Division of Materials, CIEMAT, 28040 Madrid (Spain); De Temmerman, G. [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046, 13067 St Paul-lez-Durance Cedex (France); Buzi, L. [Forschungszentrum Julich, Inst. Energie & Klimaforsch Plasmaphys, D-52425 Julich (Germany); Noterdaeme, J.-M. [Department of Applied Physics, Ghent University, 9000 Ghent (Belgium); Unterberg, B. [Forschungszentrum Julich, Inst. Energie & Klimaforsch Plasmaphys, D-52425 Julich (Germany)

    2017-01-30

    Highlights: • Plasma exposure induces dislocation-dominated microstructure as indicated by TEM. • Plasma exposure increases surface dislocation density by an order of magnitude in the polycrystalline tungsten. • Intensive dislocation-grain boundary interaction observed in polycrystalline tungsten. • Dislocation loops are observed in both polycrystalline and single crystal tungsten. - Abstract: We have performed high flux plasma exposure of tungsten and subsequent microstructural characterization using transmission electron microscopy (TEM) techniques. The aim was to reveal the nanometric features in the sub-surface region as well as to compare the microstructural evolution in tungsten single crystal and ITER-relevant specification. In both types of samples, TEM examination revealed the formation of a dense dislocation network and dislocation tangles. The estimated dislocation density in the sub-surface region was of the order of 10{sup 14} m{sup −2} and it gradually decreased with a depth position of the examined sample. Besides individual dislocation lines, networks and tangles, the interstitial dislocation loops have been observed in all examined samples only after the exposure. Contrary to that, examination of the pristine single crystal W and backside of the plasma-exposed samples did not reveal the presence of dislocation loops and tangles. This clearly proves that high flux plasma exposure induces severe plastic deformation in the sub-surface region irrespective of the presence of initial dislocations and sub-grains, and the formation of dislocation tangles, networks and interstitial loops is a co-product of thermal stress and intensive plasma particles uptake.

  17. Synthesis of tungsten oxide, silver, and gold nanoparticles by radio frequency plasma in water

    International Nuclear Information System (INIS)

    Hattori, Yoshiaki; Nomura, Shinfuku; Mukasa, Shinobu; Toyota, Hiromichi; Inoue, Toru; Usui, Tomoya

    2013-01-01

    Highlights: •RF plasma in water was used for nanoparticle synthesis. •Nanoparticles were produced from erosion of metallic electrode. •Rectangular and spherical tungsten oxide nanoparticles were produced. •No oxidations of the silver and gold spherical nanoparticles were produced. -- Abstract: A process for synthesis of nanoparticles using plasma in water generated by a radio frequency of 27.12 MHz is proposed. Tungsten oxide, silver, and gold nanoparticles were produced at 20 kPa through erosion of a metallic electrode exposed to plasma. Characterization of the produced nanoparticles was carried out by XRD, absorption spectrum, and TEM. The nanoparticle sizes were compared with those produced by a similar technique using plasma in liquid

  18. Numerical simulation of strong evaporation and condensation for plasma-facing materials

    International Nuclear Information System (INIS)

    Kunugi, T.; Yasuda, H.

    1994-01-01

    The thermal response of the divertor plate to the hard plasma disruptions had been analyzed numerically by the two dimensional transient heat transfer code. There are several studies of the vapor shielding effects on the thermal response to the plasma disruption. However, it was pointed out some discrepancies among the numerical results calculated by U.S., EC and Japan for the same disruption conditions by van der Laan. One of the authors studied the sensitivity of some parameters (i.e., the temperature dependency of the thermal properties, an evaporation coefficient and a saturated condensation ratio) of disruption erosion analysis. Though the authors expected that the variations in evaporation models lead to the large variety of the erosion, they gave no significant effects on the surface temperature, the evaporation and melt-layer thickness. In this paper, the authors will describe the development of the numerical simulation codes for the strong evaporation and condensation from the plasma facing materials (PFMs) such as carbon, tungsten and beryllium

  19. Spectroscopic Investigations of Highly Charged Tungsten Ions - Atomic Spectroscopy and Fusion Plasma Diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Clementson, Joel [Lund Univ. (Sweden)

    2010-05-01

    The spectra of highly charged tungsten ions have been investigated using x-ray and extreme ultraviolet spectroscopy. These heavy ions are of interest in relativistic atomic structure theory, where high-precision wavelength measurements benchmark theoretical approaches, and in magnetic fusion research, where the ions may serve to diagnose high-temperature plasmas. The work details spectroscopic investigations of highly charged tungsten ions measured at the Livermore electron beam ion trap (EBIT) facility. Here, the EBIT-I and SuperEBIT electron beam ion traps have been employed to create, trap, and excite tungsten ions of M- and L-shell charge states. The emitted spectra have been studied in high resolution using crystal, grating, and x-ray calorimeter spectrometers. In particular, wavelengths of n = 0 M-shell transitions in K-like W55+ through Ne-like W64+, and intershell transitions in Zn-like W44+ through Co-like W47+ have been measured. Special attention is given to the Ni-like W46+ ion, which has two strong electric-dipole forbidden transitions that are of interest for plasma diagnostics. The EBIT measurements are complemented by spectral modeling using the Flexible Atomic Code (FAC), and predictions for tokamak spectra are presented. The L-shell tungsten ions have been studied at electron-beam energies of up to 122 keV and transition energies measured in Ne-like W64+ through Li-like W71+. These spectra constitute the physics basis in the design of the ion-temperature crystal spectrometer for the ITER tokamak. Tungsten particles have furthermore been introduced into the Sustained Spheromak Physics Experiment (SSPX) spheromak in Livermore in order to investigate diagnostic possibilities of extreme ultraviolet tungsten spectra for the ITER divertor. The spheromak measurement and spectral modeling using FAC suggest that tungsten ions in charge states around Er-like W6+ could be useful for

  20. The WEST programme: Minimizing technology and operational risks of a full actively cooled tungsten divertor on ITER

    Energy Technology Data Exchange (ETDEWEB)

    Grosman, André, E-mail: andre.grosman@cea.fr [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Bucalossi, Jérôme; Doceul, Louis [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Escourbiac, Frédéric [ITER Organization, Cadarache, 13115 St. Paul-lez-Durance (France); Lipa, Manfred [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Merola, Mario [ITER Organization, Cadarache, 13115 St. Paul-lez-Durance (France); Missirlian, Marc [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France); Pitts, Richard A. [ITER Organization, Cadarache, 13115 St. Paul-lez-Durance (France); Samaille, Franck; Tsitrone, Emmanuelle [CEA, IRFM, F-13108 Saint-Paul-Lez-Durance (France)

    2013-10-15

    Highlights: ► The WEST programme is a unique opportunity to experience the industrial scale manufacture of tungsten plasma-facing components similar to the ITER divertor ones. ► In Tore Supra, it will bring important know how for actively cooled W divertor operation. ► This can be done by a reasonable modification of the Tore Supra tokamak. ► A fast implementation of the project would make this information available in due time. ► This allows a significant contribution to the W ITER divertor risk minimization in its manufacturing and operation phase. -- Abstract: The WEST programme consists in transforming the Tore Supra tokamak into an X point divertor device, while taking advantage of its long discharge capability. This is obtained by inserting in vessel coils to create the X point while adapting the in-vessel elements to this new geometry. This will allow the full tungsten divertor technology to be used on ITER to be tested in anticipation of its use on ITER under relevant heat loading conditions and pulse duration. The early manufacturing of a significant industrial series of ITER-similar W plasma-facing units will contribute to the ITER divertor manufacturing risk mitigation and to that associated with early W divertor plasma operation on ITER.

  1. Recrystallization and grain growth induced by ELMs-like transient heat loads in deformed tungsten samples

    Science.gov (United States)

    Suslova, A.; El-Atwani, O.; Sagapuram, D.; Harilal, S. S.; Hassanein, A.

    2014-11-01

    Tungsten has been chosen as the main candidate for plasma facing components (PFCs) due to its superior properties under extreme operating conditions in future nuclear fusion reactors such as ITER. One of the serious issues for PFCs is the high heat load during transient events such as ELMs and disruption in the reactor. Recrystallization and grain size growth in PFC materials caused by transients are undesirable changes in the material, since the isotropic microstructure developed after recrystallization exhibits a higher ductile-to-brittle transition temperature which increases with the grain size, a lower thermal shock fatigue resistance, a lower mechanical strength, and an increased surface roughening. The current work was focused on careful determination of the threshold parameters for surface recrystallization, grain growth rate, and thermal shock fatigue resistance under ELM-like transient heat events. Transient heat loads were simulated using long pulse laser beams for two different grades of ultrafine-grained tungsten. It was observed that cold rolled tungsten demonstrated better power handling capabilities and higher thermal stress fatigue resistance compared to severely deformed tungsten. Higher recrystallization threshold, slower grain growth, and lower degree of surface roughening were observed in the cold rolled tungsten.

  2. Simulated plasma facing component measurements for an in situ surface diagnostic on Alcator C-Moda)

    Science.gov (United States)

    Hartwig, Z. S.; Whyte, D. G.

    2010-10-01

    The ideal in situ plasma facing component (PFC) diagnostic for magnetic fusion devices would perform surface element and isotope composition measurements on a shot-to-shot (˜10 min) time scale with ˜1 μm depth and ˜1 cm spatial resolution over large areas of PFCs. To this end, the experimental adaptation of the customary laboratory surface diagnostic—nuclear scattering of MeV ions—to the Alcator C-Mod tokamak is being guided by ACRONYM, a Geant4 synthetic diagnostic. The diagnostic technique and ACRONYM are described, and synthetic measurements of film thickness for boron-coated PFCs are presented.

  3. Deuterium-induced nanostructure formation on tungsten exposed to high-flux plasma

    NARCIS (Netherlands)

    Xu, H.Y.; De Temmerman, G.; Luo, G. N.; Jia, Y. Z.; Yuan, Y.; Fu, B. Q.; Godfrey, A.; Liu, W.

    2015-01-01

    Surface topography of polycrystalline tungsten (W) have been examined after exposure to a low-energy (38 eV/D), high-flux (∼1.1–1.5 × 1024 m−2 s−1) deuterium plasma in the Pilot-PSI linear plasma device. The methods used were scanning electron microscopy

  4. First result of deuterium retention in neutron-irradiated tungsten exposed to high flux plasma in TPE

    International Nuclear Information System (INIS)

    Shimada, Masashi; Hatano, Y.; Calderoni, P.; Oda, T.; Oya, Y.; Sokolov, M.; Zhang, K.; Cao, G.; Kolasinski, R.; Sharpe, J.P.

    2011-01-01

    With the Japan-US joint research project Tritium, Irradiations, and Thermofluids for America and Nippon (TITAN), an initial set of tungsten samples (99.99% purity, A.L.M.T. Co.) were irradiated by high flux neutrons at 323 K to 0.025 dpa in High Flux Isotope Reactor (HFIR) at Oak Ridge National Laboratory (ORNL). Subsequently, one of the neutron-irradiated tungsten samples was exposed to a high-flux deuterium plasma (ion flux: 5 x 10 21 m -2 s -1 , ion fluence: 4 x 10 25 m -2 ) in the Tritium Plasma Experiment (TPE) at Idaho National Laboratory (INL). The deuterium retention in the neutron-irradiated tungsten was 40% higher in comparison to the unirradiated tungsten. The observed broad desorption spectrum from neutron-irradiated tungsten and associated TMAP modeling of the deuterium release suggest that trapping occurs in the bulk material at more than three different energy sites.

  5. First result of deuterium retention in neutron-irradiated tungsten exposed to high flux plasma in TPE

    Science.gov (United States)

    Shimada, Masashi; Hatano, Y.; Calderoni, P.; Oda, T.; Oya, Y.; Sokolov, M.; Zhang, K.; Cao, G.; Kolasinski, R.; Sharpe, J. P.

    2011-08-01

    With the Japan-US joint research project Tritium, Irradiations, and Thermofluids for America and Nippon (TITAN), an initial set of tungsten samples (99.99% purity, A.L.M.T. Co.) were irradiated by high flux neutrons at 323 K to 0.025 dpa in High Flux Isotope Reactor (HFIR) at Oak Ridge National Laboratory (ORNL). Subsequently, one of the neutron-irradiated tungsten samples was exposed to a high-flux deuterium plasma (ion flux: 5 × 1021 m-2 s-1, ion fluence: 4 × 1025 m-2) in the Tritium Plasma Experiment (TPE) at Idaho National Laboratory (INL). The deuterium retention in the neutron-irradiated tungsten was 40% higher in comparison to the unirradiated tungsten. The observed broad desorption spectrum from neutron-irradiated tungsten and associated TMAP modeling of the deuterium release suggest that trapping occurs in the bulk material at more than three different energy sites.

  6. Demonstration of production of tungsten metal powder and its consolidation into shapes

    International Nuclear Information System (INIS)

    Majumdar, S.; Kishor, J.; Paul, B.; Kain, V.; Dey, G.K.

    2016-01-01

    Tungsten is a strategically important metal used as plasma facing component in fusion reactors, radiation shields in cancer therapy machines, ammunition in defence applications, high speed cutting tools etc. The primary resources or minerals occurring in India contain a very low value (0.25-0.5 wt. %) of tungsten. Mineral beneficiation processes involving crushing, grinding, primary and secondary gravity separation, floatation are essential to produce the ore-concentrate suitable for further processing up to the preparation of the intermediate ammonium para-tungstate (APT). APT was further converted to tungsten tri-oxide (WO_3). Hydrogen reduction of WO_3 producing high purity W metal powder was demonstrated in large scale batches. Densification of W powder was further studied using vacuum hot pressing at 1950°C, and high density W metal plates of 5 mm thickness and 60 mm diameter were produced. The products obtained at every stage were systematically characterized using X-Ray diffraction (XRD), scanning electron microscope (SEM), energy dispersive spectroscopy (EDS) and electron backscattered diffraction (EBSD) techniques. (author)

  7. Internal reflection of interstitial atoms from close-packed tungsten faces

    International Nuclear Information System (INIS)

    Dranova, Zh.I.; Mikhajlovskij, I.M.

    1981-01-01

    Use of field-ion microscopy methods has shown that changes in microtopography of tungsten specimens irradiated with 2-5 keV helium atoms are mainly related to the liberation of interstitial atoms on the surface. It is established that the atom liberation on the surface is considerably anisotropic: maximum quantity of atoms is observed in the vicinity of faces (100), (111) and (211) along the sections of zone lines (110) oriented along the edge of the first Brillouin zone. The atom liberation on plane sections of the most dense-packed face (110) was not observed as a rule; atomic steps of the face are interstitial atom sinks. It is concluded on the basis of the results obtained that there is the predominant inner reflection of interstitial atoms from the dense-packed faces and a possible contribution of inner reflection to the surface migration processes activated with the ion bombardment as well as material swelling have been analyzed [ru

  8. Safety characteristics of options for plasma-facing components for ITER and beyond

    International Nuclear Information System (INIS)

    Piet, S.J.; McCarthy, K.A.; Holland, D.F.; Longhurst, G.R.; Merrill, B.J.

    1991-01-01

    Plasma-facing components (PFC) likely dominate the safety hazards of the International Thermonuclear Experimental Reactor (ITER) and post-ITER machines. To gain regulatory approval and for fusion energy to fulfill its ultimate attractive safety and environmental potential, safety must be considered when selecting among PFC options. This paper summarizes current PFC safety information. PFC safety issues fall into seven areas: disruption tolerance, disruption severity, tritium inventory and permeation, accidental energy release, activation/toxin hazards, cooling disturbances, and system issues. RFC options include current ITER mainline options (Be or W coating, C tiles), variants on current ITER options, and liquid metal (LM) divertors. No PFC option that we have examined is free of critical safety concerns. There are also innovative ideas that may improve any PFC's performance -- super-permeable vacuum ducts, helium self-pumping, and gaseous divertors. We conclude with recommendations and a future strategy. 17 refs., 1 fig., 3 tabs

  9. Thermal radiation characteristics and direct evidence of tungsten cooling on the way to nanostructure formation on its surface

    Energy Technology Data Exchange (ETDEWEB)

    Takamura, S., E-mail: takamura@aitech.ac.jp [Faculty of Engineering, Aichi Institute of Technology, Yakusa-cho, Toyota 470-0392 (Japan); Miyamoto, T. [Faculty of Engineering, Aichi Institute of Technology, Yakusa-cho, Toyota 470-0392 (Japan); Ohno, N. [Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2013-07-15

    The physical properties of tungsten with nanostructure on its surface are investigated focusing on the thermal radiation and cooling characteristics. First, direct evidence of substantial W surface cooling has been clearly shown with use of a very thin thermocouple inserted into W target, which solves an uncertainty associated with a radiation thermometer. Second, the above measurements of W surface temperature make it possible to estimate quantitatively the total emissivity from which we may evaluate the radiative power through the Stefan–Boltzmann equation, which is very important for mitigation evaluation of a serious plasma heat load to the plasma-facing component.

  10. Thermal radiation characteristics and direct evidence of tungsten cooling on the way to nanostructure formation on its surface

    International Nuclear Information System (INIS)

    Takamura, S.; Miyamoto, T.; Ohno, N.

    2013-01-01

    The physical properties of tungsten with nanostructure on its surface are investigated focusing on the thermal radiation and cooling characteristics. First, direct evidence of substantial W surface cooling has been clearly shown with use of a very thin thermocouple inserted into W target, which solves an uncertainty associated with a radiation thermometer. Second, the above measurements of W surface temperature make it possible to estimate quantitatively the total emissivity from which we may evaluate the radiative power through the Stefan–Boltzmann equation, which is very important for mitigation evaluation of a serious plasma heat load to the plasma-facing component

  11. Bonding tungsten, W–Cu-alloy and copper with amorphous Fe–W alloy transition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Song, E-mail: wangsongrain@163.com [Laboratory of Special Ceramics and Powder Metallurgy, University of Science and Technology Beijing, Beijing 100083 (China); Laboratory of Advanced Materials, Tsinghua University, Beijing 100084 (China); Ling, Yunhan, E-mail: yhling@mail.tsinghua.edu.cn [Laboratory of Advanced Materials, Tsinghua University, Beijing 100084 (China); Zhao, Pei [Technical Institute of Physics and Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100039 (China); Zang, Nanzhi [Laboratory of Advanced Materials, Tsinghua University, Beijing 100084 (China); Wang, Jianjun [Laboratory of Special Ceramics and Powder Metallurgy, University of Science and Technology Beijing, Beijing 100083 (China); Guo, Shibin [Technical Institute of Physics and Chemistry, Chinese Academy of Sciences, Beijing 100190 (China); Graduate School of the Chinese Academy of Sciences, Beijing 100039 (China); Zhang, Jun [Laboratory of Advanced Materials, Tsinghua University, Beijing 100084 (China); Xu, Guiying [Laboratory of Special Ceramics and Powder Metallurgy, University of Science and Technology Beijing, Beijing 100083 (China)

    2013-05-15

    W/Cu graded materials are the leading candidate materials used as the plasma facing components in a fusion reactor. However, tungsten and copper can hardly be jointed together due to their great differences in physical properties such as coefficient of thermal expansion and melting point, and the lack of solid solubility between them. To overcome those difficulties, a new amorphous Fe–W alloy transitional coating and vacuum hot pressing (VHP) method were proposed and introduced in this paper. The morphology, composition and structure of the amorphous Fe–W alloy coating and the sintering interface of the specimens were analyzed by scanning electron microscopy (SEM), energy dispersive spectrometer (EDS) and X-ray diffraction (XRD). The thermal shock resistance of the bonded composite was also tested. The results demonstrated that amorphous structure underwent change from amorphous to nano grains during joining process, and the joined W/Cu composite can endued plasma thermal shock resistance with energy density more than 5.33 MW/m{sup 2}. It provides a new feasible technical to join refractory tungsten to immiscible copper with amorphous Fe–W alloy coating.

  12. Improved CuCrZr/316L transition for plasma facing components

    International Nuclear Information System (INIS)

    Tabernig, Bernhard; Rainer, Florian; Scheiber, Karl-Heinz; Schedler, Bertram

    2007-01-01

    Different welding strategies were investigated to improve the tubular transition of CuCrZr to 316L in cooling pipes for actively cooled plasma facing components. Electron beam welding experiments have been carried out on tubular samples using different filler and adapter materials. After non-destructive testing by dye penetrant and He-leak tight testing samples were tensile tested at RT and 400 deg. C to down-select promising candidates. Furthermore samples were taken for a metallographic examination in order to determine the integrity of the welds, the depth of penetration and the hardness profile across the weld. In the scanning electron microscope the weld microstructure and the formation of phases were studied. Good results were obtained by the use of a Ni-filler, an Inconel and explosive welded adapter. The tested samples of these variations fulfilled the strength requirements according to the ITER specification and showed an improved transition compared with the current solution of a pure Ni-adapter. The final down-selection will be based on the results of fatigue and torsion testing

  13. Final steps to an all tungsten divertor tokamak

    International Nuclear Information System (INIS)

    Neu, R.; Bobkov, V.; Dux, R.; Kallenbach, A.; Puetterich, Th.; Greuner, H.; Gruber, O.; Herrmann, A.; Hopf, Ch.; Krieger, K.; Maggi, C.F.; Maier, H.; Mayer, M.; Rohde, V.; Schmid, K.; Suttrop, W.

    2007-01-01

    Currently 85% of the plasma facing components of ASDEX Upgrade are tungsten coated. Carbon influx from W PFCs is still observed but a reduction of the C content is found in plasma discharges and a lower C fraction is measured in deposited layers in agreement with modelling. W sputtering from the low field side guard and ICRF limiters is mainly due to fast particles from NBI as well as from ions accelerated in the rectified sheath during ICRF operation. The increase of the W source area is reflected in increased W concentrations. For medium to high density discharges the techniques developed so far, namely central heating and ELM pace-making, allow keeping the W concentration in the range of 10 -5 . Boronisation strongly reduces the W influxes and similarly the W content especially during ICRF operation, but this reduction is only temporary and equilibrium is reached already after about 100 discharges

  14. Lithium coatings on NSTX plasma facing components and its effects on boundary control, core plasma performance, and operation

    Energy Technology Data Exchange (ETDEWEB)

    Kugel, H.W., E-mail: hkugel@pppl.gov [Princeton Plasma Physics Laboratory, PO Box 451, Princeton, NJ 08543 (United States); Bell, M.G.; Schneider, H. [Princeton Plasma Physics Laboratory, PO Box 451, Princeton, NJ 08543 (United States); Allain, J.P. [Purdue University, School of Nuclear Engineering, West Lafayette, IN 47907 (United States); Bell, R.E.; Kaita, R.; Kallman, J.; Kaye, S.; LeBlanc, B.P.; Mansfield, D. [Princeton Plasma Physics Laboratory, PO Box 451, Princeton, NJ 08543 (United States); Nygren, R.E. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Maingi, R. [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Menard, J.; Mueller, D.; Ono, M.; Paul, S.; Gerhardt, S. [Princeton Plasma Physics Laboratory, PO Box 451, Princeton, NJ 08543 (United States); Raman, R. [University of Washington, Seattle, WA 98195 (United States); Sabbagh, S. [Columbia University, New York, NY 10027 (United States); Skinner, C.H. [Princeton Plasma Physics Laboratory, PO Box 451, Princeton, NJ 08543 (United States)

    2010-11-15

    NSTX high power divertor plasma experiments have used in succession lithium pellet injection (LPI), evaporated lithium, and injected lithium powder to apply lithium coatings to graphite plasma facing components. In 2005, following the wall conditioning and LPI, discharges exhibited edge density reduction and performance improvements. Since 2006, first one, and now two lithium evaporators have been used routinely to evaporate lithium onto the lower divertor region at total rates of 10-70 mg/min for periods 5-10 min between discharges. Prior to each discharge, the evaporators are withdrawn behind shutters. Significant improvements in the performance of NBI heated divertor discharges resulting from these lithium depositions were observed. These evaporators are now used for more than 80% of NSTX discharges. Initial work with injecting fine lithium powder into the edge of NBI heated deuterium discharges yielded comparable changes in performance. Several operational issues encountered with lithium wall conditions, and the special procedures needed for vessel entry are discussed. The next step in this work is installation of a liquid lithium divertor surface on the outer part of the lower divertor.

  15. Lithium Coatings on NSTX Plasma Facing Components and Its Effects On Boundary Control, Core Plasma Performance, and Operation

    Energy Technology Data Exchange (ETDEWEB)

    H.W.Kugel, M.G.Bell, H.Schneider, J.P.Allain, R.E.Bell, R Kaita, J.Kallman, S. Kaye, B.P. LeBlanc, D. Mansfield, R.E. Nygen, R. Maingi, J. Menard, D. Mueller, M. Ono, S. Paul, S.Gerhardt, R.Raman, S.Sabbagh, C.H.Skinner, V.Soukhanovskii, J.Timberlake, L.E.Zakharov, and the NSTX Research Team

    2010-01-25

    NSTX high-power divertor plasma experiments have used in succession lithium pellet injection (LPI), evaporated lithium, and injected lithium powder to apply lithium coatings to graphite plasma facing components. In 2005, following wall conditioning and LPI, discharges exhibited edge density reduction and performance improvements. Since 2006, first one, and now two lithium evaporators have been used routinely to evaporate lithium onto the lower divertor region at total rates of 10-70 mg/min for periods 5-10 min between discharges. Prior to each discharge, the evaporators are withdrawn behind shutters. Significant improvements in the performance of NBI heated divertor discharges resulting from these lithium depositions were observed. These evaporators are now used for more than 80% of NSTX discharges. Initial work with injecting fine lithium powder into the edge of NBI heated deuterium discharges yielded comparable changes in performance. Several operational issues encountered with lithium wall conditions, and the special procedures needed for vessel entry are discussed. The next step in this work is installation of a Liquid Lithium Divertor surface on the outer part of the lower divertor.

  16. Lithium Coatings on NSTX Plasma Facing Components and Its Effects On Boundary Control, Core Plasma Performance, and Operation

    International Nuclear Information System (INIS)

    Kugel, H.W.; Bell, M.G.; Schneider, H.; Allain, J.P.; Bell, R.E.; Kaita, R.; Kallman, J.; Kaye, S.; LeBlanc, B.P.; Mansfield, D.; Nygen, R.E.; Maingi, R.; Menard, J.; Mueller, D.; Ono, M.; Paul, S.; Gerhardt, S.; Raman, R.; Sabbagh, S.; Skinner, C.H.; Soukhanovskii, V.; Timberlake, J.; Zakharov, L.E.; NSTX Research Team

    2010-01-01

    NSTX high-power divertor plasma experiments have used in succession lithium pellet injection (LPI), evaporated lithium, and injected lithium powder to apply lithium coatings to graphite plasma facing components. In 2005, following wall conditioning and LPI, discharges exhibited edge density reduction and performance improvements. Since 2006, first one, and now two lithium evaporators have been used routinely to evaporate lithium onto the lower divertor region at total rates of 10-70 mg/min for periods 5-10 min between discharges. Prior to each discharge, the evaporators are withdrawn behind shutters. Significant improvements in the performance of NBI heated divertor discharges resulting from these lithium depositions were observed. These evaporators are now used for more than 80% of NSTX discharges. Initial work with injecting fine lithium powder into the edge of NBI heated deuterium discharges yielded comparable changes in performance. Several operational issues encountered with lithium wall conditions, and the special procedures needed for vessel entry are discussed. The next step in this work is installation of a Liquid Lithium Divertor surface on the outer part of the lower divertor.

  17. First result of deuterium retention in neutron-irradiated tungsten exposed to high flux plasma in TPE

    Energy Technology Data Exchange (ETDEWEB)

    Shimada, Masashi, E-mail: Masashi.Shimada@inl.gov [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID 83415 (United States); Hatano, Y. [Hydrogen Isotope Research Center, University of Toyama, Toyama 930-8555 (Japan); Calderoni, P. [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID 83415 (United States); Oda, T. [Department of Nuclear Engineering and Management, The University of Tokyo, Tokyo 113-8656 (Japan); Oya, Y. [Radioscience Research Laboratory, Faculty of Science, Shizuoka University, Shizuoka 422-8529 (Japan); Sokolov, M. [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Zhang, K. [Hydrogen Isotope Research Center, University of Toyama, Toyama 930-8555 (Japan); Cao, G. [Department of Engineering Physics, University of Wisconsin-Madison, Madison, WI 53706 (United States); Kolasinski, R. [Hydrogen and Metallurgical Science Department, Sandia National Laboratories, Livermore, CA 94551 (United States); Sharpe, J.P. [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID 83415 (United States)

    2011-08-01

    With the Japan-US joint research project Tritium, Irradiations, and Thermofluids for America and Nippon (TITAN), an initial set of tungsten samples (99.99% purity, A.L.M.T. Co.) were irradiated by high flux neutrons at 323 K to 0.025 dpa in High Flux Isotope Reactor (HFIR) at Oak Ridge National Laboratory (ORNL). Subsequently, one of the neutron-irradiated tungsten samples was exposed to a high-flux deuterium plasma (ion flux: 5 x 10{sup 21} m{sup -2} s{sup -1}, ion fluence: 4 x 10{sup 25} m{sup -2}) in the Tritium Plasma Experiment (TPE) at Idaho National Laboratory (INL). The deuterium retention in the neutron-irradiated tungsten was 40% higher in comparison to the unirradiated tungsten. The observed broad desorption spectrum from neutron-irradiated tungsten and associated TMAP modeling of the deuterium release suggest that trapping occurs in the bulk material at more than three different energy sites.

  18. Tungsten dust remobilization under steady-state and transient plasma conditions.

    Czech Academy of Sciences Publication Activity Database

    Ratynskaia, S.; Tolias, P.; De Angeli, M.; Weinzettl, Vladimír; Matějíček, Jiří; Bykov, I.; Rudakov, D.L.; Vignitchouk, L.; Thorén, E.; Riva, G.; Ripamonti, D.; Morgan, T.; Pánek, Radomír; De Temmerman, G.

    2017-01-01

    Roč. 12, August (2017), s. 569-574 ISSN 2352-1791. [PSI 2016 - 22nd International Conference on Plasma Surface Interactions in Controlled Fusion Devices/22./. Roma, 30.05.2016-03.06.2016] R&D Projects: GA ČR(CZ) GA14-12837S; GA MŠk(CZ) LM2015045 EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : Tungsten * Dust * Remobilization * Tokamak Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) http://www.sciencedirect.com/science/article/pii/S2352179116301168

  19. Supply of a prototype component for the ITER divertor baffle

    International Nuclear Information System (INIS)

    Bobin-Vastra, I.; Febvre, M.; Schedler, B.; Ploechl, L.; Bouveret, Y.; Cauvin, D.; Raisson, G.; Merola, M.

    2001-01-01

    The ITER divertor baffle is one of the Plasma facing components which are developed in the frame of the ITER concept. The supply consisted in the manufacturing of four panels with four First Wall geometries using macroblock or heat sink+armour concepts. DS-Copper, and CuCrZr were the materials for the heat sink, and CFC or Tungsten Plasma spray were the armour. The panels included two Copper-based tubes each. The final purpose is the comparison of the fabricability of each type and the performances of each panel under heat fluxes

  20. An operational non destructive examination for ITER divertor plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Durocher, A.; Escourbiac, F.; Farjon, J.L.; Vignal, N.; Cismondi, F. [Association Euratom-CEA Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. de Recherches sur la Fusion Controlee; Merola, M. [ITER International Team, Cadarache, 13 - St Paul Lez Durance (France); Riccardi, B. [CEFDA CSU-Garching, Garching bei Munchen (Germany)

    2007-07-01

    Full text of publication follows: To meet the power exhaust - heat flux of 20 MW/m{sup 2} - requirements of Plasma Facing Components (PFCs) during plasma operation requires control of their thermal and mechanical integrity. As heat exhaust capability and lifetime of PFCs during in-situ operation are linked to the manufacturing quality, it is an absolute requirement to develop reliable nondestructive examination methods, in particular of the CFC-CuCrZr joint, throughout the manufacturing process. Within the framework of Tokamak Tore Supra upgrade, a pioneering activity has been developed to evaluate the capability of the PFC to be efficiently cooled. In 1998 a test bed - so called SATIR - based on the heat transient method was developed by the CEA and is used today as an inspection tool in order to guarantee the PFCs performances. The technical procurement plan of ITER Divertor targets stated that all Cu cast layers on CFC armour should be subjected to 100% thermographic examination. Each ITER Party should demonstrate its technical capability to carry out the PFC with the required cooling efficiently. The ITER Divertor PFCs pose new challenges especially for the mono-block CFC thickness, and the number of full scale units to be tested which is higher than on any existing or under construction fusion machine. The SATIR method as functional inspection has been identified as the basis test to decide upon the final acceptance of the Divertor PFCs. In order to increase the detection sensitivity of SATIR test bed, several possibilities have been assessed i) the increase of the convective heat transfer coefficient, which improved in a significant way the sensitivity of SATIR diagnostic on ITER components. ii) the installation of a digital infrared camera and the improvement of the thermal signal processing, has led to a considerable increase of performances iii) an innovative process based on spatial image autocorrelation will allow to localize the interlayer defect

  1. Extension of the ECRH operational space with O2 and X3 heating schemes to control tungsten accumulation in ASDEX Upgrade

    Science.gov (United States)

    Höhnle, H.; Stober, J.; Herrmann, A.; Kasparek, W.; Leuterer, F.; Monaco, F.; Neu, R.; Schmid-Lorch, D.; Schütz, H.; Schweinzer, J.; Stroth, U.; Wagner, D.; Vorbrugg, S.; Wolfrum, E.; ASDEX Upgrade Team

    2011-08-01

    ASDEX Upgrade has been operated with tungsten-coated plasma-facing components for several years. H-mode operation with good confinement has been demonstrated. Nevertheless, purely neutral beam injection-heated H-modes with reduced gas puff, moderate heating power or/and increased triangularity tend to accumulate tungsten, followed by a radiative collapse. Under these conditions, central electron heating with electron cyclotron resonance heating (ECRH), usually in X2 polarization, changes the impurity transport in the plasma centre, reducing the central tungsten concentration and, in many cases, stabilizing the plasma. In order to extend the applicability of central ECRH to a wider range of magnetic field and plasma current additional ECRH schemes with reduced single-pass absorption have been implemented: X3 heating allows us to reduce the magnetic field by 30%, such that the first H-modes with an ITER-like value of the safety factor of q95 = 3 could be run in the tungsten-coated device. O2 heating increases the cutoff density by a factor of 2 allowing higher currents and triangularities to be addressed. For both schemes, scenarios have been developed to cope with the associated reduced absorption. In the case of central X3 heating, the X2 resonance lies close to the pedestal top at the high-field side of the plasma, serving as a beam dump. For O2, holographic mirrors have been developed which guarantee a second pass through the plasma centre. The beam position on these reflectors is controlled by fast thermocouples. Stray-radiation protection has been implemented using sniffer probes.

  2. Extension of the ECRH operational space with O2 and X3 heating schemes to control tungsten accumulation in ASDEX Upgrade

    International Nuclear Information System (INIS)

    Hoehnle, H.; Kasparek, W.; Stroth, U.; Stober, J.; Herrmann, A.; Leuterer, F.; Monaco, F.; Neu, R.; Schmid-Lorch, D.; Schuetz, H.; Schweinzer, J.; Wagner, D.; Vorbrugg, S.; Wolfrum, E.

    2011-01-01

    ASDEX Upgrade has been operated with tungsten-coated plasma-facing components for several years. H-mode operation with good confinement has been demonstrated. Nevertheless, purely neutral beam injection-heated H-modes with reduced gas puff, moderate heating power or/and increased triangularity tend to accumulate tungsten, followed by a radiative collapse. Under these conditions, central electron heating with electron cyclotron resonance heating (ECRH), usually in X2 polarization, changes the impurity transport in the plasma centre, reducing the central tungsten concentration and, in many cases, stabilizing the plasma. In order to extend the applicability of central ECRH to a wider range of magnetic field and plasma current additional ECRH schemes with reduced single-pass absorption have been implemented: X3 heating allows us to reduce the magnetic field by 30%, such that the first H-modes with an ITER-like value of the safety factor of q 95 = 3 could be run in the tungsten-coated device. O2 heating increases the cutoff density by a factor of 2 allowing higher currents and triangularities to be addressed. For both schemes, scenarios have been developed to cope with the associated reduced absorption. In the case of central X3 heating, the X2 resonance lies close to the pedestal top at the high-field side of the plasma, serving as a beam dump. For O2, holographic mirrors have been developed which guarantee a second pass through the plasma centre. The beam position on these reflectors is controlled by fast thermocouples. Stray-radiation protection has been implemented using sniffer probes.

  3. Binary-collision-approximation simulation for noble gas irradiation onto plasma facing materials

    International Nuclear Information System (INIS)

    Saito, Seiki; Nakamura, Hiroaki; Takayama, Arimichi; Ito, Atsushi M

    2014-01-01

    A number of experiments show that helium plasma constructs filament (fuzz) structures whose diameter is in nanometer-scale on the tungsten material under the suitable experimental condition. In this paper, binary-collision-approximation-based simulation is performed to reveal the mechanism and the conditions of fuzz formation of tungsten material under plasma irradiation. The irradiation of the plasma of hydrogen, deuterium, and tritium, and also the plasma of noble gas such as helium, neon, and argon atoms are investigated. The possibility of fuzz formation is discussed on the simulation result of penetration depth of the incident atoms

  4. Formation of carbon containing layers on tungsten test limiters

    International Nuclear Information System (INIS)

    Rubel, M.; Philipps, V.; Huber, A.; Tanabe, T.

    1999-01-01

    Tungsten test limiters of mushroom shape and a plasma facing area of approximately 100 cm 2 were exposed at the TEXTOR-94 tokamak to a number of deuterium fuelled discharges performed under various operation conditions. Two types of limiters were tested: a sole tungsten limiter and a twin limiter consisting of two halves, one made of tungsten and another of graphite. The exposed surfaces were examined with ion beam analysis methods and laser profilometry. The formation of some deposition zones was observed near the edges of the limiters. The deuterium-to-carbon concentration ratio was in the range from 0.04 to 0.11 and around 0.2 for the sole tungsten and the twin limiter, respectively. Significant amounts of the co-deposited tungsten and silicon atoms were found on the graphite part of the twin limiter indicating the formation of mixed W-C-Si compounds. (orig.)

  5. High Heat Load Properties of Ultra Fine Grain Tungsten

    International Nuclear Information System (INIS)

    Zhou, Z.; Du, J.; Ge, C.; Linke, J.; Pintsuk, G.; Song, S.X.

    2007-01-01

    Full text of publication follows: Tungsten is increasingly considered as a promising candidate armour materials facing the plasma in tokamaks for medium to high heat flux components (EAST, ASDEX, ITER). Fabrication tungsten with ultra fine grain size is considered as an effective way to ameliorate some disadvantages of tungsten, such as its brittleness at room temperature. But the research data on the performance of ultra fine grain tungsten is still very limit. In this work, high heat load properties of pure ultra-fine grain tungsten have been studied. The ultra fine grain tungsten samples with average grain size of 0.2 μm, 1 μm and 3 μm were fabricated by resistance sintering under ultra high pressure. The annealing experiments for the investigation of the material resistance against grain growth have been done by annealing samples in a vacuum furnace at different temperature holding for 2 hours respectively. It is found that recrystallization and grain growth occur at heating temperature of 1250 deg. c. The finer the initial grain sizes of tungsten, the smaller its grain growth grain. The effects of transient high thermal loads (off normal events like disruptions) on tungsten surface morphology have been performed in electron beam test facility JUDITH. The thermal loads tests have been carried out with 4 ms pulses at different power density of 0.22, 0.33, 0.44, 0.55 and 0.88 GW/m 2 respectively. Horizontal cracks formed for all tungsten samples at 0.44 GW/m 2 . Particle erosions occurred for tungsten with 3 μm size at 0.33 GW/m 2 and for tungsten with 0.2 and 1 μm size at 0.55 GW/m 2 . The weight loss of tungsten with 0.2, 1 and 3 μm size are 2,0.1,0.6 mg respectively at 0.88 GW/m 2 . The effects of a large number of very short transient repetitive thermal loads (ELM-like) on tungsten surface morphology also have been performed by using a fundamental wave of a YAG laser. It is found that tungsten with 0.2 μm size has the best performance. (authors)

  6. High Heat Load Properties of Ultra Fine Grain Tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Z.; Du, J.; Ge, C. [Lab. of Special Ceramic and P/M, University of Science and Technology, 100083 Beijing (China); Linke, J.; Pintsuk, G. [FZJ-Forschungszentrum Juelich GmbH, Association Euratom-FZJ, Institut fur Plasmaphysik, Postfach 1913, D-52425 Juelich (Germany); Song, S.X. [Research Center on Fusion Materials (RCFM), University of Science and Technology Beijing (USTB), 100083 Beijing (China)

    2007-07-01

    Full text of publication follows: Tungsten is increasingly considered as a promising candidate armour materials facing the plasma in tokamaks for medium to high heat flux components (EAST, ASDEX, ITER). Fabrication tungsten with ultra fine grain size is considered as an effective way to ameliorate some disadvantages of tungsten, such as its brittleness at room temperature. But the research data on the performance of ultra fine grain tungsten is still very limit. In this work, high heat load properties of pure ultra-fine grain tungsten have been studied. The ultra fine grain tungsten samples with average grain size of 0.2 {mu}m, 1 {mu}m and 3 {mu}m were fabricated by resistance sintering under ultra high pressure. The annealing experiments for the investigation of the material resistance against grain growth have been done by annealing samples in a vacuum furnace at different temperature holding for 2 hours respectively. It is found that recrystallization and grain growth occur at heating temperature of 1250 deg. c. The finer the initial grain sizes of tungsten, the smaller its grain growth grain. The effects of transient high thermal loads (off normal events like disruptions) on tungsten surface morphology have been performed in electron beam test facility JUDITH. The thermal loads tests have been carried out with 4 ms pulses at different power density of 0.22, 0.33, 0.44, 0.55 and 0.88 GW/m{sup 2} respectively. Horizontal cracks formed for all tungsten samples at 0.44 GW/m{sup 2}. Particle erosions occurred for tungsten with 3 {mu}m size at 0.33 GW/m{sup 2} and for tungsten with 0.2 and 1 {mu}m size at 0.55 GW/m{sup 2}. The weight loss of tungsten with 0.2, 1 and 3 {mu}m size are 2,0.1,0.6 mg respectively at 0.88 GW/m{sup 2}. The effects of a large number of very short transient repetitive thermal loads (ELM-like) on tungsten surface morphology also have been performed by using a fundamental wave of a YAG laser. It is found that tungsten with 0.2 {mu}m size has

  7. Ti-doped isotropic graphite: A promising armour material for plasma-facing components

    Science.gov (United States)

    García-Rosales, C.; López-Galilea, I.; Ordás, N.; Adelhelm, C.; Balden, M.; Pintsuk, G.; Grattarola, M.; Gualco, C.

    2009-04-01

    Finely dispersed Ti-doped isotropic graphites with 4 at.% Ti have been manufactured using synthetic mesophase pitch 'AR' as raw material. These new materials show a thermal conductivity at room temperature of ˜200 W/mK and flexural strength close to 100 MPa. Measurement of the total erosion yield by deuterium bombardment at ion energies and sample temperatures for which pure carbon shows maximum values, resulted in a reduction of at least a factor of 4, mainly due to dopant enrichment at the surface caused by preferential erosion of carbon. In addition, ITER relevant thermal shock loads were applied with an energetic electron beam at the JUDITH facility. The results demonstrated a significantly improved performance of Ti-doped graphite compared to pure graphite. Finally, Ti-doped graphite was successfully brazed to a CuCrZr block using a Mo interlayer. These results let assume that Ti-doped graphite can be a promising armour material for divertor plasma-facing components.

  8. Ti-doped isotropic graphite: A promising armour material for plasma-facing components

    Energy Technology Data Exchange (ETDEWEB)

    Garcia-Rosales, C. [CEIT and Tecnun (University of Navarra), Paseo de Manuel Lardizabal, 15, E-20018 San Sebastian (Spain)], E-mail: cgrosales@ceit.es; Lopez-Galilea, I.; Ordas, N. [CEIT and Tecnun (University of Navarra), Paseo de Manuel Lardizabal, 15, E-20018 San Sebastian (Spain); Adelhelm, C.; Balden, M. [Max-Planck-Institut fuer Plasmaphysik, EURATOM Association, D-85748 Garching (Germany); Pintsuk, G. [Forschungszentrum Juelich GmbH, EURATOM Association, D-52425 Juelich (Germany); Grattarola, M.; Gualco, C. [Ansaldo Ricerche S.p.A., I-16152 Genoa (Italy)

    2009-04-30

    Finely dispersed Ti-doped isotropic graphites with 4 at.% Ti have been manufactured using synthetic mesophase pitch 'AR' as raw material. These new materials show a thermal conductivity at room temperature of {approx}200 W/mK and flexural strength close to 100 MPa. Measurement of the total erosion yield by deuterium bombardment at ion energies and sample temperatures for which pure carbon shows maximum values, resulted in a reduction of at least a factor of 4, mainly due to dopant enrichment at the surface caused by preferential erosion of carbon. In addition, ITER relevant thermal shock loads were applied with an energetic electron beam at the JUDITH facility. The results demonstrated a significantly improved performance of Ti-doped graphite compared to pure graphite. Finally, Ti-doped graphite was successfully brazed to a CuCrZr block using a Mo interlayer. These results let assume that Ti-doped graphite can be a promising armour material for divertor plasma-facing components.

  9. Ti-doped isotropic graphite: A promising armour material for plasma-facing components

    International Nuclear Information System (INIS)

    Garcia-Rosales, C.; Lopez-Galilea, I.; Ordas, N.; Adelhelm, C.; Balden, M.; Pintsuk, G.; Grattarola, M.; Gualco, C.

    2009-01-01

    Finely dispersed Ti-doped isotropic graphites with 4 at.% Ti have been manufactured using synthetic mesophase pitch 'AR' as raw material. These new materials show a thermal conductivity at room temperature of ∼200 W/mK and flexural strength close to 100 MPa. Measurement of the total erosion yield by deuterium bombardment at ion energies and sample temperatures for which pure carbon shows maximum values, resulted in a reduction of at least a factor of 4, mainly due to dopant enrichment at the surface caused by preferential erosion of carbon. In addition, ITER relevant thermal shock loads were applied with an energetic electron beam at the JUDITH facility. The results demonstrated a significantly improved performance of Ti-doped graphite compared to pure graphite. Finally, Ti-doped graphite was successfully brazed to a CuCrZr block using a Mo interlayer. These results let assume that Ti-doped graphite can be a promising armour material for divertor plasma-facing components.

  10. Peculiarity of deuterium ions interaction with tungsten surface in the condition imitating combination of normal operation with plasma disruption in ITER

    Energy Technology Data Exchange (ETDEWEB)

    Guseva, M.I. E-mail: martyn@nfi.kiae.ru; Vasiliev, V.I.; Gureev, V.M.; Danelyan, L.S.; Khirpunov, B.I.; Korshunov, S.N.; Kulikauskas, V.S.; Martynenko, Yu.V.; Petrov, V.B.; Strunnikov, V.N.; Stolyarova, V.G.; Zatekin, V.V.; Litnovsky, A.M

    2001-03-01

    Tungsten is a candidate material for the ITER divertor. For the simulation of ITER normal operation conditions in combination with plasma disruptions samples of various types of tungsten were exposed to both steady-state and high power pulsed deuterium plasmas. Tungsten samples were first exposed in a steady-state plasma with an ion current density {approx}10{sup 21} m{sup -2} s{sup -1} up to a dose of 10{sup 25} m{sup -2} at a temperature of 770 K. The energy of deuterium ions was 150 eV. The additional exposure of the samples to 10 pulses of deuterium plasma was performed in the electrodynamical plasma accelerator with an energy flux 0.45 MJ/m{sup 2} per pulse. Samples of four types of tungsten (W-1%La{sub 2}O{sub 3}, W-13I, monocrystalline W(1 1 1) and W-10%Re) were investigated. The least destruction of the surface was observed for W(1 1 1). The concentration of retained deuterium in tungsten decreased from 2.5x10{sup 19} m{sup -2} to 1.07x10{sup 19} m{sup -2} (for W(1 1 1)) as a result of the additional pulsed plasma irradiation. Investigation of the tungsten erosion products after the high power pulsed plasma shots was also carried out.

  11. Non-destructive testing of bonded structures for plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Onozuka, M. [Mitsubishi Heavy Industries Ltd., Nuclear Systems Engineering Department, Konan 2-16-5, Minato-ku, Tokyo 108-8215 (Japan)]. E-mail: masanori_onozuka@mhi.co.jp; Kikuchi, K. [Mitsubishi Heavy Industries Ltd., Nuclear Systems Engineering Department, Konan 2-16-5, Minato-ku, Tokyo 108-8215 (Japan); Kirihigashi, A. [Mitsubishi Heavy Industries Ltd., Nuclear Systems Engineering Department, Konan 2-16-5, Minato-ku, Tokyo 108-8215 (Japan); Oda, Y. [Mitsubishi Heavy Industries Ltd., Nuclear Systems Engineering Department, Konan 2-16-5, Minato-ku, Tokyo 108-8215 (Japan); Shimizu, K. [Mitsubishi Heavy Industries Ltd., Nuclear Systems Engineering Department, Konan 2-16-5, Minato-ku, Tokyo 108-8215 (Japan)

    2005-11-15

    A preliminary investigation has been conducted to examine the applicability of the ultrasonic testing (UT) inspection technique for bonded structures in plasma facing components. In this study, existing UT probes have been used. Three test samples to simulate the blanket first-wall panel were fabricated. Artificial defects were applied along the diffusively bonded interfaces of the samples. Three types of UT probes have been tested. A vertical UT probe with 10 MHz, and a phased-array UT probe with 5 MHz, were used to detect defects between the Cu-alloy plates, and between the Cu-alloy plate and the stainless-steel (SS) block. The test results show that defects as small as 2 mm in size could be detected at a signal versus noise (S/N) ratio of more than 2. To detect defects along the SS pipes, a beam-focused-type UT probe with 20 MHz, has been applied. It was found that defects as small as 1 mm were identified at an S/N ratio of more than 2. While the results of the tested techniques were good, optimization of the probe systems is required before it can be concluded that such methods are most applicable for use on the bonded structures.

  12. Exploring liquid metal plasma facing component (PFC) concepts-Liquid metal film flow behavior under fusion relevant magnetic fields

    International Nuclear Information System (INIS)

    Narula, M.; Abdou, M.A.; Ying, A.; Morley, N.B.; Ni, M.; Miraghaie, R.; Burris, J.

    2006-01-01

    The use of fast moving liquid metal streams or 'liquid walls' as a plasma contact surface is a very attractive option and has been looked upon with considerable interest over the past several years, both by the plasma physics and fusion engineering programs. Flowing liquid walls provide an ever replenishing contact surface to the plasma, leading to very effective particle pumping and surface heat flux removal. A key feasibility issue for flowing liquid metal plasma facing component (PFC) systems, pertains to their magnetohydrodynamic (MHD) behavior under the spatially varying magnetic field environment, typical of a fusion device. MHD forces hinder the development of a smooth and controllable liquid metal flow needed for PFC applications. The present study builds up on the ongoing research effort at UCLA, directed towards providing qualitative and quantitative data on liquid metal free surface flow behavior under fusion relevant magnetic fields

  13. Direct measurements of particle flux along gap sides in castellated plasma facing component in COMPASS

    International Nuclear Information System (INIS)

    Dejarnac, Renaud; Dimitrova, Miglena; Komm, Michael; Schweer, Bernd; Terra, Alexis; Martin, Aurelien; Boizante, Gontran; Gunn, James P.; Panek, Radomir

    2014-01-01

    Highlights: •We designed a probe to measure plasma deposition into gaps during tokamak discharges. •Isat profiles are measured on both side of the gap for different gap orientations. •Ion current is measured at the bottom of the gap in the toroidal orientation. •Kinetic simulations reproduce well experimental profiles qualitatively. -- Abstract: In this paper, we report results of a dedicated experiment that gives the plasma penetration profiles inside a gap of a tokamak castellated plasma-facing component. A specially designed probe that recreates a gap between two tiles has been built for the purpose of this study. It allows to measure ion saturation profiles along the 2 sides and at the bottom of the gap for both poloidal and toroidal orientations. The novelty of such experiment is the real time measurement of the plasma flux inside the gap during a tokamak D-shaped discharge compared to previous experimental studies which were mainly post-mortem. This experiment was performed in the COMPASS tokamak and results are compared with particle-in-cell simulations. The plasma deposition is found to be asymmetric in both orientations with a stronger effect in poloidal gaps. The Larmor radius of the incoming ions plays a role in the plasma penetration only in poloidal gaps but seems to have little impact in toroidal gaps. Profiles are qualitatively well reproduced by simulations. Ion current is recorded at the bottom of a toroidal gap under certain conditions

  14. Surface temperature measurement of plasma facing components in tokamaks

    International Nuclear Information System (INIS)

    Amiel, Stephane

    2014-01-01

    During this PhD, the challenges on the non-intrusive surface temperature measurements of metallic plasma facing components in tokamaks are reported. Indeed, a precise material emissivity value is needed for classical infrared methods and the environment contribution has to be known particularly for low emissivities materials. Although methods have been developed to overcome these issues, they have been implemented solely for dedicated experiments. In any case, none of these methods are suitable for surface temperature measurement in tokamaks.The active pyrometry introduced in this study allows surface temperature measurements independently of reflected flux and emissivities using pulsed and modulated photothermal effect. This method has been validated in laboratory on metallic materials with reflected fluxes for pulsed and modulated modes. This experimental validation is coupled with a surface temperature variation induced by photothermal effect and temporal signal evolvement modelling in order to optimize both the heating source characteristics and the data acquisition and treatment. The experimental results have been used to determine the application range in temperature and detection wavelengths. In this context, the design of an active pyrometry system on tokamak has been completed, based on a bicolor camera for a thermography application in metallic (or low emissivity) environment.The active pyrometry method introduced in this study is a complementary technique of classical infrared methods used for thermography in tokamak environment which allows performing local and 2D surface temperature measurements independently of reflected fluxes and emissivities. (author) [fr

  15. Hot tungsten plate based ionizer for cesium plasma in a multi-cusp field experiment

    International Nuclear Information System (INIS)

    Patel, Amitkumar D.; Sharma, Meenakshee; Ramasubramanian, Narayanan; Chattopadhyay, Prabal K.

    2015-01-01

    In a newly proposed basic experiment, contact-ionized cesium ions will be confined by a multi cups magnetic field configuration. The cesium ion will be produced by impinging collimated neutral atoms on an ionizer consisting of the hot tungsten plate. The temperature of the tungsten plate will also be made high enough (∼2700 K) such that it will contribute electrons also to the plasma. It is expected that at this configuration the cesium plasma would be really quiescent and would be free from even the normal drift waves observed in the classical Q-machines. For the ionizer a design based on F. F. Chen's design was made. This ionizer is very fine machining and exotic material like Tungsten plate, Molybdenum screws, rings, and Boron Nitride ceramics etc. The fine and careful machining of these materials was very hard. In this paper, the experience about to join the tungsten wire to molybdenum plate and alloy of tantalum and molybdenum ring is described. In addition experimental investigations have been made to measure 2D temperature distribution profile of the Tungsten hot plate using infrared camera and the uniformity of temperature distribution over the hot plate surface is discussed. (author)

  16. Data merging of infrared and ultrasonic images for plasma facing components inspection

    International Nuclear Information System (INIS)

    Richou, M.; Durocher, A.; Medrano, M.; Martinez-Ona, R.; Moysan, J.; Riccardi, B.

    2009-01-01

    For steady-state magnetic thermonuclear fusion devices which need large power exhaust capability, actively cooled plasma facing components have been developed. In order to guarantee the integrity of these components during the required lifetime, their thermal and mechanical behaviour must be assessed. Before the procurement of the ITER Divertor, the examination of the heat sink to armour joints with non-destructive techniques is an essential topic to be addressed. Defects may be localised at different bonding interfaces. In order to improve the defect detection capability of the SATIR technique, the possibility of merging the infrared thermography test data coming from SATIR results with the ultrasonic test data has been identified. The data merging of SATIR and ultrasonic results has been performed on Carbon Fiber Composite (CFC) monoblocks with calibrated defects, identified by their position and extension. These calibrated defects were realised with machining, with 'stop-off' or by a lack of CFC activation techniques, these last two representing more accurately a real defect. A batch of 56 samples was produced to simulate each possibility of combination with regards to interface location, position and extension and way of realising the defect. The use of a data merging method based on Dempster-Shafer theory improves significantly the detection sensibility and reliability of defect location and size.

  17. Design, R&D and commissioning of EAST tungsten divertor

    Science.gov (United States)

    Yao, D. M.; Luo, G. N.; Zhou, Z. B.; Cao, L.; Li, Q.; Wang, W. J.; Li, L.; Qin, S. G.; Shi, Y. L.; Liu, G. H.; Li, J. G.

    2016-02-01

    After commissioning in 2005, the EAST superconducting tokamak had been operated with its water cooled divertors for eight campaigns up to 2012, employing graphite as plasma facing material. With increase in heating power over 20 MW in recent years, the heat flux going to the divertors rises rapidly over 10 MW m-2 for steady state operation. To accommodate the rapid increasing heat load in EAST, the bolting graphite tile divertor must be upgraded. An ITER-like tungsten (W) divertor has been designed and developed; and firstly used for the upper divertor of EAST. The EAST upper W divertor is modular structure with 80 modules in total. Eighty sets of W/Cu plasma-facing components (PFC) with each set consisting of an outer vertical target (OVT), an inner vertical target (IVT) and a DOME, are attached to 80 stainless steel cassette bodies (CB) by pins. The monoblock W/Cu-PFCs have been developed for the strike points of both OVT and IVT, and the flat type W/Cu-PFCs for the DOME and the baffle parts of both OVT and IVT, employing so-called hot isostatic pressing (HIP) technology for tungsten to CuCrZr heat sink bonding, and electron beam welding for CuCrZr to CuCrZr and CuCrZr to other material bonding. Both monoblock and flat type PFC mockups passed high heat flux (HHF) testing by means of electron beam facilities. The 80 divertor modules were installed in EAST in 2014 and results of the first commissioning are presented in this paper.

  18. Initiation of arcing on tungsten surface exposed to steady state He plasmas

    Science.gov (United States)

    Kajita, Shin; Noiri, Yasuyuki; Ohno, Noriyasu

    2015-09-01

    Arcing was initiated in steady state helium plasmas by negatively biasing a tungsten electrode to around -500 V. On the tungsten electrode, nanostructures were grown by the plasma irradiation. In this study, we characterized the property of the initiated arcing by measuring the temporal evolutions of the electrode potential and the arc current. The ignition frequency and duration of arcing were presented from the potential measurements; the arc duration was in the range of changing the biasing voltage. The behavior of arc spots was observed with a fast framing camera. It was shown that the spots split frequently, and sometimes, they run on the surface independently. From the fluctuation of the arc current, the fractal feature of arcing was revealed.

  19. Simulation of damage to tokamaks plasma facing components during intense abnormal power deposition

    International Nuclear Information System (INIS)

    Genco, F.; Hassanein, A.

    2014-01-01

    Highlights: • HEIGHTS-PIC a new technique based on particle in cell method to study disruptions events, ELMS and VDE is benchmarked in this paper with the use of the MK-200 experiments. • Disruptions simulations results for erosion and erosion rate are proposed showing good agreement with published experimental available data for such conditions. • Results are also compared with other published results produced by FOREV1/FOREV2 computer package and the original HEIGHTS computer package. • Accuracy of the simulations results is proposed with specific aim to address the use of number of super particles adopted versus computational time. - Abstract: Intense power deposition on plasma facing components (PFC) is expected in tokamaks during loss of confinement events such as disruptions, vertical displacement events (VDE), runaway electrons (RE), or during normal operating conditions such as edge-localized modes (ELM). These highly energetic events are damaging enough to hinder long term operation and may not be easily mitigated without loss of structural or functional performance of the PFC. Surface erosion, melted/ablated-vaporized material splashing, and material transport into the bulk plasma are reliability-threatening for the machine and system performance. A novel particle-in-cell (PIC) technique has been developed and integrated into the existing HEIGHTS package in order to obtain a global view of the plasma evolution upon energy impingement. This newly developed PIC technique is benchmarked against plasma gun experimental data, the original HEIGHTS computer package, and laser experiments. Benchmarking results are shown in this paper for various relevant reactor and experimental devices. The evolution of the plasma vapor cloud is followed temporally and results are explained and commented as a function of the computational time needed and the accuracy of the calculation

  20. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  1. ALPS - advanced limiter-divertor plasma-facing systems

    International Nuclear Information System (INIS)

    Allain, J. P.; Bastasz, R.; Brooks, J. N.; Evans, T.; Hassanein, A.; Luckhardt, S.; Maingi, R.; Mattas, R. F.; McCarthy, K.; Mioduszewski, P.; Mogahed, E.; Moir, R.; Molokov, S.; Morely, N.; Nygren, R.; Reed, C.; Rognlien, T.; Ruzic, D.; Sviatoslavsky, I.; Sze, D.; Tillack, M.; Ulrickson, M.; Wade, P. M.; Wong, C.; Wooley, R.

    1999-01-01

    The Advanced Limiter-divertor Plasma-facing Systems (ALPS) program was initiated in order to evaluate the potential for improved performance and lifetime for plasma-facing systems. The main goal of the program is to demonstrate the advantages of advanced limiter/divertor systems over conventional systems in terms of power density capability, component lifetime, and power conversion efficiency, while providing for safe operation and minimizing impurity concerns for the plasma. Most of the work to date has been applied to free surface liquids. A multi-disciplinary team from several institutions has been organized to address the key issues associated with these systems. The main performance goals for advanced limiters and diverters are a peak heat flux of >50 MW/m 2 ,elimination of a lifetime limit for erosion, and the ability to extract useful heat at high power conversion efficiency (approximately40%). The evaluation of various options is being conducted through a combination of laboratory experiments, modeling of key processes, and conceptual design studies. The current emphasis for the work is on the effects of free surface liquids on plasma edge performance

  2. Quantum-Accurate Molecular Dynamics Potential for Tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Wood, Mitchell; Thompson, Aidan P.

    2017-03-01

    The purpose of this short contribution is to report on the development of a Spectral Neighbor Analysis Potential (SNAP) for tungsten. We have focused on the characterization of elastic and defect properties of the pure material in order to support molecular dynamics simulations of plasma-facing materials in fusion reactors. A parallel genetic algorithm approach was used to efficiently search for fitting parameters optimized against a large number of objective functions. In addition, we have shown that this many-body tungsten potential can be used in conjunction with a simple helium pair potential1 to produce accurate defect formation energies for the W-He binary system.

  3. Upgrades toward high-heat flux, liquid lithium plasma-facing components in the NSTX-U

    Energy Technology Data Exchange (ETDEWEB)

    Jaworski, M.A., E-mail: mjaworsk@pppl.gov [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Brooks, A.; Kaita, R. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Lopes-Cardozo, N. [TU/Eindhoven, Eindhoven (Netherlands); Menard, J.; Ono, M. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States); Rindt, P. [TU/Eindhoven, Eindhoven (Netherlands); Tresemer, K. [Princeton Plasma Physics Laboratory, Princeton, NJ 08543 (United States)

    2016-11-15

    Highlights: • An upgrade path for the NSTX-U tokamak is proposed that maintains scientific productivity while enabling exploration of novel, liquid metal PFC. • Pre-filled liquid metal divertor targets are proposed as an intermediate step that mitigates technical and scientific risks associated with liquid metal PFC. • Analysis of leading edge features show a strong link between engineering design considerations and expected performance as a PFC. • A method for optimizing porous liquid metal targets restrained by capillary forces is provided indicating pore-sizes well within current technical capabilities. - Abstract: Liquid metal plasma-facing components (PFCs) provide numerous potential advantages over solid-material components. One critique of the approach is the relatively less developed technologies associated with deploying these components in a fusion plasma-experiment. Exploration of the temperature limits of liquid lithium PFCs in a tokamak divertor and the corresponding consequences on core operation are a high priority informing the possibilities for future liquid lithium PFCs. An all-metal NSTX-U is envisioned to make direct comparison between all high-Z wall operation and liquid lithium PFCs in a single device. By executing the all-metal upgrades incrementally, scientific productivity will be maintained while enabling physics and engineering-science studies to further develop the solid- and liquid-metal components. Six major elements of a flowing liquid-metal divertor system are described and a three-step program for implementing this system is laid out. The upgrade steps involve the first high-Z divertor target upgrade in NSTX-U, pre-filled liquid metal targets and finally, an integrated, flowing liquid metal divertor target. Two example issues are described where the engineering and physics experiments are shown to be closely related in examining the prospects for future liquid metal PFCs.

  4. Engineering design and thermal hydraulics of plasma facing components of SST-1

    International Nuclear Information System (INIS)

    Pragash, N. Ravi; Chaudhuri, P.; Santra, P.; Chenna Reddy, D.; Khirwadkar, S.; Saxena, Y.C.

    2001-01-01

    SST-1 is a medium size tokamak with super conducting magnetic field coils. All the subsystems of SST-1 are designed for quasi steady state (∼1000 s) operation. Plasma Facing Components (PFCs) of SST-1 consisting of divertors, passive stabilizers, baffles and poloidal limiters are also designed to be compatible for steady state operation. As SST-1 is designed to run double null divertor plasmas, these components also have up-down symmetry. A closed divertor configuration is chosen to produce high recycling and high pumping speed in the divertor region. All the PFC are made of copper alloys (CuCrZr and CuZr) on which graphite tiles are mechanically attached. These copper alloy back plates are actively cooled with water flowing in the channels grooved on them with the main consideration in the design of PFCs as the steady state heat removal of about 1.0 MW/m 2 . In addition to be able to remove high heat fluxes, the PFCs are also designed to be compatible for baking at 350 degree sign C. Extensive studies, involving different flow parameters and various cooling layouts, have been done to select the final cooling parameters and layout. Thermal response of the PFCs and vacuum vessel during baking, has been calculated using a FORTRAN code and a 2-D finite element analysis. The PFCs and their supports are also designed to withstand large electro-magnetic forces. Finite element analysis using ANSYS software package is used in this and other PFCs design. The engineering design including thermal hydraulics for cooling and baking of all the PFCs is completed. Poloidal limiters are being fabricated. The remaining PFCs, viz. divertors, stabilizers and baffles are likely to go for fabrication in the next few months. The detailed engineering design, the finite element calculations in the structural and thermal designs are presented in this paper

  5. Plasma surface interaction with tungsten in ASDEX Upgrade

    International Nuclear Information System (INIS)

    Dux, R.; Herrmann, A.; Kallenbach, A.; Neu, R.; Neuhauser, J.; Maier, H.; Pugno, R.; Puetterich, T.; Rohde, V.

    2005-01-01

    ASDEX Upgrade pursues the progressive increase of W coated plasma facing components. At present, the central column, the upper passive stabiliser loop, the complete upper divertor, the baffles at the lower divertor, as well as six tiles of one guard limiter at the low field side are W coated, representing about 65% of the total surface area. W erosion at these guard limiter tiles exceeds the erosion found at other main chamber components by more than one order of magnitude, and spectroscopically determined erosion yields indicate a strong contribution from fast particles. Upper single null discharges do not show an obviously increased W content compared to discharges run in the lower C based divertor

  6. EU Development of High Heat Flux Components

    International Nuclear Information System (INIS)

    Linke, J.; Lorenzetto, P.; Majerus, P.; Merola, M.; Pitzer, D.; Roedig, M.

    2005-01-01

    The development of plasma facing components for next step fusion devices in Europe is strongly focused to ITER. Here a wide spectrum of different design options for the divertor target and the first wall have been investigated with tungsten, CFC, and beryllium armor. Electron beam simulation experiments have been used to determine the performance of high heat flux components under ITER specific thermal loads. Beside thermal fatigue loads with power density levels up to 20 MWm -2 , off-normal events are a serious concern for the lifetime of plasma facing components. These phenomena are expected to occur on a time scale of a few milliseconds (plasma disruptions) or several hundred milliseconds (vertical displacement events) and have been identified as a major source for the production of neutron activated metallic or tritium enriched carbon dust which is of serious importance from a safety point of view.The irradiation induced material degradation is another critical concern for future D-T-burning fusion devices. In ITER the integrated neutron fluence to the first wall and the divertor armour will remain in the order of 1 dpa and 0.7 dpa, respectively. This value is low compared to future commercial fusion reactors; nevertheless, a nonnegligible degradation of the materials has been detected, both for mechanical and thermal properties, in particular for the thermal conductivity of carbon based materials. Beside the degradation of individual material properties, the high heat flux performance of actively cooled plasma facing components has been investigated under ITER specific thermal and neutron loads

  7. Remote-LIBS characterization of ITER-like plasma facing materials

    International Nuclear Information System (INIS)

    Almaviva, S.; Caneve, L.; Colao, F.; Fantoni, R.; Maddaluno, G.

    2012-01-01

    Graphical abstract: Display Omitted Highlights: ► Description of a LIBS set-up as remote diagnostics in new generation fusion machines. ► Identification of the atomic composition of samples simulating plasma facing components. ► Submicrometric resolution in depth profiling the elemental composition of the samples. ► Identification of elements present in traces or as impurities on the sample surface. ► Discussion on the applicability of the Calibration Free method for quantitative analysis. - Abstract: The occurrence of several plasma-wall interaction processes, eventually affecting the overall system performances, is expected in a working fusion device chamber. Monitoring the changes in the composition of the plasma facing component (PFC) surface layer, as a result of erosion and redeposition mechanisms, can provide useful information on the possible plasma pollution and fuel retention. To this aim, suitable diagnostic techniques able to perform depth profiling analysis of the superficial layers on the PFCs have been developed. Due to the constraints commonly found in fusion devices, the measuring apparatus must be non invasive, remote and sensitive to light elements. These requirements make LIBS (Laser Induced Breakdown Spectroscopy) an ideal candidate for on-line monitoring the walls of current and of next generation (as ITER) fusion devices. LIBS is a well established tool for qualitative, semi-quantitative and quantitative analysis of surfaces, with micro-destructive characteristics and some capabilities for stratigraphy. In this work, LIBS depth profiling capability has been verified for the determination of the composition of multilayer structures simulating plasma facing components covered with deposited impurity layers. A new experimental setup has been designed and realized in order to optimize the characteristics of a LIBS system working in vacuum conditions and remotely, two noticeable properties for an ITER-relevant diagnostics. A quantitative

  8. Electro-chemically-based technologies for processing of tungsten components in fusion technology

    International Nuclear Information System (INIS)

    Holstein, N.; Konys, J.; Krauss, W.; Lorenz, J.

    2010-01-01

    In fusion technology layers and bulk components fabricated from tungsten and W-alloys are used as functional materials, e.g. as coatings of blanket modules or T-permeation barriers and also as structural components in a He-cooled divertor. Their application under high heat loads and temperatures is besides manufacturing, also challenging regarding joining, caused e.g. by expansion mismatches in combination with steel or other diffusion issues. Driven by these needs, electro-chemically-based technologies were analyzed concerning their advantages in processing in the fields of soft structuring of tungsten alloys and in deposition of functional scales. The Electro-Chemistry (EC) of tungsten is characterized by its affection to build up passivation layers in aqueous media during the initial oxidation, which is the result of an unavoidable basic electrochemical reaction with water (W + 3H 2 O → WO 3 + 3H 2 ), although the element standard potential is situated between common EC material like iron and copper. (orig.)

  9. Investigation of arcing on fiber-formed nanostructured tungsten by pulsed plasma during steady state plasma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Yajima, M., E-mail: yajima.miyuki@LHD.nifs.ac.jp [National Institute for Fusion Science, 322-6 Oroshi-cho, Toki, 509-5292 Japan (Japan); Ohno, N. [Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Kajita, S. [EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); De Temmerman, G. [ITER Organization, Route de Vinon sur Verdon, CS 90 046-13067 St Paul Lez Durance Cedex (France); Bystrov, K.; Bardin, S.; Morgan, T.W. [FOM Institute DIFFER, Dutch Institute for Fundamental Energy Research, Partner in the Trilateral Euregio Cluster, 5612 AJ Eindhoven (Netherlands); Masuzaki, S. [National Institute for Fusion Science, 322-6 Oroshi-cho, Toki, 509-5292 Japan (Japan)

    2016-11-15

    Arcing on fiber-formed nanostructured tungsten samples during ELM-like pulses was investigated using the superimposition of high power pulsed plasma on a steady state plasma with hydrogen gas in the linear plasma device Pilot-PSI. The ignition of arcing was observed when the floating potential of the samples was less than −75 V with sufficient heat flux. The surface observation showed that the arc spots were not in the center, but in the peripheral area of the plasma column. Considering the plasma potential profile in the Pilot-PSI, the arcing occurred at the position where the heat flux and the sheath potential drop are sufficiently large.

  10. The role and application of ion beam analysis for studies of plasma-facing components in controlled fusion devices

    Science.gov (United States)

    Rubel, Marek; Petersson, Per; Alves, Eduardo; Brezinsek, Sebastijan; Coad, Joseph Paul; Heinola, Kalle; Mayer, Matej; Widdowson, Anna

    2016-03-01

    First wall materials in controlled fusion devices undergo serious modification by several physical and chemical processes arising from plasma-wall interactions. Detailed information is required for the assessment of material lifetime and accumulation of hydrogen isotopes in wall materials. The intention of this work is to give a concise overview of key issues in the characterization of plasma-facing materials and components in tokamaks, especially in JET with an ITER-Like Wall. IBA techniques play a particularly prominent role here because of their isotope selectivity in the low-Z range (1-10), high sensitivity and combination of several methods in a single run. The role of 3He-based NRA, RBS (standard and micro-size beam) and HIERDA in fuel retention and material migration studies is presented. The use of tracer techniques with rare isotopes (e.g. 15N) or marker layers on wall diagnostic components is described. Special instrumentation, development of equipment to enhance research capabilities and issues in handling of contaminated materials are addressed.

  11. Tungsten erosion under plasma heat loads typical for ITER type I Elms and disruptions

    Energy Technology Data Exchange (ETDEWEB)

    Garkusha, I.E. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine)]. E-mail: garkusha@ipp.kharkov.ua; Bandura, A.N. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Byrka, O.V. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Chebotarev, V.V. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Landman, I.S. [Forschungszentrum Karlsruhe, IHM, 76021 Karlsruhe (Germany); Makhlaj, V.A. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Marchenko, A.K. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Solyakov, D.G. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Tereshin, V.I. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Trubchaninov, S.A. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Tsarenko, A.V. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine)

    2005-03-01

    The behavior of pure sintered tungsten under repetitive plasma heat loads of {approx}1 MJ/m{sup 2} (which is relevant to ITER ELMs) and 25 MJ/m{sup 2} (ITER disruptions) is studied with the quasi-steady-state plasma accelerator QSPA Kh-50. The ELM relevant heat loads have resulted in formation of two kinds of crack networks, with typical sizes of 10-20 {mu}m and {approx}1 mm, at the surface. Tungsten preheating to 600 deg. C indicates that fine intergranular cracks are probably caused by thermal stresses during fast resolidification of the melt, whereas large cracks are the result of ductile-to-brittle transition. For several hundreds of ELM-like exposures, causing surface melting, the melt motion does not dominate the profile of the melt spot. The disruption relevant experiments demonstrated that melt motion became the main factor of tungsten damage.

  12. Deuterium trapping in tungsten

    Science.gov (United States)

    Poon, Michael

    Tungsten is one of the primary material candidates being investigated for use in the first-wall of a magnetic confinement fusion reactor. An ion accelerator was used to simulate the type of ion interaction that may occur at a plasma-facing material. Thermal desorption spectroscopy (TDS) was the primary tool used to analyze the effects of the irradiation. Secondary ion mass spectroscopy (SIMS) was used to determine the distribution of trapped D in the tungsten specimen. The tritium migration analysis program (TMAP) was used to simulate thermal desorption profiles from the D depth distributions. Fitting of the simulated thermal desorption profiles with the measured TDS results provided values of the D trap energies. Deuterium trapping in single crystal tungsten was studied as a function of the incident ion fluence, ion flux, irradiation temperature, irradiation history, and surface impurity levels during irradiation. The results show that deuterium was trapped at vacancies and voids. Two deuterium atoms could be trapped at a tungsten vacancy, with trapping energies of 1.4 eV and 1.2 eV for the first and second D atoms, respectively. In a tungsten void, D is trapped as atoms adsorbed on the inner walls of the void with a trap energy of 2.1 eV, or as D2 molecules inside the void with a trap energy of 1.2 eV. Deuterium trapping in polycrystalline tungsten was also studied as a function of the incident fluence, irradiation temperature, and irradiation history. Deuterium trapping in polycrystalline tungsten also occurs primarily at vacancies and voids with the same trap energies as in single crystal tungsten; however, the presence of grain boundaries promotes the formation of large surface blisters with high fluence irradiations at 500 K. In general, D trapping is greater in polycrystalline tungsten than in single crystal tungsten. To simulate mixed materials comprising of carbon (C) and tungsten, tungsten specimens were pre-irradiated with carbon ions prior to D

  13. Deuterium trapping in tungsten

    International Nuclear Information System (INIS)

    Poon, M.

    2004-01-01

    Tungsten is one of the primary material candidates being investigated for use in the first-wall of a magnetic confinement fusion reactor. An ion accelerator was used to simulate the type of ion interaction that may occur at a plasma-facing material. Thermal desorption spectroscopy (TDS) was the primary tool used to analyze the effects of the irradiation Secondary ion mass spectroscopy (SIMS) was used to determine the distribution of trapped D in the tungsten specimen. The tritium migration analysis program (TMAP) was used to simulate thermal desorption profiles from the D depth distributions. Fitting of the simulated thermal desorption profiles with the measured TDS results provided values of the D trap energies. . Deuterium trapping in single crystal tungsten was studied as a function of the incident ion fluence, ion flux, irradiation temperature, irradiation history, and surface impurity levels during irradiation The results show that deuterium was trapped at vacancies and voids. Two deuterium atoms could be trapped at a tungsten vacancy, with trapping energies of 1.4 eV and 1.2 eV for the first and second D atoms, respectively. In a tungsten void, D is trapped as atoms adsorbed on the inner walls of the void with a trap energy of 2.1 eV, or as D 2 molecules inside the void with a trap energy of 1.2 eV. . Deuterium trapping in polycrystalline tungsten was also studied as a function of the incident fluence, irradiation temperature, and irradiation history. Deuterium trapping in polycrystalline tungsten also occurs primarily at vacancies and voids with the same trap energies as in single crystal tungsten; however, the presence of grain boundaries promotes the formation of large surface blisters with high fluence irradiations at 500 K. In general, D trapping is greater in polycrystalline tungsten than in single crystal tungsten. To simulate mixed materials comprising of carbon (C) and tungsten, tungsten specimens were pre-irradiated with carbon ions prior to D

  14. Deuterium trapping in tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Poon, M

    2004-07-01

    Tungsten is one of the primary material candidates being investigated for use in the first-wall of a magnetic confinement fusion reactor. An ion accelerator was used to simulate the type of ion interaction that may occur at a plasma-facing material. Thermal desorption spectroscopy (TDS) was the primary tool used to analyze the effects of the irradiation Secondary ion mass spectroscopy (SIMS) was used to determine the distribution of trapped D in the tungsten specimen. The tritium migration analysis program (TMAP) was used to simulate thermal desorption profiles from the D depth distributions. Fitting of the simulated thermal desorption profiles with the measured TDS results provided values of the D trap energies. . Deuterium trapping in single crystal tungsten was studied as a function of the incident ion fluence, ion flux, irradiation temperature, irradiation history, and surface impurity levels during irradiation The results show that deuterium was trapped at vacancies and voids. Two deuterium atoms could be trapped at a tungsten vacancy, with trapping energies of 1.4 eV and 1.2 eV for the first and second D atoms, respectively. In a tungsten void, D is trapped as atoms adsorbed on the inner walls of the void with a trap energy of 2.1 eV, or as D{sub 2} molecules inside the void with a trap energy of 1.2 eV. . Deuterium trapping in polycrystalline tungsten was also studied as a function of the incident fluence, irradiation temperature, and irradiation history. Deuterium trapping in polycrystalline tungsten also occurs primarily at vacancies and voids with the same trap energies as in single crystal tungsten; however, the presence of grain boundaries promotes the formation of large surface blisters with high fluence irradiations at 500 K. In general, D trapping is greater in polycrystalline tungsten than in single crystal tungsten. To simulate mixed materials comprising of carbon (C) and tungsten, tungsten specimens were pre-irradiated with carbon ions prior to D

  15. Hybrid simulation research on formation mechanism of tungsten nanostructure induced by helium plasma irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Atsushi M., E-mail: ito.atsushi@nifs.ac.jp [National Institute for Fusion Science, 322-6 Oroshi-cho, Toki 509-5292 (Japan); Takayama, Arimichi; Oda, Yasuhiro [National Institute for Fusion Science, 322-6 Oroshi-cho, Toki 509-5292 (Japan); Tamura, Tomoyuki; Kobayashi, Ryo; Hattori, Tatsunori; Ogata, Shuji [Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Ohno, Noriyasu; Kajita, Shin [Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Yajima, Miyuki [National Institute for Fusion Science, 322-6 Oroshi-cho, Toki 509-5292 (Japan); Noiri, Yasuyuki [Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Yoshimoto, Yoshihide [University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan); Saito, Seiki [Kushiro National College of Technology, Kushiro, Hokkaido 084-0916 (Japan); Takamura, Shuichi [Aichi Institute of Technology, 1247 Yachigusa, Yakusa-cho, Toyota 470-0392 (Japan); Murashima, Takahiro [Tohoku University, 6-3, Aramaki-Aza-Aoba, Aoba-Ward, Sendai 980-8578 (Japan); Miyamoto, Mitsutaka [Shimane University, Matsue, Shimane 690-8504 (Japan); Nakamura, Hiroaki [National Institute for Fusion Science, 322-6 Oroshi-cho, Toki 509-5292 (Japan); Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-08-15

    The generation of tungsten fuzzy nanostructure by exposure to helium plasma is one of the important problems for the use of tungsten material as divertor plates in nuclear fusion reactors. In the present paper, the formation mechanisms of the helium bubble and the tungsten fuzzy nanostructure were investigated by using several simulation methods. We proposed the four-step process which is composed of penetration step, diffusion and agglomeration step, helium bubble growth step, and fuzzy nanostructure formation step. As the fourth step, the formation of the tungsten fuzzy nanostructure was successfully reproduced by newly developed hybrid simulation combining between molecular dynamics and Monte-Carlo method. The formation mechanism of tungsten fuzzy nanostructure observed by the hybrid simulation is that concavity and convexity of the surface are enhanced by the bursting of helium bubbles in the region around the concavity.

  16. Analytical method for thermal stress analysis of plasma facing materials

    Science.gov (United States)

    You, J. H.; Bolt, H.

    2001-10-01

    The thermo-mechanical response of plasma facing materials (PFMs) to heat loads from the fusion plasma is one of the crucial issues in fusion technology. In this work, a fully analytical description of the thermal stress distribution in armour tiles of plasma facing components is presented which is expected to occur under typical high heat flux (HHF) loads. The method of stress superposition is applied considering the temperature gradient and thermal expansion mismatch. Several combinations of PFMs and heat sink metals are analysed and compared. In the framework of the present theoretical model, plastic flow and the effect of residual stress can be quantitatively assessed. Possible failure features are discussed.

  17. Analytical method for thermal stress analysis of plasma facing materials

    International Nuclear Information System (INIS)

    You, J.H.; Bolt, H.

    2001-01-01

    The thermo-mechanical response of plasma facing materials (PFMs) to heat loads from the fusion plasma is one of the crucial issues in fusion technology. In this work, a fully analytical description of the thermal stress distribution in armour tiles of plasma facing components is presented which is expected to occur under typical high heat flux (HHF) loads. The method of stress superposition is applied considering the temperature gradient and thermal expansion mismatch. Several combinations of PFMs and heat sink metals are analysed and compared. In the framework of the present theoretical model, plastic flow and the effect of residual stress can be quantitatively assessed. Possible failure features are discussed

  18. Combined adsorption of lithium and oxygen on (111) face of tungsten

    International Nuclear Information System (INIS)

    Lozovoj, Ya.B.; Smereka, T.P.; Babkin, G.V.; Payukh, B.M.

    1986-01-01

    A contact potential difference technique has been employed to study the electron-adsorption properties of lithium films on a (111) face of tungsten, preliminary coated with different doses of oxygen. At all the lithium coverages studied the presence of oxygen on the surface leads to a significant decrease of the work function φ min and an increase of the thermal stability of lithium films. For optimal coverage φ=1.8 eV, q=2.2 eV

  19. Data merging of infrared and ultrasonic images for plasma facing components inspection

    Energy Technology Data Exchange (ETDEWEB)

    Richou, M. [CEA, IRFM, F-13108 Saint Paul-lez-Durance (France)], E-mail: marianne.richou@cea.fr; Durocher, A. [CEA, IRFM, F-13108 Saint Paul-lez-Durance (France); Medrano, M. [Association EURATOM - CIEMAT, Avda. Complutense 22, 28040 Madrid (Spain); Martinez-Ona, R. [Tecnatom, 28703 S. Sebastian de los Reyes, Madrid (Spain); Moysan, J. [LCND, Universite de la Mediterranee, F-13625 Aix-en-Provence (France); Riccardi, B. [Fusion For Energy, 08019 Barcelona (Spain)

    2009-06-15

    For steady-state magnetic thermonuclear fusion devices which need large power exhaust capability, actively cooled plasma facing components have been developed. In order to guarantee the integrity of these components during the required lifetime, their thermal and mechanical behaviour must be assessed. Before the procurement of the ITER Divertor, the examination of the heat sink to armour joints with non-destructive techniques is an essential topic to be addressed. Defects may be localised at different bonding interfaces. In order to improve the defect detection capability of the SATIR technique, the possibility of merging the infrared thermography test data coming from SATIR results with the ultrasonic test data has been identified. The data merging of SATIR and ultrasonic results has been performed on Carbon Fiber Composite (CFC) monoblocks with calibrated defects, identified by their position and extension. These calibrated defects were realised with machining, with 'stop-off' or by a lack of CFC activation techniques, these last two representing more accurately a real defect. A batch of 56 samples was produced to simulate each possibility of combination with regards to interface location, position and extension and way of realising the defect. The use of a data merging method based on Dempster-Shafer theory improves significantly the detection sensibility and reliability of defect location and size.

  20. Component-Based Cartoon Face Generation

    Directory of Open Access Journals (Sweden)

    Saman Sepehri Nejad

    2016-11-01

    Full Text Available In this paper, we present a cartoon face generation method that stands on a component-based facial feature extraction approach. Given a frontal face image as an input, our proposed system has the following stages. First, face features are extracted using an extended Active Shape Model. Outlines of the components are locally modified using edge detection, template matching and Hermit interpolation. This modification enhances the diversity of output and accuracy of the component matching required for cartoon generation. Second, to bring cartoon-specific features such as shadows, highlights and, especially, stylish drawing, an array of various face photographs and corresponding hand-drawn cartoon faces are collected. These cartoon templates are automatically decomposed into cartoon components using our proposed method for parameterizing cartoon samples, which is fast and simple. Then, using shape matching methods, the appropriate cartoon component is selected and deformed to fit the input face. Finally, a cartoon face is rendered in a vector format using the rendering rules of the selected template. Experimental results demonstrate effectiveness of our approach in generating life-like cartoon faces.

  1. Induced tungsten melting events in the divertor of ASDEX Upgrade and their influence on plasma performance

    International Nuclear Information System (INIS)

    Krieger, K.; Lunt, T.; Dux, R.; Janzer, A.; Kallenbach, A.; Mueller, H.W.; Neu, R.; Puetterich, T.; Rohde, V.

    2011-01-01

    Tungsten rods of 1 x 1 x 3 mm were exposed at the outer divertor plate of ASDEX Upgrade using a manipulator system. Controlled melting of the W-rod in H-mode discharges was induced by moving the outer strike point towards the W-rod position. Visible light emission of ejected W droplets was recorded by fast camera systems. The resulting increase of tungsten concentration in the confined plasma was compared to that induced by W laser ablation into the outer main chamber boundary plasma. The resulting divertor retention expressed as ratio of tungsten core penetration probability from a divertor source to that of a main chamber source is ∼100. Ejected droplets are found to move always in general direction of the plasma flow. The measured magnitude of droplet acceleration shows that droplets are mainly subject to rocket forces and friction forces. Typical initial droplet size can be inferred from the time evolution of the droplet light emission to be ≥100μm.

  2. Disruption simulation experiments in a pulsed plasma accelerator - energy absorption and damage evolution on plasma facing materials

    International Nuclear Information System (INIS)

    Bolt, H.; Barabash, V.; Gervash, A.; Linke, J.; Lu, L.P.; Ovchinnikov, I.; Roedig, M.

    1995-01-01

    Plasma accelerators are used as test beds for disruption simulation experiments on plasma facing materials, because the incident energy fluxes and the discharge duration are of similar order as those expected during disruptions in ITER. The VIKA facility was used for the testing of materials under incident energies up to 5 kJ/cm 2 . Different carbon materials, SiC, stainless steel, TZM and tungsten have been tested. From the experimental results a scaling of the ablation with incident energy density was derived. The resulting ablation depth on carbon materials is roughly 2 μm per kJcm -2 of incident energy density. For metals this ablation is much higher due to the partial loss of the melt layer from splashing. For stainless steel an ablation depth of 9.5 μm per kJcm -2 was determined. The result of a linear scaling of the ablation depth with incident energy density is consistent with a previous calorimetric study. (orig.)

  3. The effects of tantalum addition on the microtexture and mechanical behaviour of tungsten for ITER applications

    International Nuclear Information System (INIS)

    Tejado, E.; Carvalho, P.A.; Munoz, A.; Dias, M.; Correia, J.B.

    2015-01-01

    Tungsten (W) and its alloys are very promising materials for producing plasma-facing components (PFCs) in the fusion power reactors of the near future, even as a structural part in them. However, whereas the properties of pure tungsten are suitable for a PFC, its structural applications are still limited due to its low toughness, ductile to brittle transition temperature and recrystallization behaviour. Therefore, many efforts have been made to improve its performance by alloying tungsten with other elements. Hence, in this investigation, the thermo-mechanical performance of two new tungsten-tantalum materials has been evaluated. Materials with W–5wt.%Ta and W–15wt.%Ta were processed by mechanical alloying (MA) and later consolidation by hot isostatic pressing (HIP), with distinct settings for each composition. Thus, it was possible to determine the relationship between the microstructure and the addition of Ta with the macroscopic mechanical properties. These were measured by means of hardness, flexural strength and fracture toughness, in the temperature range of 300–1473 K. The microstructure and the fracture surfaces features of the tested materials were analysed by Field Emission Scanning Electron Microscopy (FESEM).

  4. The effects of tantalum addition on the microtexture and mechanical behaviour of tungsten for ITER applications

    Energy Technology Data Exchange (ETDEWEB)

    Tejado, E., E-mail: elena.tejado@mater.upm.es [Departamento de Ciencia de Materiales-CIME, ETSI Caminos, Canales y Puertos, Universidad Politécnica de Madrid, Madrid (Spain); Centro Nacional de Investigaciones Metalúrgicas (CSIC), Madrid (Spain); Carvalho, P.A. [Associação Euratom/IST, Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade Técnica de Lisboa, Av. Rovisco Pais, 1049-001 Lisboa (Portugal); ICEMS, Departamento de Bioengenharia, Instituto Superior Técnico, Av. Rovisco Pais, 1049-001 Lisboa (Portugal); Munoz, A. [Departamento de Física, Universidad Carlos III, Leganés (Spain); Dias, M. [Associação Euratom/IST, Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade Técnica de Lisboa, Av. Rovisco Pais, 1049-001 Lisboa (Portugal); Correia, J.B. [Associação Euratom/IST, Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade Técnica de Lisboa, Av. Rovisco Pais, 1049-001 Lisboa (Portugal); LNEG, Laboratório Nacional de Energia e Geologia, Estrada do Paço do Lumiar, 1649-038 Lisboa (Portugal); and others

    2015-12-15

    Tungsten (W) and its alloys are very promising materials for producing plasma-facing components (PFCs) in the fusion power reactors of the near future, even as a structural part in them. However, whereas the properties of pure tungsten are suitable for a PFC, its structural applications are still limited due to its low toughness, ductile to brittle transition temperature and recrystallization behaviour. Therefore, many efforts have been made to improve its performance by alloying tungsten with other elements. Hence, in this investigation, the thermo-mechanical performance of two new tungsten-tantalum materials has been evaluated. Materials with W–5wt.%Ta and W–15wt.%Ta were processed by mechanical alloying (MA) and later consolidation by hot isostatic pressing (HIP), with distinct settings for each composition. Thus, it was possible to determine the relationship between the microstructure and the addition of Ta with the macroscopic mechanical properties. These were measured by means of hardness, flexural strength and fracture toughness, in the temperature range of 300–1473 K. The microstructure and the fracture surfaces features of the tested materials were analysed by Field Emission Scanning Electron Microscopy (FESEM).

  5. Surface morphology changes to tungsten under exposure to He ions from an electron cyclotron resonance plasma source

    Science.gov (United States)

    Donovan, David; Maan, Anurag; Duran, Jonah; Buchenauer, Dean; Whaley, Josh

    2015-11-01

    Exposure of tungsten to low energy (ALMT ITER grade tungsten samples. A similar He plasma exposure stage has now been developed at the University of Tennessee-Knoxville with an improved compact ECR plasma source. Status of the new UTK exposure stage will be discussed as well as planned experiments and new material characterization techniques (EBSD, GIXRD). Work supported by US DOE Contract DE-AC04-94AL85000 and the PSI Science Center.

  6. Structure and property evaluation of a vacuum plasma sprayed nanostructured tungsten-hafnium carbide bulk composite

    International Nuclear Information System (INIS)

    Rea, K.E.; Viswanathan, V.; Kruize, A.; Hosson, J.Th.M. de; O'Dell, S.; McKechnie, T.; Rajagopalan, S.; Vaidyanathan, R.; Seal, S.

    2008-01-01

    Vacuum plasma spray (VPS) forming of tungsten-based metal matrix nanocomposites (MMCs) has shown to be a cost effective and time saving method for the formation of bulk monolithic nanostructured thermo-mechanical components. Spray drying of powder feedstock appears to have a significant effect on the improved mechanical properties of the bulk nanocomposite. The reported elastic modulus of the nanocomposite nearly doubles due to the presence of HfC nano particulates in the W matrix. High resolution transmission electron microscopy (HRTEM) revealed the retention of nanostructures at the select process conditions and is correlated with the enhanced mechanical properties of the nanocomposite

  7. Simulation of tungsten erosion and transport near the divertor plate during ELMs by a kinetic method

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Zhenyue; Sang, Chaofeng; Hu, Wanpeng; Du, Hailong; Wang, Dezhen, E-mail: wangdez@dlut.edu.cn

    2016-11-01

    Highlights: • A kinetic method is used to simulate tungsten erosion and transport during ELMs. • The erosion of tungsten plate by different species (deuterium and carbon ions) is shown. • The charge states of sputtered tungsten particles are given statistically. - Abstract: Tungsten (W) is fore seen as one of the most important candidates of the plasma-facing materials (PFM) for future fusion devices, due to its beneficial properties. However, the high-Z characteristic makes it a potential contamination to the core plasma. Divertor is the main component that directly contacts the plasma, therefore, it is very important to understand the erosion of W divertor plate and the corresponding transport of the eroded wall impurity, especially during edge localized modes (ELMs). In this work, a one-dimension-in-space and three-dimensions-in-velocity particle-in-cell code (EPPIC1D) is used to simulate the erosion of W divertor plate, and the transport of eroded W impurity near the divertor plate is studied by a Monte Carlo code. Benefiting from the kinetic simulation, energy/particle flux to the target could be calculated accurately, and the erosion of W plate by different species is simulated during ELMs. The trajectories and distributions of eroded W impurity particles are demonstrated, which shows us a basic idea of how these impurity particles are generated and transported. It is found that C{sup 3+} plays a dominated role on the erosion of W divertor plate during ELMs even when its concentration is low. Both W atoms and ions distribute mainly near the divertor plate, indicating only a very small fraction of W impurity particles could escape from divertor region and penetrate into the core plasma.

  8. Current status of nanostructured tungsten-based materials development

    International Nuclear Information System (INIS)

    Kurishita, H; Matsuo, S; Arakawa, H; Hatakeyama, M; Shikama, T; Sakamoto, T; Kobayashi, S; Nakai, K; Okano, H; Watanabe, H; Yoshida, N; Torikai, Y; Hatano, Y; Takida, T; Kato, M; Ikegaya, A; Ueda, Y

    2014-01-01

    Nanostructured tungsten (W)-based materials offer many advantages for use as plasma facing materials and components exposed to heavy thermal loads combined with irradiation with high-energy neutron and low-energy ion. This paper first presents the recent progress in nanostructured toughened, fine grained, recrystallized W materials. Thermal desorption spectrometry apparatus equipped with an ion gun has been installed in the radiation controlled area in our Center at Tohoku University to systematically investigate the effects of displacement damage due to high-energy neutron irradiation on hydrogen isotope retention in connection with the nano- or micro-structures in W-based materials. In this paper, the effects of high-energy heavy ion irradiation on deuterium retention in W with different microstructures are described as a preliminary work with the prospective view of neutron irradiation effects. (paper)

  9. Baking and helium glow discharge cleaning of SST-1 tokamak with graphite plasma facing components

    International Nuclear Information System (INIS)

    Semwal, Pratibha; Khan, Ziauddin; Raval, Dilip

    2015-01-01

    Graphite plasma facing components (PFCs) were installed inside SST-1 vacuum vessel. Prior to installation, all the graphite tiles were baked at 1000 °C in a vacuum furnace operated below 1.0 X 10 -5 mbar. However due to the porous structure of graphite, they absorb a significant amount of water vapour from air during the installation process. Rapid desorption of water vapour requires high temperature bake-out of the PFCs at ≥ 250 °C. In SST-1 the PFCs were baked at 250 °C using hot nitrogen gas facility to remove the absorbed water vapour. Also device with large graphite surface area has the disadvantage that a large quantity of hydrogen gets trapped inside it during plasma discharges which makes density control difficult. Helium (He) glow discharge cleaning (GDC) effectively removes this stored hydrogen as well as other impurities like oxygen and hydrocarbon within few nanometers from the surface by particle induced desorption. Before plasma operation in SST-1 tokamak, both baking of PFCs and He-GDC were carried out so that these impurities were removed effectively. The mean desorption yield of hydrogen was found to be 0.48. In this paper, the results of effect of baking and He-GDC experiments of SST-1 will be presented in detail. (author)

  10. Baking and helium glow discharge cleaning of SST-1 Tokamak with graphite plasma facing components

    Science.gov (United States)

    Semwal, P.; Khan, Z.; Raval, D. C.; Dhanani, K. R.; George, S.; Paravastu, Y.; Prakash, A.; Thankey, P.; Ramesh, G.; Khan, M. S.; Saikia, P.; Pradhan, S.

    2017-04-01

    Graphite plasma facing components (PFCs) were installed inside the SST-1 vacuum vessel. Prior to installation, all the graphite tiles were baked at 1000 °C in a vacuum furnace operated below 1.0 × 10-5 mbar. However due to the porous structure of graphite, they absorb a significant amount of water vapour from air during the installation process. Rapid desorption of this water vapour requires high temperature bake-out of the PFCs at ≥ 250 °C. In SST-1 the PFCs were baked at 250 °C using hot nitrogen gas facility to remove the absorbed water vapour. Also device with large graphite surface area has the disadvantage that a large quantity of hydrogen gets trapped inside it during plasma discharges which makes density control difficult. Helium glow discharge cleaning (He-GDC) effectively removes this stored hydrogen as well as other impurities like oxygen and hydrocarbon within few nano-meters from the surface by particle induced desorption. Before plasma operation in SST-1 tokamak, both baking of PFCs and He-GDC were carried out so that these impurities were removed effectively. The mean desorption yield of hydrogen was found to be 0.24. In this paper the results of baking and He-GDC experiments of SST-1 will be presented in detail.

  11. Manufacturing study of Be, W and CFC bonded structures for plasma-facing components

    Science.gov (United States)

    Onozuka, M.; Hirai, S.; Kikuchi, K.; Oda, Y.; Shimizu, K.

    2004-08-01

    A manufacturing study has been conducted for Be, W, and CFC bonded structures employed in plasma-facing components for the ITER. For Be tiles bonded to the Cu-Cr-Zr alloy heat sink with stainless-steel cooling pipes, a one-axis hot press with two heating processes has been used to bond the three materials. An Al-Si base interlayer has been used to bond Be to the Cu-alloy. The heating processes have been selected to match the required heat treatment conditions for the Cu-alloy. Because of the limited heat processes using a conventional hot press, the manufacturing cost can be minimized. For both the W and CFC tiles, the materials have been brazed at the same time to the Cu-alloy. Ni-Cu-Mn and Cu-Ti brazing materials have been used for the W and CFC tiles, respectively. Using the above bonding techniques, partial mockups of a blanket first-wall panel and divertor target have been successfully manufactured.

  12. Manufacturing study of Be, W and CFC bonded structures for plasma-facing components

    International Nuclear Information System (INIS)

    Onozuka, M.; Hirai, S.; Kikuchi, K.; Oda, Y.; Shimizu, K.

    2004-01-01

    A manufacturing study has been conducted for Be, W, and CFC bonded structures employed in plasma-facing components for the ITER. For Be tiles bonded to the Cu-Cr-Zr alloy heat sink with stainless-steel cooling pipes, a one-axis hot press with two heating processes has been used to bond the three materials. An Al-Si base interlayer has been used to bond Be to the Cu-alloy. The heating processes have been selected to match the required heat treatment conditions for the Cu-alloy. Because of the limited heat processes using a conventional hot press, the manufacturing cost can be minimized. For both the W and CFC tiles, the materials have been brazed at the same time to the Cu-alloy. Ni-Cu-Mn and Cu-Ti brazing materials have been used for the W and CFC tiles, respectively. Using the above bonding techniques, partial mockups of a blanket first-wall panel and divertor target have been successfully manufactured

  13. Decoding of faces and face components in face-sensitive human visual cortex

    Directory of Open Access Journals (Sweden)

    David F Nichols

    2010-07-01

    Full Text Available A great challenge to the field of visual neuroscience is to understand how faces are encoded and represented within the human brain. Here we show evidence from functional magnetic resonance imaging (fMRI for spatially distributed processing of the whole face and its components in face-sensitive human visual cortex. We used multi-class linear pattern classifiers constructed with a leave-one-scan-out verification procedure to discriminate brain activation patterns elicited by whole faces, the internal features alone, and the external head outline alone. Furthermore, our results suggest that whole faces are represented disproportionately in the fusiform cortex (FFA whereas the building blocks of faces are represented disproportionately in occipitotemporal cortex (OFA. Faces and face components may therefore be organized with functional clustering within both the FFA and OFA, but with specialization for face components in the OFA and the whole face in the FFA.

  14. Material impacts and heat flux characterization of an electrothermal plasma source with an applied magnetic field

    Science.gov (United States)

    Gebhart, T. E.; Martinez-Rodriguez, R. A.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2017-08-01

    To produce a realistic tokamak-like plasma environment in linear plasma device, a transient source is needed to deliver heat and particle fluxes similar to those seen in an edge localized mode (ELM). ELMs in future large tokamaks will deliver heat fluxes of ˜1 GW/m2 to the divertor plasma facing components at a few Hz. An electrothermal plasma source can deliver heat fluxes of this magnitude. These sources operate in an ablative arc regime which is driven by a DC capacitive discharge. An electrothermal source was configured with two pulse lengths and tested under a solenoidal magnetic field to determine the resulting impact on liner ablation, plasma parameters, and delivered heat flux. The arc travels through and ablates a boron nitride liner and strikes a tungsten plate. The tungsten target plate is analyzed for surface damage using a scanning electron microscope.

  15. Recovery of tungsten surface with fiber-form nanostructure by the argon plasma irradiation at a high surface temperature

    International Nuclear Information System (INIS)

    Takamura, Shuichi; Miyamoto, Takanori

    2011-01-01

    One of the serious concerns for tungsten materials in fusion devices is the radiation defects caused by helium plasma irradiation, while the helium is one of fusion products. Fiber-formed nanostructure is worried to have a possible weakness against the plasma heat flux and may destroy the reflectivity as an optical mirror. In this communication an interesting method for a recovery of such a tungsten surface is shown. (author)

  16. Effect of microstructural anisotropy on the mechanical properties of K-doped tungsten rods for plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Nogami, Shuhei, E-mail: shuhei.nogami@qse.tohoku.ac.jp; Guan, Wenhai, E-mail: wenhai.guan@jupiter.qse.tohoku.ac.jp; Fukuda, Makoto, E-mail: fukuda@jupiter.qse.tohoku.ac.jp; Hasegawa, Akira, E-mail: akira.hasegawa@qse.tohoku.ac.jp

    2016-11-01

    Highlights: • K-doping led to improve the tensile strength regardless of the test direction and temperature. • K-doping did not alter the elongation regardless of the test direction in the ductile fracture temperature range. • The ductility at lower temperature range was improved by the K-doping. • The lowest temperature of ductile fracture along both axial and radial directions decreased because of K-doping. • K-doping could suppress the influence of microstructural anisotropy on tensile properties, especially ductility, in large diameter W rods. - Abstract: The effect of microstructural anisotropy in pure tungsten (W) and potassium (K) doped W rods (20 mm in diameter) on their mechanical properties was investigated by tensile tests along the axial and radial directions at temperatures from 473 K to 1573 K and fracture analysis. K-doping led to improved tensile strength regardless of the test direction and temperature. K-doping did not alter the elongation regardless of the test direction in the temperature range showing ductile fracture. The ductility at lower temperature range was improved by the K-doping, especially in tensile tests along the radial direction. The lowest temperature of ductile fracture along both axial and radial directions decreased from 1373 K to 973 K because of K-doping. Thus, K-doping could suppress the influence of microstructural anisotropy on tensile properties, especially ductility, in large diameter W rods.

  17. ITER plasma facing materials. Some critical considerations

    International Nuclear Information System (INIS)

    Barabash, V.; Dietz, K.J.; Federici, G.; Janeschitz, G.; Matera, R.; Tanaka, S.

    1995-01-01

    The description of current status with the choice of materials for ITER plasma facing components is presented. The main problem with lifetime of divertor elements is the particle and energy-induced erosion of armour materials. A solution for the first operation phase consists in using Be as an armour for the first wall and the divertor, however other possible materials (e.g. W) could be considered. (orig.)

  18. Numerical study of slip system activity and crystal lattice rotation under wedge nanoindents in tungsten single crystals

    Science.gov (United States)

    Volz, T.; Schwaiger, R.; Wang, J.; Weygand, S. M.

    2018-05-01

    Tungsten is a promising material for plasma facing components in future nuclear fusion reactors. In the present work, we numerically investigate the deformation behavior of unirradiated tungsten (a body-centered cubic (bcc) single crystal) underneath nanoindents. A finite element (FE) model is presented to simulate wedge indentation. Crystal plasticity finite element (CPFE) simulations were performed for face-centered and body-centered single crystals accounting for the slip system family {110} in the bcc crystal system and the {111} slip family in the fcc system. The 90° wedge indenter was aligned parallel to the [1 ¯01 ]-direction and indented the crystal in the [0 1 ¯0 ]-direction up to a maximum indentation depth of 2 µm. In both, the fcc and bcc single crystals, the activity of slip systems was investigated and compared. Good agreement with the results from former investigations on fcc single crystals was observed. Furthermore, the in-plane lattice rotation in the material underneath an indent was determined and compared for the fcc and bcc single crystals.

  19. A bulk tungsten tile for JET: Heat flux tests in the MARION facility on the power-handling performance and validation of the thermal model

    International Nuclear Information System (INIS)

    Mertens, Ph.; Altmann, H.; Chaumet, P.; Joffrin, E.; Knaup, M.; Matthews, G.F.; Neubauer, O.; Nicolai, D.; Riccardo, V.; Tanchuk, V.; Thompson, V.; Uhlemann, R.; Samm, U.

    2011-01-01

    In the frame of the ITER-like Wall (ILW) for the JET tokamak, a divertor row made of bulk tungsten material has been developed for the position where the outer strike point is located in most of the foreseen plasma configurations. In the absence of active cooling, this represents a formidable challenge when one considers the temperature reached by tungsten (T W,surf > 2000 deg. C) and the vertical gradient ∂T/∂z = 5 x 10 4 K/m. As the development is drawing to an end and most components are in production, actual 1:1 prototypes are exposed to an ion beam with a power density around 7 MW/m 2 on the plasma-facing surface. Advantage is taken of the flexibility of the MARION facility to bombard the tungsten stack under shallow angles of incidence (∼6 o ) with a powerful beam of ions and neutrals (>70 MW/m 2 on axis). The shallow angles are important, with respect to the toroidal wetted surface, for properly simulating the expected performance under actual tokamak conditions. The MARION tests have been used to validate for a few typical cases the thermal calculations that were steadily developed along with the tungsten tile and, at the same time, to gather information on the actual temperatures of individual components. The latter is an important factor to a finer estimation of the power handling capabilities.

  20. Electro-deposition metallic tungsten coatings in a Na{sub 2}WO{sub 4}-WO{sub 3} melt on copper based alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y.H., E-mail: dreamerhong77@126.com [School of Materials Science and Engineering, University of Science and Technology Beijing, 30 Xueyuan Road, Haidian District, Beijing 100083 (China); Zhang, Y.C.; Liu, Q.Z.; Li, X.L.; Jiang, F. [School of Materials Science and Engineering, University of Science and Technology Beijing, 30 Xueyuan Road, Haidian District, Beijing 100083 (China)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer The tungsten coating (>1 mm) was obtained by electro-deposition method in molten salt. Black-Right-Pointing-Pointer Different thickness tungsten coatings were obtained by using different durations. Black-Right-Pointing-Pointer Good performance of coating was obtained when pulse parameters were modulated. - Abstract: The tungsten coating was prepared by electro-deposition technique on copper alloy substrate in a Na{sub 2}WO{sub 4}-WO{sub 3} melt. The coating's surface and cross-section morphologies as well as its impurities were investigated by XPS, SEM and line analysis. Various plating durations were investigated in order to obtain an optimal coating's thickness. The results demonstrated that the electro-deposited coating was compact, voidless, crackless and free from impurities. The tungsten coating's maximum Vickers hardness was measured to be 520 HV. The tungsten coating's minimum oxygen content was determined to be 0.018 wt%. Its maximum thickness was measured to be 1043.67 {mu}m when the duration of electrolysis was set to 100 h. The result of this study has demonstrated the feasibility of having thicker tungsten coatings on copper alloy substrates. These electrodeposited tungsten coatings can be potentially implemented as reliable armour for the medium heat flux plasma facing component (PFC).

  1. Results of high heat flux tests of tungsten divertor targets under plasma heat loads expected in ITER and tokamaks (review)

    Energy Technology Data Exchange (ETDEWEB)

    Budaev, V. P., E-mail: budaev@mail.ru [National Research Centre Kurchatov Institute (Russian Federation)

    2016-12-15

    Heat loads on the tungsten divertor targets in the ITER and the tokamak power reactors reach ~10MW m{sup −2} in the steady state of DT discharges, increasing to ~0.6–3.5 GW m{sup −2} under disruptions and ELMs. The results of high heat flux tests (HHFTs) of tungsten under such transient plasma heat loads are reviewed in the paper. The main attention is paid to description of the surface microstructure, recrystallization, and the morphology of the cracks on the target. Effects of melting, cracking of tungsten, drop erosion of the surface, and formation of corrugated and porous layers are observed. Production of submicron-sized tungsten dust and the effects of the inhomogeneous surface of tungsten on the plasma–wall interaction are discussed. In conclusion, the necessity of further HHFTs and investigations of the durability of tungsten under high pulsed plasma loads on the ITER divertor plates, including disruptions and ELMs, is stressed.

  2. Effect of high-flux H/He plasma exposure on tungsten damage due to transient heat loads

    Energy Technology Data Exchange (ETDEWEB)

    De Temmerman, G., E-mail: gregory.detemmerman@iter.org [FOM Institute DIFFER, Dutch Institute for Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregion Cluster, Postbus 1207, 3430BE Nieuwegein (Netherlands); ITER Organization, Route de Vinon sur Verdon, CS 90 096, 13067 Saint Paul-lez-Durance (France); Morgan, T.W.; Eden, G.G. van; Kruif, T. de [FOM Institute DIFFER, Dutch Institute for Fundamental Energy Research, Association EURATOM-FOM, Trilateral Euregion Cluster, Postbus 1207, 3430BE Nieuwegein (Netherlands); Wirtz, M. [Forschungszentrum Jülich GmbH, Institute of Energy and Climate Research – Microstructure and Properties of Materials (IEK-2), EURATOM Association, 52425 Jülich (Germany); Matejicek, J.; Chraska, T. [Institute of Plasma Physics, Association EURATOM-IPP, CR Prague (Czech Republic); Pitts, R.A. [ITER Organization, Route de Vinon sur Verdon, CS 90 096, 13067 Saint Paul-lez-Durance (France); Wright, G.M. [MIT Plasma Science and Fusion Center, 77 Massachusetts Ave., Cambridge, MA 02139 (United States)

    2015-08-15

    The thermal shock behaviour of tungsten exposed to high-flux plasma is studied using a high-power laser. The cases of laser-only, sequential laser and hydrogen (H) plasma and simultaneous laser plus H plasma exposure are studied. H plasma exposure leads to an embrittlement of the material and the appearance of a crack network originating from the centre of the laser spot. Under simultaneous loading, significant surface melting is observed. In general, H plasma exposure lowers the heat flux parameter (F{sub HF}) for the onset of surface melting by ∼25%. In the case of He-modified (fuzzy) surfaces, strong surface deformations are observed already after 1000 laser pulses at moderate F{sub HF} = 19 MJ m{sup −2} s{sup −1/2}, and a dense network of fine cracks is observed. These results indicate that high-fluence ITER-like plasma exposure influences the thermal shock properties of tungsten, lowering the permissible transient energy density beyond which macroscopic surface modifications begin to occur.

  3. Joining technologies for the plasma facing components of ITER

    International Nuclear Information System (INIS)

    Barabash, V.; Kalinin, G.; Matera, R.

    1998-01-01

    An extensive R and D program on the development of the joining technologies between armour (beryllium, tungsten and carbon fibre composites)/copper alloys heat sink and copper alloys/ stainless steel has been carried out by ITER Home Teams. A brief review of this R and D program is presented in this paper. Based on the results, reference technologies for use in ITER have been selected and recommended for further development. (author)

  4. Fuel hydrogen retention of tungsten and the reduction by inert gas glow discharges

    Energy Technology Data Exchange (ETDEWEB)

    Hino, T., E-mail: tomhino@qe.eng.hokudai.ac.jp [Laboratory of Plasma Physics and Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Yamauchi, Y.; Kimura, Y. [Laboratory of Plasma Physics and Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Nishimura, K. [National Institute for Fusion Science, Toki-shi, Gifu-ken 509-5292 (Japan); Ueda, Y. [Graduate School of Engineering, Osaka University, Suita-shi 565-0872 (Japan)

    2012-08-15

    Highlights: Black-Right-Pointing-Pointer The performances of inert gas glow discharges for reduction of fuel hydrogen retention in tungsten were systematically investigated. Black-Right-Pointing-Pointer For the tungsten with rough surface structure, the reduction of fuel hydrogen retention by inert gas discharges is quite small. Black-Right-Pointing-Pointer The deuterium glow discharge is quite useful to reduce the tritium retention in plasma facing walls in fusion reactor. Black-Right-Pointing-Pointer The wall baking with temperature higher than 700-800 K is also useful to reduce the tritium retention in plasma facing walls. - Abstract: Polycrystalline tungsten was exposed to deuterium glow discharge followed by He, Ne or Ar glow discharge. The amount of retained deuterium in the tungsten was measured using residual gas analysis. The amount of desorbed deuterium during the inert gas glow discharge was also measured. The amount of retained deuterium was 2-3 times larger compared with a case of stainless steel. The ratios of desorbed amount of deuterium by He, Ne and Ar glow discharges were 4.6, 3.1 and 2.9%, respectively. These values were one order of magnitude smaller compared with the case of stainless steel. The inert gas glow discharge is not suitable to reduce the fuel hydrogen retention for tungsten walls. However, the wall baking with a temperature higher than 700 K is suitable to reduce the fuel hydrogen retention. It is also shown that the use of deuterium glow discharge is effective to reduce the in-vessel tritium inventory in fusion reactors through the hydrogen isotope exchange.

  5. The dynamical mechanical properties of tungsten under compression at working temperature range of divertors

    Science.gov (United States)

    Zhu, C. C.; Song, Y. T.; Peng, X. B.; Wei, Y. P.; Mao, X.; Li, W. X.; Qian, X. Y.

    2016-02-01

    In the divertor structure of ITER and EAST with mono-block module, tungsten plays not only a role of armor material but also a role of structural material, because electromagnetic (EM) impact will be exerted on tungsten components in VDEs or CQ. The EM loads can reach to 100 MN, which would cause high strain rates. In addition, directly exposed to high-temperature plasma, the temperature regime of divertor components is complex. Aiming at studying dynamical response of tungsten divertors under EM loads, an experiment on tungsten employed in EAST divertors was performed using a Kolsky bar system. The testing strain rates and temperatures is derived from actual working conditions, which makes the constitutive equation concluded by using John-Cook model and testing data very accurate and practical. The work would give a guidance to estimate the dynamical response, fatigue life and damage evolution of tungsten divertor components under EM impact loads.

  6. Baking and helium glow discharge cleaning of SST-1 Tokamak with graphite plasma facing components

    International Nuclear Information System (INIS)

    Semwal, P; Khan, Z; Raval, D C; Dhanani, K R; George, S; Paravastu, Y; Prakash, A; Thankey, P; Ramesh, G; Khan, M S; Saikia, P; Pradhan, S

    2017-01-01

    Graphite plasma facing components (PFCs) were installed inside the SST-1 vacuum vessel. Prior to installation, all the graphite tiles were baked at 1000 °C in a vacuum furnace operated below 1.0 × 10 -5 mbar. However due to the porous structure of graphite, they absorb a significant amount of water vapour from air during the installation process. Rapid desorption of this water vapour requires high temperature bake-out of the PFCs at ≥ 250 °C. In SST-1 the PFCs were baked at 250 °C using hot nitrogen gas facility to remove the absorbed water vapour. Also device with large graphite surface area has the disadvantage that a large quantity of hydrogen gets trapped inside it during plasma discharges which makes density control difficult. Helium glow discharge cleaning (He-GDC) effectively removes this stored hydrogen as well as other impurities like oxygen and hydrocarbon within few nano-meters from the surface by particle induced desorption. Before plasma operation in SST-1 tokamak, both baking of PFCs and He-GDC were carried out so that these impurities were removed effectively. The mean desorption yield of hydrogen was found to be 0.24. In this paper the results of baking and He-GDC experiments of SST-1 will be presented in detail. (paper)

  7. ELM elimination with Li powder injection in EAST discharges using the tungsten upper divertor

    Science.gov (United States)

    Maingi, R.; Hu, J. S.; Sun, Z.; Tritz, K.; Zuo, G. Z.; Xu, W.; Huang, M.; Meng, X. C.; Canik, J. M.; Diallo, A.; Lunsford, R.; Mansfield, D. K.; Osborne, T. H.; Gong, X. Z.; Wang, Y. F.; Li, Y. Y.; EAST Team

    2018-02-01

    We report the first successful use of lithium (Li) to eliminate edge-localized modes (ELMs) with tungsten divertor plasma-facing components in the EAST device. Li powder injected into the scrape-off layer of the tungsten upper divertor successfully eliminated ELMs for 3-5 s in EAST. The ELM elimination became progressively more effective in consecutive discharges at constant lithium delivery rates, and the divertor D α baseline emission was reduced, both signatures of improved wall conditioning. A modest decrease in stored energy and normalized energy confinement was also observed, but the confinement relative to H98 remained well above 1, extending the previous ELM elimination results via Li injection into the lower carbon divertor in EAST (Hu et al 2015 Phys. Rev. Lett. 114 055001). These results can be compared with recent observations with lithium pellets in ASDEX-Upgrade that failed to mitigate ELMs (Lang et al 2017 Nucl. Fusion 57 016030), highlighting one comparative advantage of continuous powder injection for real-time ELM elimination.

  8. Effect of rhenium addition on tungsten fuzz formation in helium plasmas

    NARCIS (Netherlands)

    Khan, A.; De Temmerman, G.; Morgan, T. W.; M. B. Ward,

    2016-01-01

    The effect of the addition of rhenium to tungsten on the formation of a nanostructure referred to as ‘fuzz’ when exposed to helium plasmas at fusion relevant ion fluxes was investigated in the Magnum and Pilot PSI devices at the FOM Institute DIFFER. The effect rhenium had on fuzz growth was seen to

  9. Deepening of floating potential for tungsten target plate on the way to nanostructure formation

    International Nuclear Information System (INIS)

    Takamura, Shuichi; Miyamoto, Takanori; Ohno, Noriyasu

    2010-01-01

    Deepening of floating potential has been observed on the tungsten target plate immersed in high-density helium plasma with hot electron component on the way to nanostructure formation. The physical mechanism is thought to be a reduction of secondary electron emission from such a complex nano fiber-form structure on the tungsten surface. (author)

  10. Integration of a functionally graded W/Cu transition for divertor components of fusion facilities

    International Nuclear Information System (INIS)

    Pintsuk, G.

    2004-01-01

    One of the most difficult topics in the design and development of future fusion devices, e.g. ITER (Latin for ''the way'') is the field of plasma facing components for the divertor. In steady-state mode these will be exposed to heat fluxes up to 20 MW/m 2 . The favored design-option is a component made out of tungsten and copper-alloys. Since these materials differ in their thermal expansion coefficient and their elastic modulus a temperature gradient within the component, caused by thermal loads, results in stresses at the interface. An alternative design-option for divertor-components deals with the insertion of a functionally graded material (FGM) between tungsten and copper. This establishes a continuous change of material properties and therefore minimize the stresses and optimize the thermal behavior of the component. Low pressure plasma-spraying and direct laser-sintering are introduced as possible production-methods of graded W/Cu-composites. Based on preliminary investigations both are used for fabricating W/Cu-composite materials with different mixing ratios. Thermo-mechanical and thermo-physical material properties will be determined on these composites and extrapolated to all mixing ratios. For laser-sintering these are limited to Cu-contents of ∝20 to 100 Vol%. Therefore the plasma-spraying process is favored. In finite-element-analyses the graded material and its material properties will be implemented into a 2-D simulation-model of a divertor component. The composition and the design of the graded W/Cu-composite will be optimized. Best results are obtained by high contents of tungsten within the graded layer, which are still improved by a macro-brush design with dimensions of 4.5 x 4.5 mm 2 . This results in a transfer of critical stresses from the mechanical bonded interface between the plasma facing and the graded material to the diffusion bonded interface between the graded material and copper. The joining of tungsten, a plasma-sprayed graded W

  11. Investigation of cascade effect failure for tungsten armour

    International Nuclear Information System (INIS)

    Makhankov, A.; Barabash, V.; Berkhov, N.; Divavin, V.; Giniatullin, R.; Grigoriev, S.; Ibbott, C.; Komarov, V.; Labusov, A.; Mazul, I.; McDonald, J.; Tanchuk, V.; Youchison, D.

    2001-01-01

    The glancing angle of incident power on the target of a tokamak divertor results in doubled and highly peaked heat flux onto adjacent downstream tile in the case of lost of tile event (LOTE). As a result downstream tile has higher probability to fail resulting in triple loads to the next downstream tile and so on (cascade effect). This paper devoted to analytical and experimental investigation of the cascade effect failure for the flat tile option of tungsten armoured plasma facing components. Armour geometry resistant to the cascade effect failure was selected on the base of thermal and stress analyses. Experimental investigation of the LOTE has been performed also. Small size W/Cu mock-up withstood not only LOTE simulation load, but also survived afterwards for 1500 cycles at 26-28 MW/m 2 without damage in joint

  12. Thermal Spray Coating of Tungsten for Tokamak Device

    International Nuclear Information System (INIS)

    Jiang Xianliang; Gitzhofer, F; Boulos, M I

    2006-01-01

    Thermal spray, such as direct current (d.c.) plasma spray or radio frequency induced plasma spray, was used to deposit tungsten coatings on the copper electrodes of a tokamak device. The tungsten coating on the outer surface of one copper electrode was formed directly through d.c. plasma spraying of fine tungsten powder. The tungsten coating/lining on the inner surface of another copper electrode could be formed indirectly through induced plasma spraying of coarse tungsten powder. Scanning electron microscopy (SEM) was used to examine the cross section and the interface of the tungsten coating. Energy Dispersive Analysis of X-ray (EDAX) was used to analyze the metallic elements attached to a separated interface. The influence of the particle size of the tungsten powder on the density, cracking behavior and adhesion of the coating is discussed. It is found that the coarse tungsten powder with the particle size of 45 ∼ 75 μm can be melted and the coating can be formed only by using induced plasma. The coating deposited from the coarse powder has much higher cohesive strength, adhesive strength and crack resistance than the coating made from the fine powder with a particle size of 5 μm

  13. Plasma-wall interaction and plasma facing materials

    International Nuclear Information System (INIS)

    Tanabe, Tetsuo; Miyahara, Akira.

    1990-01-01

    The recognition that plasma-wall interaction plays the essential role from both standpoints of energy balance and particle balance for realizing nuclear fusion reactors has become to prevail. However, on how each elementary process acts and what competitive effect the synthetic action brings about, the stage of doing the qualitative discussion has just come, and the quantitative investigation is the problem for the future. In this paper, the plasma-wall interaction as seen from the research field of plasma-facing materials is discussed centering around graphite materials which have been mostly used at present, and the present status of the research and development on the problems of impurities, hydrogen recycling and heat resistance and radiation resistance is mentioned. Moreover, the problems are pointed out, and the course for the future is looked for. The recent experiment with large tokamaks adopted graphite or carbon as the plasma-facing materials, and the reduction of metallic impurities in plasma showed the clear improvement of plasma confinement characteristics. However, for the next device which requires forced cooling, the usability of graphite is doubtful. (K.I.) 51 refs

  14. Damage of actively cooled plasma facing components of magnetic confinement controlled fusion machines

    Energy Technology Data Exchange (ETDEWEB)

    Chevet, G. [Association Euratom-CEA, DSM/DRFC, CEA Cadarache, Saint-Paul-Lez-Durance (France)], E-mail: gaelle.chevet@cea.fr; Schlosser, J. [Association Euratom-CEA, DSM/DRFC, CEA Cadarache, Saint-Paul-Lez-Durance (France); Martin, E.; Herb, V.; Camus, G. [Universite Bordeaux 1, UMR 5801 (CNRS-SAFRAN-CEA-UB1), Laboratoire des Composites Thermostructuraux, F-33600 Pessac (France)

    2009-03-31

    Plasma facing components (PFCs) of magnetic fusion machines have high manufactured residual stresses and have to withstand important stress ranges during operation. These actively cooled PFCs have a carbon fibre composite (CFC) armour and a copper alloy heat sink. Cracks mainly appear in the CFC near the composite/copper interface. In order to analyse damage mechanisms, it is important to well simulate the damage mechanisms both of the CFC and the CFC/Cu interface. This study focuses on the mechanical behaviour of the N11 material for which the scalar ONERA damage model was used. The damage parameters of this model were identified by similarity to a neighbour material, which was extensively analysed, according to the few characterization test results available for the N11. The finite elements calculations predict a high level of damage of the CFC at the interface zone explaining the encountered difficulties in the PFCs fabrication. These results suggest that the damage state of the CFC cells is correlated with a conductivity decrease to explain the temperature increase of the armour surface under fatigue heat load.

  15. Damage of actively cooled plasma facing components of magnetic confinement controlled fusion machines

    Science.gov (United States)

    Chevet, G.; Schlosser, J.; Martin, E.; Herb, V.; Camus, G.

    2009-03-01

    Plasma facing components (PFCs) of magnetic fusion machines have high manufactured residual stresses and have to withstand important stress ranges during operation. These actively cooled PFCs have a carbon fibre composite (CFC) armour and a copper alloy heat sink. Cracks mainly appear in the CFC near the composite/copper interface. In order to analyse damage mechanisms, it is important to well simulate the damage mechanisms both of the CFC and the CFC/Cu interface. This study focuses on the mechanical behaviour of the N11 material for which the scalar ONERA damage model was used. The damage parameters of this model were identified by similarity to a neighbour material, which was extensively analysed, according to the few characterization test results available for the N11. The finite elements calculations predict a high level of damage of the CFC at the interface zone explaining the encountered difficulties in the PFCs fabrication. These results suggest that the damage state of the CFC cells is correlated with a conductivity decrease to explain the temperature increase of the armour surface under fatigue heat load.

  16. Damage of actively cooled plasma facing components of magnetic confinement controlled fusion machines

    International Nuclear Information System (INIS)

    Chevet, G.; Schlosser, J.; Martin, E.; Herb, V.; Camus, G.

    2009-01-01

    Plasma facing components (PFCs) of magnetic fusion machines have high manufactured residual stresses and have to withstand important stress ranges during operation. These actively cooled PFCs have a carbon fibre composite (CFC) armour and a copper alloy heat sink. Cracks mainly appear in the CFC near the composite/copper interface. In order to analyse damage mechanisms, it is important to well simulate the damage mechanisms both of the CFC and the CFC/Cu interface. This study focuses on the mechanical behaviour of the N11 material for which the scalar ONERA damage model was used. The damage parameters of this model were identified by similarity to a neighbour material, which was extensively analysed, according to the few characterization test results available for the N11. The finite elements calculations predict a high level of damage of the CFC at the interface zone explaining the encountered difficulties in the PFCs fabrication. These results suggest that the damage state of the CFC cells is correlated with a conductivity decrease to explain the temperature increase of the armour surface under fatigue heat load

  17. The design of actively cooled plasma-facing components

    International Nuclear Information System (INIS)

    Scheerer, M.; Smid, I.; Bolt, H.; Gervash, A.; Linke, J.

    2001-01-01

    In future fusion devices, like in the stellarator Wendelstein 7-X, the target plates of the divertor will be exposed to heat loads up to power densities of 10 MW/m 2 for 1000 s. For this purpose actively cooled target elements with an internal coolant flow return, made of 2-D CFC armor tiles brazed onto a two tube cooling structure were developed and manufactured at the Forschungszentrum Juelich. Individual bent- and coolant flow reversal elements were used to achieve a high flexibility in the shape of the target elements. A special brazing technology, using a thin layer of plasma-arc deposited titanium was used for the bonding of the cooling structure to the plasma facing armor (PFA). FEM-simulations of the thermal and mechanical behavior show that a detachment of about 25% of the bonded area between the copper tubes and the PFA can be tolerated, without exceeding the critical heat flux at 15 MW/m 2 or a surface temperature of 1400 C at 10 MW/m 2 by using twisted tape inserts with a twist ratio of 2 at a cooling water velocity of 10 m/s. Thermal cycling tests in an electron beam facility up to a power density level 10.5 MW/m 2 show a very good behavior of parts of the target elements, which confirms the performance under fusion relevant conditions. Even defected parts in the bonding interface of the target elements, known from ultrasonic inspections before, show no change in the thermal performance under cycling, which confirms also the structural integrity of partly defected regions. (orig.)

  18. Carbon and tungsten effect on characteristics of sputtered and re-deposited beryllium target layers under deuteron bombardment

    International Nuclear Information System (INIS)

    Danelyan, L.S.; Gureev, V.M.; Elistratov, N.G.

    2004-01-01

    The behavior of the plasma facing Be-elements in the International Thermonuclear Experimental Reactor ITER will be affected by the re-deposition of other eroded plasma facing materials. The effect of carbon- and tungsten-additions on the microstructure, chemical composition and hydrogen isotope accumulation in the sputtered and re-deposited layers of beryllium TGP-56 at its interaction with 200 - 300 eV hydrogen isotope ions was studied in the MAGRAS facility equipped with a magnetron sputtering system. (author)

  19. Experimental estimation of tungsten impurity sputtering due to Type i ELMs in JET-ITER-like wall using pedestal electron cyclotron emission and target Langmuir probe measurements

    Czech Academy of Sciences Publication Activity Database

    Guillemaut, C.; Jardin, A.; Horáček, Jan; Borodkina, I.; Autricque, A.; Arnoux, G.; Boom, J.; Brezinsek, S.; Coenen, J.W.; De La Luna, E.; Devaux, S.; Eich, T.; Harting, D.; Kirschner, A.; Lipschultz, B.; Matthews, G. F.; Meigs, A.; Moulton, D.; O'Mullane, M.; Stamp, M.

    T167, February (2016), s. 014005 ISSN 0031-8949. [International Conference on Plasma-Facing Materials and Components for Fusion Applications, PFMC 2015/15./. Aix-en-Provence, 18.05.2015-22.05.2015] R&D Projects: GA MŠk LG14002 EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : tungsten sputtering * edge localized mode * magnetic confinement fusion * ITER * H-mode * ELMs * Langmuir Probes (LP) Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: 1.3 Physical sciences Impact factor: 1.280, year: 2016 http://iopscience.iop.org/issue/1402-4896/2016/T167

  20. Properties of deposited layer formed by interaction with Be seeded D–He mixture plasma and tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Tokunaga, K., E-mail: tokunaga@riam.kyushu-u.ac.jp [Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Baldwin, M.J.; Nishijima, D.; Doerner, R.P. [Center for Energy Research, University of California at San Diego, 9500 Gilman Drive, La Jolla, CA 92093-0417 (United States); Nagata, S. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Tsuchiya, B. [Department of General Education, Faculty of Science and Technology, Meiji University, 1-501 Shiogamaguchi, Tempaku-ku, Nagoya, 468-8502 (Japan); Kurishita, H. [International Research Center for Nuclear Materials Science, IMR, Tohoku University, Oarai, Ibaraki 311-1313 (Japan); Fujiwara, T.; Araki, K.; Miyamoto, Y. [Research Institute for Applied Mechanics, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Ohno, N. [School of Engineering, Nagoya University, Nagoya 464-8603 (Japan); Ueda, Y. [Graduate School of Engineering, Osaka University, Suita, Osaka 565-0871 (Japan)

    2013-11-15

    Be-seeded, high-flux, deuterium/helium mixture plasma exposure experiments on tungsten target materials have been performed to simulate ITER all tungsten divertor erosion/modification and deposition phenomena. The exposure conditions are kept fixed at a typical low-ion-energy of 60 eV and a flux of 3–6 × 10{sup 22}/m{sup 2}/s. Sample temperature is 1123 K and plasma exposure times spanning 1050–10,100 s are explored. The typical ratio of He/D ions is 0.2 and Be content is 0.2%. A He-induced nanostructure layer is formed on the exposure surfaces of tungsten materials and the surface of the nanostructure is covered by a thin layer of Be and O. A fraction of the re-eroded Be from the target is deposited on a glassy carbon plate with line of sight to the tungsten target. Rutherford backscattering spectrometry analyses show that the Be redeposit layer is in the form of laminae. Small amounts of Mo, W and C are also found in the redeposited Be layer. Elastic recoil detection analyses show that D, He and H are also included in the redeposited Be layer.

  1. Use of EPICS and Python technology for the development of a computational toolkit for high heat flux testing of plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Sugandhi, Ritesh, E-mail: ritesh@ipr.res.in; Swamy, Rajamannar, E-mail: rajamannar@ipr.res.in; Khirwadkar, Samir, E-mail: sameer@ipr.res.in

    2016-11-15

    Highlights: • An integrated approach to software development for computational processing and experimental control. • Use of open source, cross platform, robust and advanced tools for computational code development. • Prediction of optimized process parameters for critical heat flux model. • Virtual experimentation for high heat flux testing of plasma facing components. - Abstract: The high heat flux testing and characterization of the divertor and first wall components are a challenging engineering problem of a tokamak. These components are subject to steady state and transient heat load of high magnitude. Therefore, the accurate prediction and control of the cooling parameters is crucial to prevent burnout. The prediction of the cooling parameters is based on the numerical solution of the critical heat flux (CHF) model. In a test facility for high heat flux testing of plasma facing components (PFC), the integration of computations and experimental control is an essential requirement. Experimental physics and industrial control system (EPICS) provides powerful tools for steering controls, data simulation, hardware interfacing and wider usability. Python provides an open source alternative for numerical computations and scripting. We have integrated these two open source technologies to develop a graphical software for a typical high heat flux experiment. The implementation uses EPICS based tools namely IOC (I/O controller) server, control system studio (CSS) and Python based tools namely Numpy, Scipy, Matplotlib and NOSE. EPICS and Python are integrated using PyEpics library. This toolkit is currently under operation at high heat flux test facility at Institute for Plasma Research (IPR) and is also useful for the experimental labs working in the similar research areas. The paper reports the software architectural design, implementation tools and rationale for their selection, test and validation.

  2. Use of EPICS and Python technology for the development of a computational toolkit for high heat flux testing of plasma facing components

    International Nuclear Information System (INIS)

    Sugandhi, Ritesh; Swamy, Rajamannar; Khirwadkar, Samir

    2016-01-01

    Highlights: • An integrated approach to software development for computational processing and experimental control. • Use of open source, cross platform, robust and advanced tools for computational code development. • Prediction of optimized process parameters for critical heat flux model. • Virtual experimentation for high heat flux testing of plasma facing components. - Abstract: The high heat flux testing and characterization of the divertor and first wall components are a challenging engineering problem of a tokamak. These components are subject to steady state and transient heat load of high magnitude. Therefore, the accurate prediction and control of the cooling parameters is crucial to prevent burnout. The prediction of the cooling parameters is based on the numerical solution of the critical heat flux (CHF) model. In a test facility for high heat flux testing of plasma facing components (PFC), the integration of computations and experimental control is an essential requirement. Experimental physics and industrial control system (EPICS) provides powerful tools for steering controls, data simulation, hardware interfacing and wider usability. Python provides an open source alternative for numerical computations and scripting. We have integrated these two open source technologies to develop a graphical software for a typical high heat flux experiment. The implementation uses EPICS based tools namely IOC (I/O controller) server, control system studio (CSS) and Python based tools namely Numpy, Scipy, Matplotlib and NOSE. EPICS and Python are integrated using PyEpics library. This toolkit is currently under operation at high heat flux test facility at Institute for Plasma Research (IPR) and is also useful for the experimental labs working in the similar research areas. The paper reports the software architectural design, implementation tools and rationale for their selection, test and validation.

  3. Tungsten oxide thin film exposed to low energy D and He plasma: evidence for a thermal enhancement of the erosion yield

    Science.gov (United States)

    Hijazi, Hussein; Martin, C.; Roubin, P.; Addab, Y.; Cabie, C.; Pardanaud, C.; Bannister, M.; Meyer, F.

    2017-10-01

    Nanocrystalline tungsten oxide thin films (25 nm - 250 nm thickness) produced by thermal oxidation of a tungsten substrate were exposed to low energy D and He plasma. Low energy D plasma exposure (11 eV/D+) of these films have resulted in the formation of a tungsten bronze (DxWO3) clearly observed by Raman microscopy. D plasma bombardment (4 1021 m-2) has also induced a color change of the oxide layer which is similar to the well-known electro-chromic effect and has been named ``plasma-chromic effect''. To unravel physical and chemical origins of the modifications observed under exposure, similar tungsten oxide films were also exposed to low energy helium plasma (20 eV/He+) . Due to the low fluence (4 1021 m-2) and low ion energy (20 eV), at room temperature, He exposure has induced only very few morphological and structural modifications. On the contrary, at 673 K, significant erosion is observed, which gives evidence for an unexpected thermal enhancement of the erosion yield. We present here new results concerning He beam exposures at low fluence (4 1021 m-2) varying the He+ energy from 20 eV to 320 eV to measure the tungsten oxide sputtering threshold energy. Detailed analyses before/after exposure to describe the D and He interaction with the oxide layer, its erosion and structural modification at the atomic and micrometer scale will be presented.

  4. Exposures of tungsten nanostructures to divertor plasmas in DIII-D

    International Nuclear Information System (INIS)

    Rudakov, D L; Doerner, R P; Baldwin, M J; Boedo, J A; Hollmann, E M; Moyer, R A; Wong, C P C; Chrobak, C P; Guo, H Y; Leonard, A W; Pace, D C; Thomas, D M; Wright, G M; Abrams, T; Briesemeister, A R; McLean, A G; Fenstermacher, M E; Lasnier, C J; Watkins, J G

    2016-01-01

    Tungsten nanostructures (W-fuzz) prepared in the PISCES-A linear device have been found to survive direct exposure to divertor plasmas in DIII-D. W-fuzz was exposed in the lower divertor of DIII-D using the divertor material evaluation system. Two samples were exposed in lower single null (LSN) deuterium H-mode plasmas. The first sample was exposed in three discharges terminated by vertical displacement event disruptions, and the second in two discharges near the lowered X-point. More recently, three samples were exposed near the lower outer strike point in predominantly helium H-mode LSN plasmas. In all cases, the W-fuzz survived plasma exposure with little obvious damage except in the areas where unipolar arcing occurred. Arcing is effective in W-fuzz removal, and it appears that surfaces covered with W-fuzz can be more prone to arcing than smooth W surfaces. (paper)

  5. Hydrogen Release From 800-MeV Proton-Irradiated Tungsten

    International Nuclear Information System (INIS)

    Oliver, Brian M.; Venhaus, Thomas J.; Causey, Rion A.; Garner, Francis A.; Maloy, Stuart A.

    2002-01-01

    Tungsten irradiated in spallation neutron sources such as those proposed for the Accelerator Production of Tritium (APT) project, or in proposed fusion reactors, will contain large quantities of generated helium and hydrogen gas. In the APT, spallation neutrons would be generated by the interaction of high energy (∼1 GeV) protons with solid tungsten rods or cylinders. In fusion reactors, tungsten used in a tokamak diverter will contain hydrogen, as well as deuterium and tritium diffusing in from the plasma-facing surface. The release kinetics of these gases during various off-normal scenarios involving loss of coolant and afterheat-induced rises in temperature is of particular interest for both applications. To determine the release kinetics of hydrogen from tungsten, tungsten rods irradiated with 800 MeV protons in the Los Alamos Neutron Science Center (LANCE) to high exposures as part of the APT project have been examined. Hydrogen evolution from the tungsten was measured using a dedicated mass spectrometer system by subjecting the specimens to an essentially linear temperature ramp from ∼323 K to ∼1473 K. Release profiles are compared with predictions obtained using the Tritium Migration Analysis Program (TMAP4). Input parameters for the modeling, consisting of diffusivity, recombination rate coefficient, and trapping, are discussed. The measurements show that for high proton doses, the majority of the hydrogen is released gradually, starting at about 900 K and reaching a maximum at about 1400 K, where it drops fairly rapidly. Comparisons with TMAP show reasonable agreement at high proton dose using a trap value of 1.4 eV and a trap density of 3%. There is also a small release fraction occurring at ∼600 K which predominates at lower proton doses, and which is relatively independent of dose. This lower-temperature release is predicted by TMAP if no traps are assumed, suggesting that this release may represent an adsorbed surface component

  6. Interaction of candidate plasma facing materials with tokamak plasma in COMPASS

    Czech Academy of Sciences Publication Activity Database

    Matějíček, Jiří; Weinzettl, Vladimír; Macková, Anna; Malinský, Petr; Havránek, Vladimír; Naydenkova, Diana; Klevarová, Veronika; Petersson, P.; Gasior, P.; Hakola, A.; Rubel, M.; Fortuna, E.; Kolehmainen, J.; Tervakangas, S.

    2017-01-01

    Roč. 493, September (2017), s. 102-119 ISSN 0022-3115. [International Conference on Plasma-Facing Materials and Components for Fusion Applications/15./. Aix-en-Provence, 18.05.2015-22.05.2015] R&D Projects: GA ČR(CZ) GA14-12837S; GA ČR(CZ) GA15-10723S; GA MŠk(CZ) LM2015045; GA MŠk LM2015056 Institutional support: RVO:61389021 ; RVO:61389005 Keywords : erosion * COMPASS tokamak * plasma-material interaction * ion beam analysis Subject RIV: JF - Nuclear Energetics; JF - Nuclear Energetics (UJF-V) OBOR OECD: Nuclear related engineering ; Nuclear related engineering (UJF-V) Impact factor: 2.048, year: 2016 http://www.sciencedirect.com/science/ article /pii/S0022311517301708

  7. New electron beam facility for irradiated plasma facing materials testing in hot cell

    International Nuclear Information System (INIS)

    Sakamoto, N.; Kawamura, H.; Akiba, M.

    1995-01-01

    Since plasma facing components such as the first wall and the divertor for the next step fusion reactors are exposed to high heat loads and high energy neutron flux generated by the plasma, it is urgent to develop of plasma facing components which can resist these. Then, we have established electron beam heat facility (open-quotes OHBISclose quotes, Oarai Hot-cell electron Beam Irradiating System) at a hot cell in JMTR (Japan Materials Testing Reactor) hot laboratory in order to estimate thermal shock resistivity of plasma facing materials and heat removal capabilities of divertor elements under steady state heating. In this facility, irradiated plasma facing materials (beryllium, carbon based materials and so on) and divertor elements can be treated. This facility consists of an electron beam unit with the maximum beam power of 50kW and the vacuum vessel. The acceleration voltage and the maximum beam current are 30kV (constant) and 1.7A, respectively. The loading time of electron beam is more than 0.1ms. The shape of vacuum vessel is cylindrical, and the mainly dimensions are 500mm in inner diameter, 1000mm in height. The ultimate vacuum of this vessel is 1 x 10 -4 Pa. At present, the facility for thermal shock test has been established in a hot cell. And performance estimation on the electron beam is being conducted. Presently, the devices for heat loading tests under steady state will be added to this facility

  8. New electron beam facility for irradiated plasma facing materials testing in hot cell

    International Nuclear Information System (INIS)

    Shimakawa, S.; Akiba, M.; Kawamura, H.

    1996-01-01

    Since plasma facing components such as the first wall and the divertor for the next step fusion reactors are exposed to high heat loads and high energy neutron flux generated by the plasma, it is urgent to develop plasma facing components which can resist these. We have established electron beam heat facility ('OHBIS', Oarai hot-cell electron beam irradiating system) at a hot cell in JMTR (Japan materials testing reactor) hot laboratory in order to estimate thermal shock resistivity of plasma facing materials and heat removal capabilities of divertor elements under steady state heating. In this facility, irradiated plasma facing materials (beryllium, carbon based materials and so on) and divertor elements can be treated. This facility consists of an electron beam unit with the maximum beam power of 50 kW and the vacuum vessel. The acceleration voltage and the maximum beam current are 30 kV (constant) and 1.7 A, respectively. The loading time of the electron beam is more than 0.1 ms. The shape of vacuum vessel is cylindrical, and the main dimensions are 500 mm in inside diameter, 1000 mm in height. The ultimate vacuum of this vessel is 1 x 10 -4 Pa. At present, the facility for the thermal shock test has been established in a hot cell. The performance of the electron beam is being evaluated at this time. In the future, the equipment for conducting static heat loadings will be incorporated into the facility. (orig.)

  9. Characterization of a segmented plasma torch assisted High Heat Flux (HHF) system for performance evaluation of plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Ngangom, Aomoa; Sarmah, Trinayan; Sah, Puspa; Kakati, Mayur; Ghosh, Joydeep

    2015-01-01

    A wide variety of high heat and particle flux test facilities are being used by the fusion community to evaluate the thermal performance of plasma facing materials/components, which includes electron beam, ion beam, neutral beam and thermal plasma assisted sources. In addition to simulate heat loads, plasma sources have the additional advantage of reproducing exact fusion plasma like conditions, in terms of plasma density, temperature and particle flux. At CPP-IPR, Assam, we have developed a high heat and particle flux facility using a DC, non-transferred, segmented thermal plasma torch system, which can produce a constricted, stabilized plasma jet with high ion density. In this system, the plasma torch exhausts into a low pressure chamber containing the materials to be irradiated, which produces an expanded plasma jet with more uniform profiles, compared to plasma torches operated at atmospheric pressure. The heat flux of the plasma beam was studied by using circular calorimeters of different diameters (2 and 3 cm) for different input power (5-55 kW). The effect of the change in gas (argon) flow rate and mixing of gases (argon + hydrogen) was also studied. The heat profile of the plasma beam was also studied by using a pipe calorimeter. From this, the radial heat flux was calculated by using Abel inversion. It is seen that the required heat flux of 10 MW/m 2 is achievable in our system for pure argon plasma as well as for plasma with gas mixtures. The plasma parameters like the temperature, density and the beam velocity were studied by using optical emission spectroscopy. For this, a McPherson made 1.33 meter focal length spectrometer; model number 209, was used. A plane grating with 1800 g/mm was used which gave a spectral resolution of 0.007 nm. A detailed characterization with respect to these plasma parameters for different gas (argon) flow rate and mixing of gases (argon+hydrogen) for different input power will be presented in this paper. The plasma

  10. Observation of reduction of secondary electron emission from helium ion impact due to plasma-generated nanostructured tungsten fuzz

    International Nuclear Information System (INIS)

    Hollmann, E M; Doerner, R P; Nishijima, D; Pigarov, A Yu

    2017-01-01

    Growth of nanostructured fuzz on a tungsten target in a helium plasma is found to cause a significant (∼3×) reduction in ion impact secondary electron emission in a linear plasma device. The ion impact secondary electron emission is separated from the electron impact secondary electron emission by varying the target bias voltage and fitting to expected contributions from electron impact, both thermal and non-thermal; with the non-thermal electron contribution being modeled using Monte-Carlo simulations. The observed (∼3×) reduction is similar in magnitude to the (∼2×) reduction observed in previous work for the effect of tungsten fuzz formation on secondary electron emission due to electron impact. It is hypothesized that the observed reduction results from re-absorption of secondary electrons in the tungsten fuzz. (paper)

  11. Damage to Preheated Tungsten Targets after Multiple Plasma Impacts Simulating ITER ELMs

    Energy Technology Data Exchange (ETDEWEB)

    Garkusha, I.E.; Bandura, A.N.; Byrka, O.V.; Chebotarev, V.V.; Makhlay, V.A.; Tereshin, V.I. [Kharkov Inst. of Physics and Technology, Inst. of Plasma Physics of National Science Center, Akademicheskaya street, 1, 61108 Kharkov (Ukraine); Landman, I.; Pestchanyi, S. [FZK-Forschungszentrum Karlsruhe, Association Euratom-FZK, Technik und Umwelt, Postfach 3640, D-7602 1 Karlsruhe (Germany)

    2007-07-01

    Full text of publication follows: The energy loads onto ITER divertor surfaces associated with the Type I ELMs are expected to be up to 1 MJ/m{sup 2} during 0.1-0.5 ms, with the number of pulses about 103 per discharge. Tungsten is a candidate material for major part of the surface, but its brittleness can result in substantial macroscopic erosion after the repetitive heat loads. To minimize the brittle destruction, tungsten may be preheated above the ductile-to-brittle transition temperature. In this work the behavior of preheated tungsten targets under repetitive ELM-like plasma pulses is studied in simulation experiments with the quasi-stationary plasma accelerator QSPA Kh-50. The targets have been exposed up to 450 pulses of the duration 0.25 ms and the heat loads either 0.45 MJ/m{sup 2} or 0.75 MJ/m{sup 2}, which is respectively below and above the melting threshold. During the exposures the targets were permanently kept preheated at 650 deg. C by a heater at target backside. In the course of exposures the irradiated surfaces were examined after regular numbers of pulses using the SEM and the optical microscopy. The profilometry, XRD, microhardness and weight loss measurements have been performed, as well as comparisons of surface damages after the heat loads both below and above the melting threshold. It is obtained that macro-cracks do not develop on the preheated surface. After the impacts with surface melting, a fine mesh of intergranular microcracks has appeared. The width of fine intergranular cracks grows with pulse number, achieving 1-1.5 microns after 100 pulses, and after 210 pulses the crack width increases up to 20 microns, which is comparable with grain sizes. Threshold changes in surface morphology resulting in corrugation structures and pits on the surface as well as importance of surface tension in resulted 'micro-brush' structures are discussed. Further evolution of the surface pattern is caused by loss of separated grains on exposed

  12. High heat flux actively cooled plasma facing components development, realization and first results in Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Grosman, A. [Association Euratom-CEA, Centre d' Etudes de Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. de Recherches sur la Fusion Controlee

    2004-07-01

    The development, design, manufacture and testing of actively cooled high heat flux plasma facing components (PFC) has been an essential stage towards long powerful tokamak operations for Tore-Supra, it lasted about 10 years. This paper deals with the toroidal pumped limiter (TPL) that is able to sustain up to 10 MW/m{sup 2} of nominal heat flux. This device is based on hardened copper alloy heat sink structures covered by a carbon fiber composite armour, it resulted in the manufacturing of 600 elementary components, called finger elements, to achieve the 7.6 m{sup 2} TPL. This assembly has been operating in Tore-Supra since spring 2002. Some difficulties occurred during the manufacturing phase, the valuable industrial experience is summarized in the section 2. The permanent monitoring of PFC surface temperature all along the discharge is performed by a set of 6 actively cooled infrared endoscopes. The heat flux monitoring and control issue but also the progress made in our understanding of the deuterium retention in long discharges are described in the section 3. (A.C.)

  13. High heat flux actively cooled plasma facing components development, realization and first results in Tore Supra

    International Nuclear Information System (INIS)

    Grosman, A.

    2004-01-01

    The development, design, manufacture and testing of actively cooled high heat flux plasma facing components (PFC) has been an essential stage towards long powerful tokamak operations for Tore-Supra, it lasted about 10 years. This paper deals with the toroidal pumped limiter (TPL) that is able to sustain up to 10 MW/m 2 of nominal heat flux. This device is based on hardened copper alloy heat sink structures covered by a carbon fiber composite armour, it resulted in the manufacturing of 600 elementary components, called finger elements, to achieve the 7.6 m 2 TPL. This assembly has been operating in Tore-Supra since spring 2002. Some difficulties occurred during the manufacturing phase, the valuable industrial experience is summarized in the section 2. The permanent monitoring of PFC surface temperature all along the discharge is performed by a set of 6 actively cooled infrared endoscopes. The heat flux monitoring and control issue but also the progress made in our understanding of the deuterium retention in long discharges are described in the section 3. (A.C.)

  14. Determination of elastic modulus and residual stress of plasma-sprayed tungsten coating on steel substrate

    Science.gov (United States)

    You, J. H.; Höschen, T.; Lindig, S.

    2006-01-01

    Plasma-sprayed tungsten, which is a candidate material for the first wall armour, shows a porous, heterogeneous microstructure. Due to its characteristic morphology, the properties are significantly different from those of its dense bulk material. Measurements of the elastic modulus of this coating have not been reported in the literature. In this work Young's modulus of highly porous plasma-sprayed tungsten coatings deposited on steel (F82H) substrates was measured. For the fabrication of the coating system the vacuum plasma-spray process was applied. Measurements were performed by means of three-point and four-point bending tests. The obtained modulus values ranged from 53 to 57 GPa. These values could be confirmed by the test result of a detached coating strip, which was 54 GPa. The applied methods produced consistent results regardless of testing configurations and specimen sizes. The errors were less than 1%. Residual stress of the coating was also estimated.

  15. Determination of elastic modulus and residual stress of plasma-sprayed tungsten coating on steel substrate

    International Nuclear Information System (INIS)

    You, J.H.; Hoeschen, T.; Lindig, S.

    2006-01-01

    Plasma-sprayed tungsten, which is a candidate material for the first wall armour, shows a porous, heterogeneous microstructure. Due to its characteristic morphology, the properties are significantly different from those of its dense bulk material. Measurements of the elastic modulus of this coating have not been reported in the literature. In this work Young's modulus of highly porous plasma-sprayed tungsten coatings deposited on steel (F82H) substrates was measured. For the fabrication of the coating system the vacuum plasma-spray process was applied. Measurements were performed by means of three-point and four-point bending tests. The obtained modulus values ranged from 53 to 57 GPa. These values could be confirmed by the test result of a detached coating strip, which was 54 GPa. The applied methods produced consistent results regardless of testing configurations and specimen sizes. The errors were less than 1%. Residual stress of the coating was also estimated

  16. Effects of fibre-form nanostructures on particle emissions from a tungsten surface in plasmas

    International Nuclear Information System (INIS)

    Takamura, S.; Miyamoto, T.; Ohno, N.

    2012-01-01

    The effects of fibre-form nanostructure of a tungsten surface on both electron emission and sputtering in helium/argon plasmas are represented. Generally, a nano-fibre forest, the so-called ‘fuzz’, made of tungsten with helium gas inside is found to have the tendency of suppressing the particle emission substantially. The electron emission comes from the impact of high-energy primary electrons. In addition, a deeply biased tungsten target, which inhibits the influx of even energetic primary electrons, seems to produce an electron emission, and it may be suppressed on the way to nanostructure formation on the surface of the W target. Such an emission process is discussed here. The sputtering yield of the He-damaged tungsten surface with the fibre-form nanostructure depends on the surface morphology while the sputtering itself changes the surface morphology, so that the time evolutions of sputtering yield from the W surface with an originally well-developed nanostructure are found to show a minimum in sputtering yield, which is about a half for the fresh nanostructured tungsten and roughly one-fifth of the yield for the original flat normal tungsten surface. The surface morphology at that time is, for the first time, made clear with field emission scanning electron microscopy observation. The physical mechanism for the appearance of such a minimum in sputtering yield is discussed. (paper)

  17. Mechanical properties of tungsten following rhenium ion and helium plasma exposure

    Directory of Open Access Journals (Sweden)

    C.S. Corr

    2017-08-01

    Full Text Available Mechanical properties of Tungsten (W samples irradiated with 2 MeV Rhenium (Re ions and helium (He plasma were investigated using nanoindentation. It was found that there was an increase in hardness for all samples following separate irradiation with both Re ion and He plasma. A slight increase in hardness was obtained for combined exposures. A comparable increase in hardness was observed for a pure He plasma with a sample temperature of 473 K and 1273 K. Optical interferometry was employed to compare surface modification of the samples. Grazing incidence small angle x-ray scattering confirmed He nano-bubble formation of approximately 1 nm diameter in the higher temperature sample, which was not observed with samples at the lower temperatures.

  18. Chemically produced nanostructured ODS-lanthanum oxide-tungsten composites sintered by spark plasma

    International Nuclear Information System (INIS)

    Yar, Mazher Ahmed; Wahlberg, Sverker; Bergqvist, Hans; Salem, Hanadi G.; Johnsson, Mats; Muhammed, Mamoun

    2011-01-01

    High purity W and W-0.9La 2 O 3 (wt.%) nanopowders were produced by a wet chemical route. The precursor was prepared by the reaction of ammonium paratungstate (APT) with lanthanum salt in aqueous solutions. High resolution electron microscopy investigations revealed that the tungstate particles were coated with oxide precipitates. The precursor powder was reduced to tungsten metal with dispersed lanthanum oxide. Powders were consolidated by spark plasma sintering (SPS) at 1300 and 1400 o C to suppress grain growth during sintering. The final grain size relates to the SPS conditions, i.e. temperature and heating rate, regardless of the starting powder particle size. Scanning electron microscopy revealed that oxide phases were mainly accumulated at grain boundaries while the tungsten matrix constituted of nanosized sub-grains. The transmission electron microscopy revealed that the tungsten grains consist of micron-scale grains and finer sub-grains. EDX analysis confirmed the presence of W in dispersed oxide phases with varying chemical composition, which evidenced the presence of complex oxide phases (W-O-La) in the sintered metals.

  19. Electromagnetic and structural analyses of the vacuum vessel and plasma facing components for EAST

    International Nuclear Information System (INIS)

    Xu, Weiwei; Liu, Xufeng; Song, Yuntao; Li, Jun; Lu, Mingxuan

    2013-01-01

    Highlights: • The electromagnetic and structural responses of VV and PFCs for EAST are analyzed. • A detailed finite element model of the VV including PFCs is established. • The two most dangerous scenarios, major disruptions and downward VDEs are considered. • The distribution patterns of eddy currents, EMFs and torques on PFCs are analyzed. -- Abstract: During plasma disruptions, time-varying eddy currents are induced in the vacuum vessel (VV) and Plasma Facing Components (PFCs) of EAST. Additionally, halo currents flow partly through these structures during the vertical displacement events (VDEs). Under the high magnetic field circumstances, the resulting electromagnetic forces (EMFs) and torques are large. In this paper, eddy currents and EMFs on EAST VV, PFCs and their supports are calculated by analytical and numerical methods. ANSYS software is employed to evaluate eddy currents on VV, PFCs and their structural responses. To learn the electromagnetic and structural response of the whole structure more accurately, a detailed finite element model is established. The two most dangerous scenarios, major disruptions and downward VDEs, are examined. It is found that distribution patterns of eddy currents for various PFCs differ greatly, therefore resulting in different EMFs and torques. It can be seen that for certain PFCs the transient reaction force are severe. Results obtained here may set up a preliminary foundation for the future dynamic response research of EAST VV and PFCs which will provide a theoretical basis for the future engineering design of tokamak devices

  20. Energy deposition and thermal effects of runaway electrons in ITER-FEAT plasma facing components

    International Nuclear Information System (INIS)

    Maddaluno, G.; Maruccia, G.; Merola, M.; Rollet, S.

    2003-01-01

    The profile of energy deposited by runaway electrons (RAEs) of 10 or 50 MeV in International Thermonuclear Experimental Reactor-Fusion Energy Advanced Tokamak (ITER-FEAT) plasma facing components (PFCs) and the subsequent temperature pattern have been calculated by using the Monte Carlo code FLUKA and the finite element heat conduction code ANSYS. The RAE energy deposition density was assumed to be 50 MJ/m 2 and both 10 and 100 ms deposition times were considered. Five different configurations of PFCs were investigated: primary first wall armoured with Be, with and without protecting CFC poloidal limiters, both port limiter first wall options (Be flat tile and CFC monoblock), divertor baffle first wall, armoured with W. The analysis has outlined that for all the configurations but one (port limiter with Be flat tile) the heat sink and the cooling tube beneath the armour are well protected for both RAE energies and for both energy deposition times. On the other hand large melting (W, Be) or sublimation (C) of the surface layer occurs, eventually affecting the PFCs lifetime

  1. Energy deposition and thermal effects of runaway electrons in ITER-FEAT plasma facing components

    Science.gov (United States)

    Maddaluno, G.; Maruccia, G.; Merola, M.; Rollet, S.

    2003-03-01

    The profile of energy deposited by runaway electrons (RAEs) of 10 or 50 MeV in International Thermonuclear Experimental Reactor-Fusion Energy Advanced Tokamak (ITER-FEAT) plasma facing components (PFCs) and the subsequent temperature pattern have been calculated by using the Monte Carlo code FLUKA and the finite element heat conduction code ANSYS. The RAE energy deposition density was assumed to be 50 MJ/m 2 and both 10 and 100 ms deposition times were considered. Five different configurations of PFCs were investigated: primary first wall armoured with Be, with and without protecting CFC poloidal limiters, both port limiter first wall options (Be flat tile and CFC monoblock), divertor baffle first wall, armoured with W. The analysis has outlined that for all the configurations but one (port limiter with Be flat tile) the heat sink and the cooling tube beneath the armour are well protected for both RAE energies and for both energy deposition times. On the other hand large melting (W, Be) or sublimation (C) of the surface layer occurs, eventually affecting the PFCs lifetime.

  2. Laser re-melting of tungsten damaged by transient heat loads

    Czech Academy of Sciences Publication Activity Database

    Loewenhoff, Th.; Linke, J.; Matějíček, Jiří; Rasinski, M.; Vostřák, M.; Wirtz, M.

    2016-01-01

    Roč. 9, December (2016), s. 165-170 ISSN 2352-1791. [International Conference of Fusion Reactor Material (ICFRM-17) /17./. Aachen, 11.10.2015-16.10.2015] R&D Projects: GA ČR(CZ) GA14-12837S Institutional support: RVO:61389021 Keywords : Plasma facing material * Laser surface remelting * Transient heat load * Tungsten Subject RIV: JG - Metallurgy http://dx.doi.org/10.1016/j.nme.2016.04.004

  3. High-purity tungsten powder: spheroidizing, properties and use in electronics

    International Nuclear Information System (INIS)

    Kapustin, V.I.; Burov, I.V.

    1999-01-01

    A study was made on the method of spheroidizing of tungsten powder in plasma of super high-frequency (SHF) discharge for formation of matrices, cathodes with regular porous structure. Kinetics of interphase interaction in the basic W-Y 2 O 3 cathode system was investigated. Possibility of using small additions of Re 2 Yintermetallic compound as an activator of emission-active component of cathodes was analyzed, High efficiency of plasma SHF-treatment with the use of laminar plasma flow is shown [ru

  4. Divertor tungsten tiles erosion in the region of the castellated gaps

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Wanpeng, E-mail: wangdez@dlut.edu.cn; Sang, Chaofeng; Sun, Zhenyue; Wang, Dezhen

    2016-11-01

    Highlights: • Simulation of the tungsten tiles erosion by different impurities in the divertor gap region is done by using a 2d3v Particle-In-Cell code. • High-Z impurity causes the largest erosion rate on W tile. • The peak physical sputtering erosion rate locates at the plasma-facing corners. - Abstract: Erosion of tungsten (W) is a very important issue for the future fusion device. The castellated divertor makes it more complicated due to complex geometry of the gap between the tiles. In this work, the plasma behaviors and resulting W tile erosion in the divertor tile gap region are studied by using a two dimension-in-space and three dimension-in-velocity (2d3 v) Particle-In-Cell (PIC) code. Deuterium ions (D{sup +}) and electrons are traced self-consistently in the simulation to provide the plasma background. Since there are lots of impurities, which may make a great impact on the tile erosion, in the divertor region to radiate the power, the erosion of W tile by different species are thus considered. The contributions of deuterium and impurities: Li, C, Ne, and Ar, to the W erosion, are studied under EAST conditions to show a straightforward insight. It is observed that the physical sputtering of W tile by impurities is much higher than that by the D ions, and the peak erosion region locates at the plasma-facing corners.

  5. Overview of the US-Japan collaborative investigation on hydrogen isotope retention in neutron-irradiated and ion-damaged tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Shimada, Masashi, E-mail: Masashi.Shimada@inl.gov [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID (United States); Hatano, Y. [Hydrogen Isotope Research Center, University of Toyama, Toyama (Japan); Oya, Y. [Radioscience Research Laboratory, Faculty of Science, Shizuoka University, Shizuoka (Japan); Oda, T. [Department of Nuclear Engineering and Management, The University of Tokyo, Tokyo (Japan); Hara, M. [Hydrogen Isotope Research Center, University of Toyama, Toyama (Japan); Cao, G. [Department of Engineering Physics, University of Wisconsin-Madison, Madison, WI (United States); Kobayashi, M. [Radioscience Research Laboratory, Faculty of Science, Shizuoka University, Shizuoka (Japan); Sokolov, M. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); Watanabe, H. [Research Institute for Applied Mechanics, Kyushu University, Fukuoka (Japan); Tyburska-Pueschel, B. [Department of Engineering Physics, University of Wisconsin-Madison, Madison, WI (United States); Institute fuer Plasmaphysik, EURATOM Association, Garching (Germany); Ueda, Y. [Graduate School of Engineering, Osaka University, Osaka (Japan); Calderoni, P. [Fusion Safety Program, Idaho National Laboratory, Idaho Falls, ID (United States); Okuno, K. [Radioscience Research Laboratory, Faculty of Science, Shizuoka University, Shizuoka (Japan)

    2012-08-15

    The effect of neutron-irradiation damage has been mainly simulated using high-energy ion bombardment. A recent MIT report (PSFC/RR-10-4, An assessment of the current data affecting tritium retention and its use to project towards T retention in ITER, Lipschultz et al., 2010) summarizes the observations from high-energy ion bombardment studies and illustrates the saturation trend in deuterium concentration due to damage from ion irradiation in tungsten and molybdenum above 1 displacement per atom (dpa). While this prior database of results is quite valuable for understanding the behavior of hydrogen isotopes in plasma facing components (PFCs), it does not encompass the full range of effects that must be considered in a practical fusion environment due to short penetration depth, damage gradient, high damage rate, and high primary knock-on atom (PKA) energy spectrum of the ion bombardment. In addition, neutrons change the elemental composition via transmutations, and create a high radiation environment inside PFCs, which influences the behavior of hydrogen isotope in PFCs, suggesting the utilization of fission reactors is necessary for neutron-irradiation. Under the framework of the US-Japan TITAN program, tungsten samples (99.99 at.% purity from A.L.M.T. Co.) were irradiated by fission neutrons in the High Flux Isotope Reactor (HFIR), Oak Ridge National Laboratory (ORNL), at 50 and 300 Degree-Sign C to 0.025, 0.3, and 2.4 dpa, and the investigation of deuterium retention in neutron-irradiated tungsten was performed in the Tritium Plasma Experiment (TPE), the unique high-flux linear plasma facility that can handle tritium, beryllium and activated materials. This paper reports the recent results from the comparison of ion-damaged tungsten via various ion species (2.8 MeV Fe{sup 2+}, 20 MeV W{sup 2+}, and 700 keV H{sup -}) with that from neutron-irradiated tungsten to identify the similarities and differences among them.

  6. Simulation of tokamak armour erosion and plasma contamination at intense transient heat fluxes in ITER

    Science.gov (United States)

    Landman, I. S.; Bazylev, B. N.; Garkusha, I. E.; Loarte, A.; Pestchanyi, S. E.; Safronov, V. M.

    2005-03-01

    For ITER, the potential material damage of plasma facing tungsten-, CFC-, or beryllium components during transient processes such as ELMs or mitigated disruptions are simulated numerically using the MHD code FOREV-2D and the melt motion code MEMOS-1.5D for a heat deposition in the range of 0.5-3 MJ/m 2 on the time scale of 0.1-1 ms. Such loads can cause significant evaporation at the target surface and a contamination of the SOL by the ions of evaporated material. Results are presented on carbon plasma dynamics in toroidal geometry and on radiation fluxes from the SOL carbon ions obtained with FOREV-2D. The validation of MEMOS-1.5D against the plasma gun tokamak simulators MK-200UG and QSPA-Kh50, based on the tungsten melting threshold, is described. Simulations with MEMOS-1.5D for a beryllium first wall that provide important details about the melt motion dynamics and typical features of the damage are reported.

  7. Plasma interaction with tungsten samples in the COMPASS tokamak in ohmic ELMy H-modes

    International Nuclear Information System (INIS)

    Dimitrova, M; Weinzettl, V; Matejicek, J; Dejarnac, R; Stöckel, J; Havlicek, J; Panek, R; Popov, Tsv; Marinov, S; Costea, S

    2016-01-01

    This paper reports experimental results on plasma interaction with tungsten samples with or without pre-grown He fuzz. Under the experimental conditions, arcing was observed on the fuzzy tungsten samples, resulting in localized melting of the fuzz structure that did not extend into the bulk. The parallel power flux densities were obtained from the data measured by Langmuir probes embedded in the divertor tiles on the COMPASS tokamak. Measurements of the current-voltage probe characteristics were performed during ohmic ELMy H-modes reached in deuterium plasmas at a toroidal magnetic field B T = 1.15 T, plasma current I p = 300 kA and line-averaged electron density n e = 5×10 19 m -3 . The data obtained between the ELMs were processed by the recently published first-derivative probe technique for precise determination of the plasma potential and the electron energy distribution function (EEDF). The spatial profile of the EEDF shows that at the high-field side it is Maxwellian with a temperature of 5 -- 10 eV. The electron temperatures and the ion-saturation current density obtained were used to evaluate the radial distribution of the parallel power flux density as being in the order of 0.05 -- 7 MW/m 2 . (paper)

  8. Data combination of infrared thermography images and lock-in thermography images for NDE of plasma facing components

    International Nuclear Information System (INIS)

    Moysan, J.; Gueudre, C.; Corneloup, G.; Durocher, A.

    2006-01-01

    A pioneering activity has been developed by CEA and the European industry in the field of actively cooled high heat flux plasma facing components (PFC) from the very beginning of Tore Supra project. These components have been developed in order to enable a large power exhaust capability. The goal of this study is to improve the Non Destructive Evaluation (NDE) of these components. The difficulty encountered is the evaluation of the junction between a carbon and a metallic substrate. This was even more difficult when complex designs have to be implemented. A first NDE solution was based on the so called SATIR test. The method is based on infrared measurements of tile surface temperatures during a thermal transient produced by hot/cold water flowing in the heat sink cooling channel. In order to improve the definition of acceptance rules for the PFCs, a second NDE method based on Lock-in Thermography is developed. In this work we present how we can combine the two resulting images in order to accept or to reject a component. This prospective study allows improving the experimental setup and the definition of acceptance criteria. The experimental study was conducted on trial components for the Wendelstein 7X stellarator. The conclusions will also influence future non destructive projects dedicated to the ITER project. (orig.)

  9. Response of plasma facing components in Tokamaks due to intense energy deposition using Particle-In-Cell (PIC) methods

    Science.gov (United States)

    Genco, Filippo

    Damage to plasma-facing components (PFC) due to various plasma instabilities is still a major concern for the successful development of fusion energy and represents a significant research obstacle in the community. It is of great importance to fully understand the behavior and lifetime expectancy of PFC under both low energy cycles during normal events and highly energetic events as disruptions, Edge-Localized Modes (ELM), Vertical Displacement Events (VDE), and Run-away electron (RE). The consequences of these high energetic dumps with energy fluxes ranging from 10 MJ/m2 up to 200 MJ/m 2 applied in very short periods (0.1 to 5 ms) can be catastrophic both for safety and economic reasons. Those phenomena can cause a) large temperature increase in the target material b) consequent melting, evaporation and erosion losses due to the extremely high heat fluxes c) possible structural damage and permanent degradation of the entire bulk material with probable burnout of the coolant tubes; d) plasma contamination, transport of target material into the chamber far from where it was originally picked. The modeling of off-normal events such as Disruptions and ELMs requires the simultaneous solution of three main problems along time: a) the heat transfer in the plasma facing component b) the interaction of the produced vapor from the surface with the incoming plasma particles c) the transport of the radiation produced in the vapor-plasma cloud. In addition the moving boundaries problem has to be considered and solved at the material surface. Considering the carbon divertor as target, the moving boundaries are two since for the given conditions, carbon doesn't melt: the plasma front and the moving eroded material surface. The current solution methods for this problem use finite differences and moving coordinates system based on the Crank-Nicholson method and Alternating Directions Implicit Method (ADI). Currently Particle-In-Cell (PIC) methods are widely used for solving

  10. Radiative capture of slow electrons by tungsten surface

    International Nuclear Information System (INIS)

    Artamonov, O.M.; Belkina, G.M.; Samarin, S.N.; Yakovlev, I.I.

    1987-01-01

    Isochromatic spectra of radiation capture of slow electrons by the surface of mono- and polycrystal tungsten recorded on 322 and 405 nm wave lengths are presented. The effect of oxygen adsorption on isochromates of the (110) face of tungsten monocrystal is investigated. The obtained isochromatic spectra are compared with energy band structure of tungsten. Based on the analysis of the obtained experimental results it is assumed that optical transition to the final state at the energy of 7.3 eV relatively to Fermi level is conditioned by surface states of the tungsten face (110)

  11. Numerical simulation of the internal stresses of thick tungsten coating deposited by vacuum plasma spraying on copper substrate

    International Nuclear Information System (INIS)

    Salito, A.; Tului, M.; Casadei, F.

    1998-01-01

    Several Divertor components in the new generation of nuclear fusion reactors need to be protected against ion sputtering. Particularly copper based (Cu) material is very sensitive to this sputtering process. A solution to overcome such component wear and plasma contamination is to protect the copper substrate with a thick tungsten (W) functional coating. The main difficulty to produce such components is the significant difference in the coating thermomechanical properties between W and Cu. The Vacuum Plasma Spraying coating process (VPS) is a very flexible new economical way to find a solution to the above problem. To optimise the adhesion and stress release properties between the Cu-alloy substrate and the W coating, it is possible to deposit an interlayer as a bond coat between both materials. The aim of this study is to determine the maximum of the residual stresses located between the Cu substrate and the W coating using finite element analysis. The results have been used to select different types of bond coat for the experimental development of thick W coating (>3 mm) on to mock-ups for the Divertor Channel of the ITER project. (author)

  12. Room and ultrahigh temperature structure-mechanical property relationships of tungsten alloys formed by field assisted sintering technique (FAST)

    Energy Technology Data Exchange (ETDEWEB)

    Browning, Paul N.; Alagic, Sven [Pennsylvania State University, Department of Materials Science and Engineering, State College, PA-16801 (United States); Pennsylvania State University, Applied Research Laboratory, State College, PA-16801 (United States); Kulkarni, Anil [Pennsylvania State University, Department of Nuclear and Mechanical Engineering, State College, PA-16801 (United States); Matson, Lawrence [Materials and Manufacturing Directorate, Wright Patterson Air Force Base, Dayton, OH (United States); Singh, Jogender, E-mail: jxs46@arl.psu.edu [Pennsylvania State University, Department of Materials Science and Engineering, State College, PA-16801 (United States); Pennsylvania State University, Applied Research Laboratory, State College, PA-16801 (United States)

    2016-09-30

    Tungsten based alloys have become of critical importance in a number of applications including plasma-facing materials in nuclear fusion reactors, rocket nozzles for aerospace applications, and in kinetic energy penetrators in the defense industry. Formation of components for these uses by powder metallurgical techniques has proven challenging, due to tungsten's relatively poor sinterability. Here we report the use of field assisted sintering technique (FAST) to produce high density, fine grain alloys with mechanical properties comparable or superior to that of components produced by conventional techniques. Alloys of pure tungsten, W-3 vol%TiC, W-5 vol%TiC, and W-10 vol%Ta were synthesized at 2100 °C, 35 MPa for 25 min using FAST. Microstructural characterization revealed effective reduction of grain size with TiC addition and preferential diffusion of oxygen into the center of tantalum particles in tantalum containing alloys. Tensile testing of alloys revealed TiC addition to W resulted in substantially improved ultimate tensile strength at the cost of ductility in comparison at temperatures up to 1926 °C (3500 °F) however this strengthening effect was lost at 2204 °C (4000 °F). Addition of 10 vol%Ta to W resulted in reduced hardness at room temperature, but substantially increased yield strength at the cost of slightly reduced ductility at 1926 °C and 2204 °C.

  13. Mixed plasma-facing materials research at INEEL

    International Nuclear Information System (INIS)

    Anderl, R.A.; Longhurst, G.R.; Pawelko, R.J.

    2001-01-01

    Mixed-materials research at the Idaho National Engineering and Environmental Laboratory (INEEL) has focused on Be-C and W-C systems. The purpose of this work was to investigate hydrogen isotope retention in these systems. Plasma-mixed material layers using carbon coated Be and W specimens that were heat-treated and tungsten carbide specimens prepared by chemical vapor deposition (CVD) were simulated. Hydrogen isotope retention was investigated by means of thermal desorption spectroscopy (TDS) measurements on deuterium implanted samples

  14. Micro/nano composited tungsten material and its high thermal loading behavior

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Jinglian, E-mail: fjl@csu.edu.cn; Han, Yong; Li, Pengfei; Sun, Zhiyu; Zhou, Qiang

    2014-12-15

    Tungsten (W) is considered as promising candidate material for plasma facing components (PFCs) in future fusion reactors attributing to its many excellent properties. Current commercial pure tungsten material in accordance with the ITER specification can well fulfil the performance requirements, however, it has defects such as coarse grains, high ductile–brittle transition temperature (DBTT) and relatively low recrystallization temperature compared with its using temperature, which cannot meet the harsh wall loading requirement of future fusion reactor. Grain refinement has been reported to be effective in improving the thermophysical and mechanical properties of W. In this work, rare earth oxide (Y{sub 2}O{sub 3}/La{sub 2}O{sub 3}) and carbides (TiC/ZrC) were used as dispersion phases to refine W grains, and micro/nano composite technology with a process of “sol gel – heterogeneous precipitation – spray drying – hydrogen reduction – ordinary consolidation sintering” was invented to introduce these second-phase particles uniformly dispersed into W grains and grain-boundaries. Via this technology, fine-grain W materials with near-full density and relatively high mechanical properties compared with traditional pure W material were manufactured. Preliminary transient high-heat flux tests were performed to evaluate the thermal response under plasma disruption conditions, and the results show that the W materials prepared by micro/nano composite technology can endure high-heat flux of 200 MW/m{sup 2} (5 ms)

  15. Nano powders, components and coatings by plasma technique

    Science.gov (United States)

    McKechnie, Timothy N. (Inventor); Antony, Leo V. M. (Inventor); O'Dell, Scott (Inventor); Power, Chris (Inventor); Tabor, Terry (Inventor)

    2009-01-01

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  16. Nano powders, components and coatings by plasma technique

    Science.gov (United States)

    McKechnie, Timothy N [Brownsboro, AL; Antony, Leo V. M. [Huntsville, AL; O'Dell, Scott [Arab, AL; Power, Chris [Guntersville, AL; Tabor, Terry [Huntsville, AL

    2009-11-10

    Ultra fine and nanometer powders and a method of producing same are provided, preferably refractory metal and ceramic nanopowders. When certain precursors are injected into the plasma flame in a reactor chamber, the materials are heated, melted and vaporized and the chemical reaction is induced in the vapor phase. The vapor phase is quenched rapidly to solid phase to yield the ultra pure, ultra fine and nano product. With this technique, powders have been made 20 nanometers in size in a system capable of a bulk production rate of more than 10 lbs/hr. The process is particularly applicable to tungsten, molybdenum, rhenium, tungsten carbide, molybdenum carbide and other related materials.

  17. Fabrication and characterization of tungsten and graphite based PFC for divertor target elements of ITER like tokamak application

    Energy Technology Data Exchange (ETDEWEB)

    Khirwadkar, S.S., E-mail: sameer@ipr.res.in [Institute For Plasma Research, Bhat, Gandhinagar, Gujarat (India); Singh, K.P.; Patil, Y.; Khan, M.S.; Buch, J.J.U.; Patel, Alpesh; Tripathi, Sudhir [Institute For Plasma Research, Bhat, Gandhinagar, Gujarat (India); Jaman, P.M.; Rangaraj, L.; Divakar, C. [Materials Science Division, National Aerospace Laboratories, CSIR, Bangalore, Karnataka (India)

    2011-10-15

    The development of the fabrication technology of macro-brush configuration of tungsten (W) and carbon (graphite and CFC) plasma facing components (PFCs) for ITER like tokamak application is presented. The fabrication of qualified joint of PFC is a requirement for fusion tokamak. Vacuum brazing method has been employed for joining of W/CuCrZr and C/CuCrZr. Oxygen free high conductivity (OFHC) copper casting on W tiles was performed followed by machining, polishing and ultrasonic cleaning of the samples prior to vacuum brazing. The W/CuCrZr and graphite/CuCrZr based test mockups were vacuum brazed using silver free alloys. The mechanical shear and tensile strengths were evaluated for the W/CuCrZr and graphite/CuCrZr brazed joint samples. The micro-structural examination of the joints showed smooth interface. The details of fabrication and characterization procedure for macro-brush tungsten and carbon based PFC test mockups are presented.

  18. Investigation of plasma interaction with carbon based and mixed materials related to next-generation fusion devices

    International Nuclear Information System (INIS)

    Guseva, M.I.; Martynenko, Yu.V.; Korshunov, S.N.

    2003-01-01

    Carbon-carbon composites, tungsten and beryllium are considered at present as candidate-materials for International Thermonuclear Experimental Reactor (ITER). The presence of various materials, as the divertor and the first wall components, will unavoidably result in the formation of mixed layers on the surfaces of plasma facing components. In this review, processes of plasma interaction with these materials and layers formed by mixing of the materials are considered. Mixed W-Be and W-C layers were prepared by deposition of two species atoms upon a substrate under simultaneous sputtering of two targets by 20 keV Ar + -ions. The thickness of the deposited mixed layers was 100-500 nm. The most important processes investigated here are: a) erosion at threshold energies and at various temperatures, b) erosion at plasma disruption, c) surface modification at normal operation regime and disruption, d) the influence of the surface modification on material erosion, e) erosion product formation at plasma disruption (dust creation), f) hydrogen isotopes retention in materials. An experimental method of determination of sputtering yield under ion bombardment in the near-threshold energy range has been developed. The method is based on the use of special regimes of field ion microscopic analysis. The method has been used for measurement of the sputtering yield of C-C composite, technically pure tungsten, tungsten oxide and mixed W-C layer on the tungsten by deuterium ions. The energy dependences of the sputtering yield of those materials by deuterium ions at energies ranging from 10 to 500 eV was investigated. Temperature dependences of pure and B-doped C-C composites erosion by deuterium ions were investigated. Material erosion was studied in a steady state plasma at the LENTA facility with parameters close to those expected at normal operation of ITER, and in the MKT plasma accelerator simulating plasma disruption. Surface modifications of graphite materials and tungsten

  19. Damage to tungsten macro-brush targets under multiple ELM-like heat loads. Experiments vs. numerical simulations and extrapolation to ITER

    Energy Technology Data Exchange (ETDEWEB)

    Bazylev, B.; Landman, I. [Forschungszentrum Karlsruhe (Germany). IHM; Janeschitz, G. [Forschungszentrum Karlsruhe (DE). Fusion EURATOM] (and others)

    2007-07-01

    Operation of ITER at high fusion gain is assumed to be the H-mode. A characteristic feature of this regime is the transient release of energy from the confined plasma onto PFCs by multiple ELMs (about 104 ELMs per ITER discharge), which can play a determining role in the erosion rate and lifetime of these components. The expected energy heat loads on the ITER divertor during Type I ELM are in range 0.5-4 MJ/m{sup 2} in timescales of 0.3-0.6 ms. Tungsten macro-brush armour (W-brushes) is foreseen as one of plasma facing components (PFC) for ITER divertor and dome. During the intense transient events in ITER the surface melting, melt motion, melt splashing and evaporation are seen as the main mechanisms of W erosion. The expected erosion of the ITER plasma facing components under transient energy loads can be properly estimated by numerical simulations validated against target erosion of the experiments at the plasma gun facility QSPA-T. Within the collaboration established between EU fusion programme and the Russian Federation, W-brush targets (produced either from pure tungsten or tungsten with 1% of La{sub 2}O{sub 3}) manufactured according to the EU specifications for the ITER divertor targets, have been exposed to multiple ITER ELM-like loads in plasma gun facilities at TRINITI in the range 0.5 - 2.2 MJ/m2 with pulse duration of 0.5 ms. The measured material erosion data have been used to validate the codes MEMOS and PHEMOBRID. Numerical simulations, including 3D-simulations (codes MEMOS and PHEMOBRID), carried out for the conditions of the QSPA-T experiments with heat loads in the range 0.5-2.2 MJ/m{sup 2} and the timescale 0.5 ms demonstrated a rather good agreement with the data obtained at the plasma gun facility QSPA: melting of brush edges at low heat loads, intense melt motion and bridge formation caused by the Rayleigh-Taylor instability at heat loads Q>1.3 MJ/m{sup 2}. The melt splashing generated by the Kelvin-Helmholtz, and Rayleigh

  20. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  1. Effect of rhenium addition on tungsten fuzz formation in helium plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Khan, Aneeqa, E-mail: aneeqa.khan-3@postgrad.manchester.ac.uk [School of Mechanical, Aerospace and Civil Engineering, The University of Manchester, M13 9PL (United Kingdom); De Temmerman, Gregory [ITER Organization, Route de Vinon-sur-Verdon, CS 90 046 - 13067 St Paul Lez Durance Cedex (France); Morgan, Thomas W. [FOM Institute DIFFER – Dutch Institute for Fundamental Energy Research, Partner in the Trilateral Euregio Cluster, Eindhoven (Netherlands); Ward, Michael B. [Institute for Materials Research, School of Chemical Process Engineering, University of Leeds, Leeds, LS2 9JT (United Kingdom)

    2016-06-15

    The effect of the addition of rhenium to tungsten on the formation of a nanostructure referred to as ‘fuzz’ when exposed to helium plasmas at fusion relevant ion fluxes was investigated in the Magnum and Pilot PSI devices at the FOM Institute DIFFER. The effect rhenium had on fuzz growth was seen to be dependent on time, temperature and flux. Initial fuzz growth was seen to be highly dependent on grain orientation, with rhenium having little effect. Once the fuzz was fully developed, the effect of grain orientation disappeared and the rhenium had an inhibiting effect on growth. This could be beneficial for inhibiting fuzz growth in a future fusion reactor, where transmutation of tungsten to rhenium is expected. It also appears that erosion or annealing of the fuzz is limiting growth of fuzz at higher temperatures in the range of ∼1340 °C.

  2. Effect of rhenium addition on tungsten fuzz formation in helium plasmas

    International Nuclear Information System (INIS)

    Khan, Aneeqa; De Temmerman, Gregory; Morgan, Thomas W.; Ward, Michael B.

    2016-01-01

    The effect of the addition of rhenium to tungsten on the formation of a nanostructure referred to as ‘fuzz’ when exposed to helium plasmas at fusion relevant ion fluxes was investigated in the Magnum and Pilot PSI devices at the FOM Institute DIFFER. The effect rhenium had on fuzz growth was seen to be dependent on time, temperature and flux. Initial fuzz growth was seen to be highly dependent on grain orientation, with rhenium having little effect. Once the fuzz was fully developed, the effect of grain orientation disappeared and the rhenium had an inhibiting effect on growth. This could be beneficial for inhibiting fuzz growth in a future fusion reactor, where transmutation of tungsten to rhenium is expected. It also appears that erosion or annealing of the fuzz is limiting growth of fuzz at higher temperatures in the range of ∼1340 °C.

  3. Recent advances in modeling and simulation of the exposure and response of tungsten to fusion energy conditions

    Energy Technology Data Exchange (ETDEWEB)

    Marian, Jaime; Becquart, Charlotte S.; Domain, Christophe; Dudarev, Sergei L.; Gilbert, Mark R.; Kurtz, Richard J.; Mason, Daniel R.; Nordlund, Kai; Sand, Andrea E.; Snead, Lance L.; Suzudo, Tomoaki; Wirth, Brian D.

    2017-06-09

    Under the anticipated operating conditions for demonstration magnetic fusion reactors beyond ITER, structural materials will be exposed to unprecedented conditions of irradiation, heat flux, and temperature. While such extreme environments remain inaccessible experimentally, computational modeling and simulation can provide qualitative and quantitative insights into materials response and complement the available experimental measurements with carefully validated predictions. For plasma facing components such as the first wall and the divertor, tungsten (W) has been selected as the best candidate material due to its superior high-temperature and irradiation properties. In this paper we provide a review of recent efforts in computational modeling of W both as a plasma-facing material exposed to He deposition as well as a bulk structural material subjected to fast neutron irradiation. We use a multiscale modeling approach –commonly used as the materials modeling paradigm– to define the outline of the paper and highlight recent advances using several classes of techniques and their interconnection. We highlight several of the most salient findings obtained via computational modeling and point out a number of remaining challenges and future research directions

  4. Modification of adhered dust on plasma-facing surfaces due to exposure to ELMy H-mode plasma in DIII-D

    Directory of Open Access Journals (Sweden)

    I. Bykov

    2017-08-01

    Full Text Available Transient heat load tests have been conducted in the lower divertor of DIII-D using DiMES manipulator in order to study the behavior of dust on tungsten Plasma Facing Components (PFCs during ELMy H-mode discharges. Samples with pre-adhered, pre-characterized dust have been exposed at the outer strike point (OSP in a series of discharges with varied intra-(inter- ELM heat fluxes. We used C dust because of its high sublimation temperature and non-metal properties. Al dust as a surrogate for Be and W dust were employed as relevant to that in the ITER divertor. The poor initial thermal contact between the substrate and the particles led to overheating, sublimation and shrinking of the carbon dust, and wetting induced coagulation of Al dust. Little modification of the W dust was observed. An enhanced surface adhesion and improvement of the thermal contact of C and Al dust were the result of exposure. A post mortem “adhesive tape” sampling showed that 70% of Al, <5% of W and C particles could not be removed from the surface owing to the improved adhesion. Al and C but not W particles that could be lifted had W inclusions indicating damage to the substrate. This suggests that non destructive methods may be inefficient for removal of dust in ITER.

  5. Electron work function of stepped tungsten surfaces

    International Nuclear Information System (INIS)

    Krahl-Urban, B.

    1976-03-01

    The electron work function of tungsten (110) vicinal faces was measured with the aid of thermionic emission, and its dependence on the crystallographic orientation and the surface structure was investigated. The thermionic measurements were evaluated with the aid of the Richardson plot. The real temperature of the emitting tungsten faces was determined with an accuracy of +- 0.5% in the range between 2,200 and 2,800 K. The vicinal faces under investigation have been prepared with an orientation exactness of +- 15'. In the tungsten (110) vicinal faces under investigation, a strong dependence of the temperature coefficient d PHI/dT of the work function on the crystallographic orientation was found. A strong influence of the edge structure as well as of the step density on the temperature coefficient was observed. (orig./HPOE) [de

  6. OEDGE modeling for the planned tungsten ring experiment on DIII-D

    Directory of Open Access Journals (Sweden)

    J.D. Elder

    2017-08-01

    Full Text Available The OEDGE code is used to model tungsten erosion and transport for experiments with toroidal rings of high-Z metal tiles in the DIII-D tokamak. Such modeling is needed for both experimental and diagnostic design to have estimates of the expected core and edge tungsten density and to understand the various factors contributing to the uncertainties in these calculations. OEDGE simulations are performed using the planned experimental magnetic geometries and plasma conditions typical of both L-mode and inter-ELM H-mode discharges in DIII-D. OEDGE plasma reconstruction based on specific representative discharges for similar geometries is used to determine the plasma conditions applied to tungsten plasma impurity simulations. A new model for tungsten erosion in OEDGE was developed which imports charge-state resolved carbon impurity fluxes and impact energies from a separate OEDGE run which models the carbon production, transport and deposition for the same plasma conditions as the tungsten simulations. These values are then used to calculate the gross tungsten physical sputtering due to carbon plasma impurities which is then added to any sputtering by deuterium ions; tungsten self-sputtering is also included. The code results are found to be dependent on the following factors: divertor geometry and closure, the choice of cross-field anomalous transport coefficients, divertor plasma conditions (affecting both tungsten source strength and transport, the choice of tungsten atomic physics data used in the model (in particular ionization rate for W-atoms, and the model of the carbon flux and energy used for calculating the tungsten source due to sputtering. Core tungsten density is found to be of order 1015m−3 (excluding effects of any core transport barrier and with significant variability depending on the other factors mentioned with density decaying into the scrape off layer. For the typical core density in the plasma conditions examined of 2 to 4

  7. The dynamical mechanical properties of tungsten under compression at working temperature range of divertors

    International Nuclear Information System (INIS)

    Zhu, C.C.; Song, Y.T.; Peng, X.B.; Wei, Y.P.; Mao, X.; Li, W.X.; Qian, X.Y.

    2016-01-01

    In the divertor structure of ITER and EAST with mono-block module, tungsten plays not only a role of armor material but also a role of structural material, because electromagnetic (EM) impact will be exerted on tungsten components in VDEs or CQ. The EM loads can reach to 100 MN, which would cause high strain rates. In addition, directly exposed to high-temperature plasma, the temperature regime of divertor components is complex. Aiming at studying dynamical response of tungsten divertors under EM loads, an experiment on tungsten employed in EAST divertors was performed using a Kolsky bar system. The testing strain rates and temperatures is derived from actual working conditions, which makes the constitutive equation concluded by using John-Cook model and testing data very accurate and practical. The work would give a guidance to estimate the dynamical response, fatigue life and damage evolution of tungsten divertor components under EM impact loads. - Graphical abstract: From the comparison between the experimental curves and the predicted curves calculated by adopting the corrected m, it is very clear that the new model is of great capability to explain the deformation behavior of the tungsten material under dynamic compression at high temperatures. (EC, PC and PCM refers to experimental curve, predicted curve and predicted curve with a corrected m. Different colors represent different scenarios.). - Highlights: • Test research on dynamic properties of tungsten at working temperature range and strain rate range of divertors. • Constitutive equation descrbing strain hardening, strain rate hardening and temperature softening. • A guidance to estimate dynamical response and damage evolution of tungsten divertor components under impact.

  8. Behavior of tungsten coatings on CuCrZr heat sink with the different interlayers under high heat flux

    International Nuclear Information System (INIS)

    Chong, F.L.; Chen, J.L.; Li, J.G.; Zheng, X.B.; Hu, D.Y.; Ding, C.X.

    2007-01-01

    In recent years, tungsten coated CuCrZr by means of vacuum plasma spraying technology was studied at Institute of Plasma Physics, Chinese Academy of Sciences (ASIPP). Plasma spraying technology is a good integration way of armor material and heat sink, which overcomes the disadvantage of heavy weight and poor workability of tungsten, and offers the ability to coat large area, even complex shapes and in situ repair of damaged parts. But tungsten coated CuCrZr is a challenge due to the larger mismatch of their thermal expansion coefficients (CTE), which will induce the stress concentration on the joint interface of plasma facing component. In order to enhance the adhesion of W coating on CuCrZr substrate and avoid the thermal stress concentration, it is necessary to use a compliant interlayer. At present, titanium (Ti), nickel-chromium-aluminum (NiCrAl) alloys and W/Cu mixtures were chosen as the compliant layers to insert between W coating and CuCrZr substrate. The adhesion strength was performed at RT. The behaviors of W/Cu mock up under high heat flux were carried out by means of the electron beam facility with actively cooling. The results indicated that the mock-ups with the interlayer architectures can withstand the higher heat flux compared to that with the sharp interface, which exhibited the effect of interlayers on reducing the maximum stress and enhancing the properties of resistant heat flux load, though the maximum surface temperature increased due to inserting the interlayers. Among three interlayers, W/Cu interlayer was much better due to its good heat removal capability and flexible W/Cu ratios. Meanwhile, the behaviors of W/Cu mock-ups with the different interlayers were analyzed and optimized by ANSYS finite element code. (authors)

  9. A dynamic monitoring approach for the surface morphology evolution measurement of plasma facing components by means of speckle interferometry

    Science.gov (United States)

    Wang, Hongbei; Cui, Xiaoqian; Feng, Chunlei; Li, Yuanbo; Zhao, Mengge; Luo, Guangnan; Ding, Hongbin

    2017-11-01

    Plasma Facing Components (PFCs) in a magnetically confined fusion plasma device will be exposed to high heat load and particle fluxes, and it would cause PFCs' surface morphology to change due to material erosion and redeposition from plasma wall interactions. The state of PFCs' surface condition will seriously affect the performance of long-pulse or steady state plasma discharge in a tokamak; it will even constitute an enormous threat to the operation and the safety of fusion plasma devices. The PFCs' surface morphology evolution measurement could provide important information about PFCs' real-time status or damage situation and it would help to a better understanding of the plasma wall interaction process and mechanism. Meanwhile through monitoring the distribution of dust deposition in a tokamak and providing an upper limit on the amount of loose dust, the PFCs' surface morphology measurement could indirectly contribute to keep fusion operational limits and fusion device safety. Aiming at in situ dynamic monitoring PFCs' surface morphology evolution, a laboratory experimental platform DUT-SIEP (Dalian University of Technology-speckle interferometry experimental platform) based on the speckle interferometry technique has been constructed at Dalian University of Technology (DUT) in China. With directional specific designing and focusing on the real detection condition of EAST (Experimental Advanced Superconducting Tokamak), the DUT-SIEP could realize a variable measurement range, widely increased from 0.1 μm to 300 μm, with high spatial resolution (<1 mm) and ultra-high time resolution (<2 s for EAST measuring conditions). Three main components of the DUT-SIEP are all integrated and synchronized by a time schedule control and data acquisition terminal and coupled with a three-dimensional phase unwrapping algorithm, the surface morphology information of target samples can be obtained and reconstructed in real-time. A local surface morphology of the real divertor

  10. Mechanical characterization and modeling of brazed tungsten and Cu-Cr-Zr alloy using stress relief interlayers

    Science.gov (United States)

    Qu, Dandan; Zhou, Zhangjian; Yum, Youngjin; Aktaa, Jarir

    2014-12-01

    A rapidly solidified foil-type Ti-Zr based amorphous filler with a melting temperature of 850 °C was used to braze tungsten to Cu-Cr-Zr alloy for water cooled divertors and plasma facing components application. Brazed joints of dissimilar materials suffer from a mismatch in coefficients of thermal expansion. In order to release the residual stress caused by the mismatch, brazed joints of tungsten and Cu-Cr-Zr alloy using different interlayers were studied. The shear strength tests of brazed W/Cu joints show that the average strength of the joint with a W70Cu30 composite plate interlayer reached 119.8 MPa, and the average strength of the joint with oxygen free high conductivity copper (OFHC Cu)/Mo multi-interlayers reached 140.8 MPa, while the joint without interlayer was only 16.6 MPa. Finite element method (FEM) has been performed to investigate the stress distribution and effect of stress relief interlayers. FEM results show that the maximum von Mises stress occurs in the tungsten/filler interface and that the filler suffers the peak residual stresses and becomes the weakest zone. And the use of OFHC Cu/Mo multi-interlayers can reduce the residual stress significantly, which agrees with the mechanical experiment data.

  11. Mechanical characterization and modeling of brazed tungsten and Cu–Cr–Zr alloy using stress relief interlayers

    Energy Technology Data Exchange (ETDEWEB)

    Qu, Dandan, E-mail: dandan.qu@partner.kit.edu [School of Materials Science and Engineering, University of Science and Technology Beijing, 100083 Beijing (China); Karlsruhe Institute of Technology, Institute for Applied Materials, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany); Zhou, Zhangjian, E-mail: zhouzhangjianustb@163.com [School of Materials Science and Engineering, University of Science and Technology Beijing, 100083 Beijing (China); Yum, Youngjin [School of Mechanical Engineering, University of Ulsan, Ulsan 680-749 (Korea, Republic of); Aktaa, Jarir [Karlsruhe Institute of Technology, Institute for Applied Materials, Hermann-von-Helmholtz-Platz 1, 76344 Eggenstein-Leopoldshafen (Germany)

    2014-12-15

    A rapidly solidified foil-type Ti–Zr based amorphous filler with a melting temperature of 850 °C was used to braze tungsten to Cu–Cr–Zr alloy for water cooled divertors and plasma facing components application. Brazed joints of dissimilar materials suffer from a mismatch in coefficients of thermal expansion. In order to release the residual stress caused by the mismatch, brazed joints of tungsten and Cu–Cr–Zr alloy using different interlayers were studied. The shear strength tests of brazed W/Cu joints show that the average strength of the joint with a W70Cu30 composite plate interlayer reached 119.8 MPa, and the average strength of the joint with oxygen free high conductivity copper (OFHC Cu)/Mo multi-interlayers reached 140.8 MPa, while the joint without interlayer was only 16.6 MPa. Finite element method (FEM) has been performed to investigate the stress distribution and effect of stress relief interlayers. FEM results show that the maximum von Mises stress occurs in the tungsten/filler interface and that the filler suffers the peak residual stresses and becomes the weakest zone. And the use of OFHC Cu/Mo multi-interlayers can reduce the residual stress significantly, which agrees with the mechanical experiment data.

  12. Strain aging in tungsten heavy alloys

    International Nuclear Information System (INIS)

    Dowding, R.J.; Tauer, K.J.

    1991-01-01

    This paper reports on tungsten heavy alloys which are two-phase mixtures of body center cubic (BCC) tungsten surrounded by a face center cubic (FCC) matrix. The matrix is most often composed of nickel and iron in a ratio of 70:30 but, occasionally, the matrix may also contain cobalt or copper. Nickel, however, is always the primary matrix component. The tungsten heavy alloy is fabricated through powder metallurgy techniques. Elemental powders are blended, pressed to shape, and sintered. Depending upon the tungsten content, the sintering temperatures are usually in the range of 1450 degrees C to 1525 degrees C. These temperatures are high enough that, as a result, the matrix is at the liquid phase and the process is known as liquid phase sintering. At the liquid phase temperature, the matrix becomes saturated with tungsten, but this does not change the FCC character of the matrix. The sintering is usually done in a hydrogen atmosphere furnace in order to reduce the oxides on the tungsten powder surfaces and create clean, active surfaces which will enhance the adherence between the tungsten and the matrix. The hydrogen atmosphere also creates the presence of excess dissolved hydrogen in the alloy. It has been shown that the hydrogen degrades the toughness and ductility of the heavy alloy. A post-sintering vacuum heat treatment is generally required to insure that there is no residual hydrogen present. The as-sintered tensile strength of a 90% tungsten, 7% nickel, 3% iron alloy (90W) is in the range of 800 to 940 MPa and can be increased significantly by cold working, usually rolling or swaging. Swaging to reductions in area of 20% can result in tensile strengths of 1250 MPa or more. As the strength increases, the elongation, which may have been 30% or more, decreases to less than 5%

  13. Holistic processing of face configurations and components.

    Science.gov (United States)

    Hayward, William G; Crookes, Kate; Chu, Ming Hon; Favelle, Simone K; Rhodes, Gillian

    2016-10-01

    Although many researchers agree that faces are processed holistically, we know relatively little about what information holistic processing captures from a face. Most studies that assess the nature of holistic processing do so with changes to the face affecting many different aspects of face information (e.g., different identities). Does holistic processing affect every aspect of a face? We used the composite task, a common means of examining the strength of holistic processing, with participants making same-different judgments about configuration changes or component changes to 1 portion of a face. Configuration changes involved changes in spatial position of the eyes, whereas component changes involved lightening or darkening the eyebrows. Composites were either aligned or misaligned, and were presented either upright or inverted. Both configuration judgments and component judgments showed evidence of holistic processing, and in both cases it was strongest for upright face composites. These results suggest that holistic processing captures a broad range of information about the face, including both configuration-based and component-based information. (PsycINFO Database Record (c) 2016 APA, all rights reserved).

  14. Arc erosion of full metal plasma facing components at the inner baffle region of ASDEX Upgrade

    Directory of Open Access Journals (Sweden)

    V. Rohde

    2016-12-01

    Full Text Available At the inner baffle of the AUG divertor massive polished inserts of tungsten and P92 steel were installed to measure the erosion by arcing. For tungsten most of the traces are less than 0.4µm deep and a similar amount of tungsten is deposited close to the traces. Few craters up to 4µm resulting in an average erosion rate of 2×1013 at cm−2s−1 are observed. The behaviour for P92 steel is quite different: most of the traces are 4µm deep, up to 80µm were observed. An average erosion rate of 400×1013 at cm−2s−1, i.e. more than a factor of hundred higher compared to tungsten, is found. Therefore, erosion by arcing has to be taken into account to determine the optimal material mix for future fusion devices.

  15. Analysis of the quasi-continuum band emitted by highly ionised tungsten atoms in the 4-7 nm range

    International Nuclear Information System (INIS)

    Madeira, T.I.; Amorim, P.; Marques, J.P.; Parente, F.; Indelicato, P.

    2013-01-01

    For the next upcoming generation of fusion experiments, such as ITER,Tungsten has been chosen as the materials for plasma facing components. Spectra emitted by highly ionized tungsten atoms from magnetically confined plasmas show a common feature: a narrow structured quasi-continuum emission band most prominent in the range 4-7 nm, which accounts for 40-80% of the radiated power. This band has been fairly well explained by unresolved transitions from groups 4d-4p, 4f-4d (Δn = 0) and 5d-4f, 5g-4f and 5p-4d (Δn = 1). In this work we use a Multi-Configuration Dirac-Fock code in Breit self-consistent field mode to compute level energies and transition probabilities for W 27+ to W 37+ ions contributing to this emission band. Intra-shell correlation was introduced in the calculation for both initial and final states and all dipole and quadrupole radiative transitions have been considered. The wavefunctions in the initial and final states are optimized separately and the resulting non-orthogonality effect is fully taken into account. The importance of some satellite lines was assessed. Together with the ionic distributions obtained by using the FLYCHK application and assuming that the initial states population depends statistically on the temperature we were able to synthesize plasma emission spectrum profiles for several electron temperatures. (authors)

  16. Controlled tungsten melting and droplet ejection studies in ASDEX Upgrade

    International Nuclear Information System (INIS)

    Krieger, K; Lunt, T; Dux, R; Janzer, A; Müller, H W; Potzel, S; Pütterich, T; Yang, Z

    2011-01-01

    Tungsten rods of 1×1×3 mm 3 were exposed in single H-mode discharges at the outer divertor target plate of ASDEX Upgrade using the divertor manipulator system. Melting of the W rod at a pre-defined time was induced by moving the initially far away outer strike point close to the W-rod position. Visible light emissions of both the W pin and consecutively ejected W droplets were recorded by two fast cameras with crossed viewing cones. The time evolution of the local W source at the pin location was measured by spectroscopic observation of the WI line emission at 400.9 nm and compared to the subsequent increase of tungsten concentration in the confined plasma derived from tungsten vacuum UV line emission. Combining these measurements with the total amount of released tungsten due to the pin melt events and ejected droplets allowed us to derive an estimate of the screening factor for this type of tungsten source. The resulting values of the tungsten divertor retention in the range 10-20 agree with those found in previous studies using a W source of sublimated W(CO) 6 vapour at the same exposure location. Ejected droplets were found to be always accelerated in the general direction of the plasma flow, attributed to friction forces and to rocket forces. Furthermore, the vertically inclined target plates cause the droplets, which are repelled by the target plate surface potential due to their electric charge, to move upwards against gravity due to the centrifugal force component parallel to the target plate.

  17. Cracks and nanodroplets produced on tungsten surface samples by dense plasma jets

    Science.gov (United States)

    Ticoş, C. M.; Galaţanu, M.; Galaţanu, A.; Luculescu, C.; Scurtu, A.; Udrea, N.; Ticoş, D.; Dumitru, M.

    2018-03-01

    Small samples of 12.5 mm in diameter made from pure tungsten were exposed to a dense plasma jet produced by a coaxial plasma gun operated at 2 kJ. The surface of the samples was analyzed using a scanning electron microscope (SEM) before and after applying consecutive plasma shots. Cracks and craters were produced in the surface due to surface tensions during plasma heating. Nanodroplets and micron size droplets could be observed on the samples surface. An energy-dispersive spectroscopy (EDS) analysis revealed that the composition of these droplets coincided with that of the gun electrode material. Four types of samples were prepared by spark plasma sintering from powders with the average particle size ranging from 70 nanometers up to 80 μm. The plasma power load to the sample surface was estimated to be ≈4.7 MJ m-2 s-1/2 per shot. The electron temperature and density in the plasma jet had peak values 17 eV and 1.6 × 1022 m-3, respectively.

  18. The electronic work function of the different faces of tungsten

    International Nuclear Information System (INIS)

    Modinos, A.

    1978-01-01

    A semi-empirical theory of the electronic work function of the different faces of tungsten is presented. All the parameters entering the theory, except one, are estimated independently. The one adjustable parameter relates to the isotropic contribution to the work function, and, can, in principle, be determined from a self-consistent calculation of the band-structure of the energy levels in the bulk of the metal. The calculated values for the work function are in reasonably good agreement with available experimental data for practically all of the crystallographic planes with the exception of the (100) plane. For the latter, the calculated value is 0.3 eV above the experimental value. It is suggested that a negative contribution to the surface dipole potential from surface states, that exist on this plane, may be the reason of this discrepancy. (Auth.)

  19. Characterization and damaging law of CFC for high heat flux actively cooled plasma facing components

    Energy Technology Data Exchange (ETDEWEB)

    Chevet, G., E-mail: gaelle.chevet@cea.fr [Association EURATOM-CEA, DSM/IRFM, CEA Cadarache, F-13108 Saint Paul lez Durance (France); Martin, E., E-mail: martin@lcts.u-bordeaux1.fr [LCTS, CNRS UMR 5801, Universite Bordeaux 1, Bordeaux (France); Boscary, J., E-mail: jean.boscary@ipp.mpg.de [Max-Planck-Institut fuer Plasmaphysik, EURATOM Association, 85748 Garching (Germany); Camus, G., E-mail: camus@lcts.u-bordeaux1.fr [LCTS, CNRS UMR 5801, Universite Bordeaux 1, Bordeaux (France); Herb, V., E-mail: herb@lcts.u-bordeaux1.fr [LCTS, CNRS UMR 5801, Universite Bordeaux 1, Bordeaux (France); Schlosser, J., E-mail: jacques.schlosser@cea.fr [Association EURATOM-CEA, DSM/IRFM, CEA Cadarache, F-13108 Saint Paul lez Durance (France); Escourbiac, F., E-mail: frederic.escourbiac@cea.fr [Association EURATOM-CEA, DSM/IRFM, CEA Cadarache, F-13108 Saint Paul lez Durance (France); Missirlian, M., E-mail: marc.missirlian@cea.fr [Association EURATOM-CEA, DSM/IRFM, CEA Cadarache, F-13108 Saint Paul lez Durance (France)

    2011-10-01

    The carbon fiber reinforced carbon composite (CFC) Sepcarb N11 has been used in the Tore Supra (TS) tokamak (Cadarache, France) as armour material for the plasma facing components. For the fabrication of the Wendelstein 7-X (W7-X) divertor (Greifswald, Germany), the NB31 material was chosen. For the fabrication of the ITER divertor, two potential CFC candidates are the NB31 and NB41 materials. In the case of Tore Supra, defects such as microcracks or debonding were found at the interface between CFC tile and copper heat sink. A mechanical characterization of the behaviour of N11 and NB31 was undertaken, allowing the identification of a damage model and finite element calculations both for flat tiles (TS and W7-X) and monoblock (ITER) armours. The mechanical responses of these CFC materials were found almost linear under on-axis tensile tests but highly nonlinear under shear tests or off-axis tensile tests. As a consequence, damage develops within the high shear-stress zones.

  20. Characterization and damaging law of CFC for high heat flux actively cooled plasma facing components

    International Nuclear Information System (INIS)

    Chevet, G.; Martin, E.; Boscary, J.; Camus, G.; Herb, V.; Schlosser, J.; Escourbiac, F.; Missirlian, M.

    2011-01-01

    The carbon fiber reinforced carbon composite (CFC) Sepcarb N11 has been used in the Tore Supra (TS) tokamak (Cadarache, France) as armour material for the plasma facing components. For the fabrication of the Wendelstein 7-X (W7-X) divertor (Greifswald, Germany), the NB31 material was chosen. For the fabrication of the ITER divertor, two potential CFC candidates are the NB31 and NB41 materials. In the case of Tore Supra, defects such as microcracks or debonding were found at the interface between CFC tile and copper heat sink. A mechanical characterization of the behaviour of N11 and NB31 was undertaken, allowing the identification of a damage model and finite element calculations both for flat tiles (TS and W7-X) and monoblock (ITER) armours. The mechanical responses of these CFC materials were found almost linear under on-axis tensile tests but highly nonlinear under shear tests or off-axis tensile tests. As a consequence, damage develops within the high shear-stress zones.

  1. Electrodeposition of metallic tungsten coating from binary oxide molten salt on low activation steel substrate

    International Nuclear Information System (INIS)

    Liu, Y.H.; Zhang, Y.C.; Jiang, F.; Fu, B.J.; Sun, N.B.

    2013-01-01

    Tungsten is considered a promising plasma facing armor material for future fusion devices. An electrodeposited metallic tungsten coating from Na 2 WO 4 –WO 3 binary oxide molten salt on low activation steel (LAS) substrate was investigated in this paper. Tungsten coatings were deposited under various pulsed currents conditions at 1173 K in atmosphere. Cathodic current density and pulsed duty cycle were investigated for pulsed current electrolysis. The crystal structure and microstructure of tungsten coatings were characterized by X-ray diffractometry, scanning electron microscopy, and energy X-ray dispersive analysis techniques. The results indicated that pulsed current density and duty cycle significantly influence tungsten nucleation and electro-crystallization phenomena. The average grain size of the coating becomes much larger with increasing cathodic current density, which demonstrates that appropriate high cathodic current density can accelerate the growth of grains on the surface of the substrate. The micro-hardness of tungsten coatings increases with the increasing thickness of coatings; the maximum micro-hardness is 482 HV. The prepared tungsten coatings have a smooth surface, a porosity of less than 1%, and an oxygen content of 0.024 wt%

  2. Electrodeposition of metallic tungsten coating from binary oxide molten salt on low activation steel substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Y. H. [School of Materials Science and Engineering, University of Science and Technology BeiJing, Beijing (China); State Nuclear Power Research Institute, Xicheng District, Beijing (China); Zhang, Y.C., E-mail: zycustb@163.com [School of Materials Science and Engineering, University of Science and Technology BeiJing, Beijing (China); Jiang, F.; Fu, B. J.; Sun, N. B. [School of Materials Science and Engineering, University of Science and Technology BeiJing, Beijing (China)

    2013-11-15

    Tungsten is considered a promising plasma facing armor material for future fusion devices. An electrodeposited metallic tungsten coating from Na{sub 2}WO{sub 4}–WO{sub 3} binary oxide molten salt on low activation steel (LAS) substrate was investigated in this paper. Tungsten coatings were deposited under various pulsed currents conditions at 1173 K in atmosphere. Cathodic current density and pulsed duty cycle were investigated for pulsed current electrolysis. The crystal structure and microstructure of tungsten coatings were characterized by X-ray diffractometry, scanning electron microscopy, and energy X-ray dispersive analysis techniques. The results indicated that pulsed current density and duty cycle significantly influence tungsten nucleation and electro-crystallization phenomena. The average grain size of the coating becomes much larger with increasing cathodic current density, which demonstrates that appropriate high cathodic current density can accelerate the growth of grains on the surface of the substrate. The micro-hardness of tungsten coatings increases with the increasing thickness of coatings; the maximum micro-hardness is 482 HV. The prepared tungsten coatings have a smooth surface, a porosity of less than 1%, and an oxygen content of 0.024 wt%.

  3. Micro-powder injection moulding of tungsten

    International Nuclear Information System (INIS)

    Zeep, B.

    2007-12-01

    For He-cooled Divertors as integral components of future fusion power plants, about 300000 complex shaped tungsten components are to be fabricated. Tungsten is the favoured material because of its excellent properties (high melting point, high hardness, high sputtering resistance, high thermal conductivity). However, the material's properties cause major problems for large scale production of complex shaped components. Due to the resistance of tungsten to mechanical machining, new fabrication technologies have to be developed. Powder injection moulding as a well established shaping technology for a large scale production of complex or even micro structured parts might be a suitable method to produce tungsten components for fusion applications but is not yet commercially available. The present thesis is dealing with the development of a powder injection moulding process for micro structured tungsten components. To develop a suitable feedstock, the powder particle properties, the binder formulation and the solid load were optimised. To meet the requirements for a replication of micro patterned cavities, a special target was to define the smallest powder particle size applicable for micro-powder injection moulding. To investigate the injection moulding performance of the developed feedstocks, experiments were successfully carried out applying diverse cavities with structural details in micro dimension. For debinding of the green bodies, a combination of solvent debinding and thermal debinding has been adopted for injection moulded tungsten components. To develop a suitable debinding strategy, a variation of the solvent debinding time, the heating rate and the binder formulation was performed. For investigating the thermal consolidation behaviour of tungsten components, sinter experiments were carried out applying tungsten powders suitable for micro-powder injection moulding. First mechanical tests of the sintered samples showed promising material properties such as a

  4. Re-emission and thermal desorption of deuterium from plasma sprayed tungsten coatings for application in ASDEX-upgrade

    International Nuclear Information System (INIS)

    Garcia-Rosales, C.; Franzen, P.; Plank, H.; Roth, J.; Gauthier, E.

    1996-01-01

    The trapping and release of deuterium implanted with an energy of 100 eV in wrought and in plasma sprayed tungsten of different manufacture and structure has been investigated by means of re-emission as well as thermal and isothermal desorption spectroscopy. The experimental data for wrought tungsten are compared with model calculations with the PIDAT code in order to estimate the parameters governing diffusion, surface recombination and trapping in tungsten. The amount of retained deuterium in tungsten is of the same order of magnitude as in graphite for the implantation parameters used in this work. The mobile hydrogen concentration in tungsten during the implantation is of the same order of magnitude than the trapped one, being released after the termination of the implantation. The fraction of deuterium trapped to defects increases strongly with the porosity of the samples. The temperature needed for the release of the trapped deuterium (∝600 K) are considerably lower than for graphite, due to the smaller trapping energy (≤1.5 eV). (orig.)

  5. Response of fuzzy tungsten surfaces to pulsed plasma bombardment

    International Nuclear Information System (INIS)

    Nishijima, D.; Doerner, R.P.; Iwamoto, D.; Kikuchi, Y.; Miyamoto, M.; Nagata, M.; Sakuma, I.; Shoda, K.; Ueda, Y.

    2013-01-01

    Damage of fuzzy tungsten surfaces due to a transient plasma load is characterized in terms of mass loss, surface morphology, and optical properties. A single D pulsed (∼0.1–0.2 ms) plasma shot with surface absorbed energy density of ∼1.1 MJ m −2 leads to a mass loss of ∼80 μg, which cannot be explained by physical sputtering. Thus, macroscopic erosion processes such as droplets and dust release as well as arcing are thought to be responsible for the mass loss. In fact, scanning electron microscopy observations reveal the melting of the tips of fuzz and arc tracks. The optical reflectivity of the damaged (melted) surface is measured to be higher than that of an undamaged fuzzy surface (below ∼0.01%). Spectroscopic ellipsometry shows that the refractive index, n, and extinction coefficient, k, increase from n ≈ 1 and k ≈ 0 for an undamaged fuzzy surface with an increase in the degree of damage of fuzz

  6. Final Report: Safety of Plasma Components and Aerosol Transport During Hard Disruptions and Accidental Energy Release in Fusion Reactor

    Energy Technology Data Exchange (ETDEWEB)

    Bourham, Mohamed A.; Gilligan, John G.

    1999-08-14

    Safety considerations in large future fusion reactors like ITER are important before licensing the reactor. Several scenarios are considered hazardous, which include safety of plasma-facing components during hard disruptions, high heat fluxes and thermal stresses during normal operation, accidental energy release, and aerosol formation and transport. Disruption events, in large tokamaks like ITER, are expected to produce local heat fluxes on plasma-facing components, which may exceed 100 GW/m{sup 2} over a period of about 0.1 ms. As a result, the surface temperature dramatically increases, which results in surface melting and vaporization, and produces thermal stresses and surface erosion. Plasma-facing components safety issues extends to cover a wide range of possible scenarios, including disruption severity and the impact of plasma-facing components on disruption parameters, accidental energy release and short/long term LOCA's, and formation of airborne particles by convective current transport during a LOVA (water/air ingress disruption) accident scenario. Study, and evaluation of, disruption-induced aerosol generation and mobilization is essential to characterize database on particulate formation and distribution for large future fusion tokamak reactor like ITER. In order to provide database relevant to ITER, the SIRENS electrothermal plasma facility at NCSU has been modified to closely simulate heat fluxes expected in ITER.

  7. Laser Remelting of Plasma-Sprayed Tungsten Coatings

    Czech Academy of Sciences Publication Activity Database

    Matějíček, Jiří; Holub, P.

    2014-01-01

    Roč. 23, č. 4 (2014), s. 750-754 ISSN 1059-9630 R&D Projects: GA ČR(CZ) GAP108/12/1872 Grant - others:European Project ExtreMat(XE) NMP-CT-2004-500253 Institutional support: RVO:61389021 Keywords : functionally graded coatings * laser remelting * plasma facing materials * thermal conductivity * water stabilized plasma Subject RIV: JK - Corrosion ; Surface Treatment of Materials Impact factor: 1.344, year: 2014 http://link.springer.com/article/10.1007%2Fs11666-014-0067-4

  8. The Effects of Temperature and Oxidation on Deuterium Retention in Solid and Liquid Lithium Films on Molybdenum Plasma-Facing Components

    Science.gov (United States)

    Capece, Angela

    2014-10-01

    Liquid metal plasma-facing components (PFCs) enable in-situ renewal of the surface, thereby offering a solution to neutron damage, erosion, and thermal fatigue experienced by solid PFCs. Lithium in particular has a high chemical affinity for hydrogen, which has resulted in reduced recycling and enhanced plasma performance on many fusion devices including TFTR, T11-M, FTU, CDX-U, LTX, TJ-II, and NSTX. A key component to the improvement in plasma performance is deuterium retention in Li; however, this process is not well understood in the complex tokamak environment. Recent surface science experiments conducted at the Princeton Plasma Physics Laboratory have used electron spectroscopy and temperature programmed desorption to understand the mechanisms for D retention in Li coatings on Mo substrates. The experiments were designed to give monolayer-control of Li films and were conducted in ultrahigh vacuum under controlled environments. An electron cyclotron resonance plasma source was used to deliver a beam of deuterium ions to the surface over a range of ion energies. Our work shows that D is retained as LiD in metallic Li films. However, when oxygen is present in the film, either by diffusion from the subsurface at high temperature or as a contaminant during the deposition process, Li oxides are formed that retain D as LiOD. Experiments indicate that LiD is more thermally stable than LiOD, which decomposes to liberate D2 gas and D2O at temperatures 100 K lower than the LiD decomposition temperature. Other experiments show how D retention varies with substrate temperature to provide insight into the differences between solid and liquid lithium films. This work was supported by DOE Contract No. DE AC02-09CH11466.

  9. The Design and Use of Tungsten Coated TZM Molybdenum Tile Inserts in the DIII-D Tokamak Divertor

    Energy Technology Data Exchange (ETDEWEB)

    Murphy, Christopher [General Atomics, San Diego; Nygren, R. E. [Sandia National Laboratories (SNL); Chrobak, C P. [General Atomics, San Diego; Buchenauer, Dean [Sandia National Laboratories (SNL); Holtrop, Kurt [General Atomics, San Diego; Unterberg, Ezekial A. [ORNL; Zach, Mike P. [ORNL

    2017-08-01

    Future tokamak devices are envisioned to utilize a high-Z metal divertor with tungsten as theleading candidate. However, tokamak experiments with tungsten divertors have seen significantdetrimental effects on plasma performance. The DIII-D tokamak presently has carbon as theplasma facing surface but to study the effect of tungsten on the plasma and its migration aroundthe vessel, two toroidal rows of carbon tiles in the divertor region were modified with high-Zmetal inserts, composed of a molybdenum alloy (TZM) coated with tungsten. A dedicated twoweek experimental campaign was run with the high-Z metal inserts. One row was coated withtungsten containing naturally occurring levels of isotopes. The second row was coated withtungsten where the isotope 182W was enhanced from the natural level of 26% up to greater than90%. The different isotopic concentrations enabled the experiment to differentiate between thetwo different sources of metal migration from the divertor. Various coating methods wereexplored for the deposition of the tungsten coating, including chemical vapor deposition,electroplating, vacuum plasma spray, and electron beam physical vapor deposition. The coatingswere tested to see if they were robust enough to act as a divertor target for the experiment. Testsincluded cyclic thermal heating using a high power laser and high-fluence deuterium plasmabombardment. The issues associate with the design of the inserts (tile installation, thermal stress,arcing, leading edges, surface preparation, etc.), are reviewed. The results of the tests used toselect the coating method and preliminary experimental observations are presented.

  10. Deuterium-induced nanostructure formation on tungsten exposed to high-flux plasma

    Energy Technology Data Exchange (ETDEWEB)

    Xu, H.Y., E-mail: donaxu@163.com [Key Laboratory of Advanced Materials, Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Science and Technology on Surface Physics and Chemistry Laboratory, Mianyang, Sichuan 621907 (China); De Temmerman, G. [FOM Institute DIFFER, Dutch Institute For Fundamental Energy Research, Ass. EURATOM-FOM, Trilateral Euregio Cluster, Postbus 1207, 3430BE Nieuwegein (Netherlands); ITER Organization, Route de Vinon-sur-Verdon CS 90046-13067, St Paul Lez Durance Cedex (France); Luo, G.-N. [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei, Anhui 230031 (China); Jia, Y.Z.; Yuan, Y.; Fu, B.Q.; Godfrey, A. [Key Laboratory of Advanced Materials, Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Liu, W., E-mail: liuw@mail.tsinghua.edu.cn [Key Laboratory of Advanced Materials, Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China)

    2015-08-15

    Surface topography of polycrystalline tungsten (W) have been examined after exposure to a low-energy (38 eV/D), high-flux (∼1.1–1.5 × 10{sup 24} m{sup −2} s{sup −1}) deuterium plasma in the Pilot-PSI linear plasma device. The methods used were scanning electron microscopy (SEM), transmission electron microscopy (TEM), positron annihilation Doppler broadening (PADB) and grazing incident X-ray diffraction (GI-XRD). After exposure to high flux D plasma, blisters and nanostructures are formed on the W surface. Generation of defects was evidenced by PADB, while high stress and mixture of phases were detected in depth of 50 nm by GI-XRD. TEM observation revealed fluctuations and disordered microstructure on the outmost surface layer. Based on these results, surface reconstruction is considered as a possible mechanism for the formation of defects and nanostructures.

  11. Liquid metals as a divertor plasma-facing material explored using the Pilot-PSI and Magnum-PSI linear devices

    Science.gov (United States)

    Morgan, T. W.; Rindt, P.; van Eden, G. G.; Kvon, V.; Jaworksi, M. A.; Lopes Cardozo, N. J.

    2018-01-01

    For DEMO and beyond, liquid metal plasma-facing components are considered due to their resilience to erosion through flowed replacement, potential for cooling beyond conduction and inherent immunity to many of the issues of neutron loading compared to solid materials. The development curve of liquid metals is behind that of e.g. tungsten however, and tokamak-based research is currently somewhat limited in scope. Therefore, investigation into linear plasma devices can provide faster progress under controlled and well-diagnosed conditions in assessing many of the issues surrounding the use of liquid metals. The linear plasma devices Magnum-PSI and Pilot-PSI are capable of producing DEMO-relevant plasma fluxes, which well replicate expected divertor conditions, and the exploration of physics issues for tin (Sn) and lithium (Li) such as vapour shielding, erosion under high particle flux loading and overall power handling are reviewed here. A deeper understanding of erosion and deposition through this work indicates that stannane formation may play an important role in enhancing Sn erosion, while on the other hand the strong hydrogen isotope affinity reduces the evaporation rate and sputtering yields for Li. In combination with the strong redeposition rates, which have been observed under this type of high-density plasma, this implies that an increase in the operational temperature range, implying a power handling range of 20-25 MW m-2 for Sn and up to 12.5 MW m-2 for Li could be achieved. Vapour shielding may be expected to act as a self-protection mechanism in reducing the heat load to the substrate for off-normal events in the case of Sn, but may potentially be a continual mode of operation for Li.

  12. The role and application of ion beam analysis for studies of plasma-facing components in controlled fusion devices

    Energy Technology Data Exchange (ETDEWEB)

    Rubel, Marek, E-mail: Marek.Rubel@ee.kth.se [EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Fusion Plasma Physics, Royal Institute of Technology (KTH), 100 44 Stockholm (Sweden); Petersson, Per [EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Fusion Plasma Physics, Royal Institute of Technology (KTH), 100 44 Stockholm (Sweden); Alves, Eduardo [EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Instituto Superior Técnico, Universidade de Lisboa, 1049-001 Lisbon (Portugal); Brezinsek, Sebastijan [EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Institut für Klima- und Energieforschung, Forschungszentrum Jülich, D-52425 Jülich (Germany); Coad, Joseph Paul [EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Heinola, Kalle [EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); University of Helsinki, 00014 Helsinki (Finland); Mayer, Matej [EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Max-Planck-Institut für Plasmaphysik, 85478 Garching (Germany); Widdowson, Anna [EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom)

    2016-03-15

    First wall materials in controlled fusion devices undergo serious modification by several physical and chemical processes arising from plasma–wall interactions. Detailed information is required for the assessment of material lifetime and accumulation of hydrogen isotopes in wall materials. The intention of this work is to give a concise overview of key issues in the characterization of plasma-facing materials and components in tokamaks, especially in JET with an ITER-Like Wall. IBA techniques play a particularly prominent role here because of their isotope selectivity in the low-Z range (1–10), high sensitivity and combination of several methods in a single run. The role of {sup 3}He-based NRA, RBS (standard and micro-size beam) and HIERDA in fuel retention and material migration studies is presented. The use of tracer techniques with rare isotopes (e.g. {sup 15}N) or marker layers on wall diagnostic components is described. Special instrumentation, development of equipment to enhance research capabilities and issues in handling of contaminated materials are addressed.

  13. Increase in the energy absorption of pulsed plasma by the formation of tungsten nanostructure

    Science.gov (United States)

    Sato, D.; Ohno, N.; Domon, F.; Kajita, S.; Kikuchi, Y.; Sakuma, I.

    2017-06-01

    The synergistic effects of steady-state and pulsed plasma irradiation to material have been investigated in the device NAGDIS-PG (NAGoya DIvertor Simulator with Plasma Gun). The duration of the pulsed plasma was ~0.25 ms. To investigate the pulsed plasma heat load on the materials, we developed a temperature measurement system using radiation from the sample in a high time resolution. The heat deposited in response to the transient plasma on a tungsten surface was revealed by using this system. When the nanostructures were formed by helium plasma irradiation, the temperature increase on the bulk sample was enhanced. The result suggested that the amount of absorbed energy on the surface was increased by the formation of nanostructures. The possible mechanisms causing the phenomena are discussed with the calculation of a sample temperature in response to the transient heat load.

  14. Incorporation of tungsten metal fibers in a metal and ceramic matrix

    Directory of Open Access Journals (Sweden)

    V. Brozek

    2017-01-01

    Full Text Available Tungsten fibers have high tensile strength but a poor oxidation resistance at elevated temperatures. Using this first characteristic and to prevent oxidation of tungsten coated composite materials in which the primary requirement: reinforcement against destruction or deformation, was studied on tungsten fibers and tungsten wires which were coated by applying the metal and ceramic powders via plasma spraying device in plasma generator WSP®. Deposition took place in an atmosphere of Ar + 7 % H2, sufficient to reduce the oxidized trace amounts of tungsten.

  15. Microstructural stability of spark-plasma-sintered Wf/W composite with zirconia interface coating under high-heat-flux hydrogen beam irradiation

    Directory of Open Access Journals (Sweden)

    M. Avello de Lama

    2017-12-01

    In this paper, the durability and chemical stability of Wf/W composite specimens under cyclic heat-flux loads up to 20 MW/m² (surface temperature: 1260 °C was investigated using hydrogen neutral beam. The bulk material was fabricated by means of spark-plasma-sintering (SPS method using fine tungsten powder and a stack of tungsten wire meshes as reinforcement where the surface of the wire was coated with zirconia thin film to produce an engineered interface. The impact of plasma beam irradiation on microstructure was examined for two kinds of specimens produced at different sintering temperatures, 1400 °C and 1700 °C. Results of microscopic (SEM and chemical (EDX analysis are presented comparing the microstructure and element distribution maps obtained before and after heat flux loading. Effects of different sintering temperatures on damage behaviour are discussed. The present composite materials are shown to be applicable as plasma-facing material for high-heat-flux components.

  16. A new fully automatic PIM tool to replicate two component tungsten DEMO divertor parts

    International Nuclear Information System (INIS)

    Antusch, Steffen; Commin, Lorelei; Heneka, Jochen; Piotter, Volker; Plewa, Klaus; Walter, Heinz

    2013-01-01

    Highlights: • Development of a fully automatic 2C-PIM tool. • Replicate fusion relevant components in one step without additional brazing. • No cracks or gaps in the seam of the joining zone visible. • For both material combinations a solid bond of the material interface was achieved. • PIM is a powerful process for mass production as well as for joining even complex shaped parts. -- Abstract: At Karlsruhe Institute of Technology (KIT), divertor design concepts for future nuclear fusion power plants beyond ITER are intensively investigated. One promising KIT divertor design concept for the future DEMO power reactor is based on modular He-cooled finger units. The manufacturing of such parts by mechanical machining such as milling and turning, however, is extremely cost and time intensive because tungsten is very hard and brittle. Powder Injection Molding (PIM) has been adapted to tungsten processing at KIT since a couple of years. This production method is deemed promising in view of large-scale production of tungsten parts with high near-net-shape precision, hence, offering an advantage of cost-saving process compared to conventional machining. The properties of the effectively and successfully manufactured divertor part tile consisting only of pure tungsten are a microstructure without cracks and a high density (>98% T.D.). Based on the achieved results a new fully automatic multicomponent PIM tool was developed and allows the replication and joining without brazing of fusion relevant components of different materials in one step and the creation of composite materials. This contribution describes the process route to design and engineer a new fully automatic 2C-PIM tool, including the filling simulation and the implementing of the tool. The complete technological fabrication process of tungsten 2C-PIM, including material and feedstock (powder and binder) development, injection molding, and heat-treatment of real DEMO divertor parts is outlined

  17. Electron beam welding of aluminium components

    International Nuclear Information System (INIS)

    Maajid, Ali; Vadali, S.K.; Maury, D.K.

    2015-01-01

    Aluminium is one of the most widely used materials in industries like transportation, shipbuilding, manufacturing, aerospace, nuclear, etc. The challenges in joining of aluminium are distortion, cleanliness and quality. Main difficulties faced during fusion welding of aluminium components are removal of surface oxide layer, weld porosity, high heat input requirement, distortion, hot cracking, etc. Physical properties of aluminium such as its high thermal conductivity, high coefficient of thermal expansion, no change in colour at high temperature, large difference in the melting points of the metal and its oxide (∼ 1400 °C) compound the difficulties faced during welding. Gas Tungsten Arc Welding (GTAW), Gas Metal Arc Welding (GMAW), Plasma Arc Welding (PAW), etc are generally used in industries for fusion welding of aluminium alloys. However in case of thicker jobs the above processes are not suitable due to requirements of elaborate edge preparation, preheating of jobs, fixturing to prevent distortion, etc. Moreover, precise control over the heat input during welding and weld bead penetration is not possible with above processes. Further, if heat sensitive parts are located near the weld joint then high energy density beam welding process like Electron Beam Welding (EBW) is the best possible choice for aluminium welding.This paper discusses EB welding of aluminium components, typical geometry of components, selection/optimization of welding parameters, problems faced during standardization of welding and process parameters and their remedies etc.

  18. Evaluation of surface, microstructure and phase modifications on various tungsten grades induced by pulsed plasma loading

    Czech Academy of Sciences Publication Activity Database

    Vilémová, Monika; Pala, Zdeněk; Jäger, Aleš; Matějíček, Jiří; Chernyshova, M.; Kowalska-Strzęciwilk, E.; Tonarová, Dana; Gribkov, V. A.

    2016-01-01

    Roč. 91, č. 3 (2016), č. článku 034003. ISSN 0031-8949. [PLASMA 2015 : International Conference on Research and Applications of Plasmas. Warsaw, 07.09.2015-11.09.2015] R&D Projects: GA ČR(CZ) GA14-12837S Institutional support: RVO:61389021 ; RVO:68378271 Keywords : tungsten * titanium carbide * yttrium oxide * plasma focus * damage Subject RIV: BL - Plasma and Gas Discharge Physics; BL - Plasma and Gas Discharge Physics (FZU-D) OBOR OECD: 1.3 Physical sciences; 1.3 Physical sciences (FZU-D) Impact factor: 1.280, year: 2016 http://iopscience.iop.org/article/10.1088/0031-8949/91/3/034003/meta

  19. High pulse number thermal shock tests on tungsten with steady state particle background

    Science.gov (United States)

    Wirtz, M.; Kreter, A.; Linke, J.; Loewenhoff, Th; Pintsuk, G.; Sergienko, G.; Steudel, I.; Unterberg, B.; Wessel, E.

    2017-12-01

    Thermal fatigue of metallic materials, which will be exposed to severe environmental conditions e.g. plasma facing materials in future fusion reactors, is an important issue in order to predict the life time of complete wall components. Therefore experiments in the linear plasma device PSI-2 were performed to investigate the synergistic effects of high pulse number thermal shock events (L = 0.38 GW m-2, Δt = 0.5 ms) and stationary D/He (6%) plasma particle background on the thermal fatigue behavior of tungsten. Similar to experiments with pure thermal loads, the induced microstructural and surface modifications such as recrystallization and roughening as well as crack formation become more pronounced with increasing number of thermal shock events. However, the amount of damage significantly increases for synergistic loads showing severe surface roughening, plastic deformation and erosion resulting from the degradation of the mechanical properties caused by bombardment and diffusion of D/He to the surface and the bulk of the material. Additionally, D/He induced blistering and bubble formation were observed for all tested samples, which could change the thermal and mechanical properties of near surface regions.

  20. Plasma exposure behavior of re-deposited tungsten on structural materials of fusion reactors

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yu-Ping; Wang, Jing [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Zhou, Hai-Shan, E-mail: haishanzhou@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Liu, Feng [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Li, Zeng-De [General Research Institute for Nonferrous Metals, Beijing 100088 (China); Li, Xiao-Chun; Lu, Tao [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Liu, Hao-Dong [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Ding, Fang; Mao, Hong-Min; Zhao, Ming-Zhong [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Lin, Chen-Guang [General Research Institute for Nonferrous Metals, Beijing 100088 (China); Luo, Guang-Nan [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei 230031 (China); Science Island Branch of Graduate School, University of Science & Technology of China, Hefei 230031 (China); Hefei Center for Physical Science and Technology, Hefei 230031 (China); Hefei Science Center of Chinese Academy of Science, Hefei 230027 (China)

    2017-05-15

    To evaluate the effects of re-deposited tungsten (W) on the surface modification and hydrogen isotope retention behavior of fusion structural materials, the plasma exposure behavior of re-deposited W samples prepared by magnetron sputtering on the F82H steel, the V-5Cr-5Ti alloy as well as bare substrate samples was investigated. All the samples were exposed to 367 shots of deuterium plasmas in the 2015 spring EAST campaign. After the plasma exposure, large area of W layer was exfoliated, while big blisters were found at the interface between the remaining W layer and the substrate materials. The deuterium retention behavior of the samples with re-deposited W layer was characterized by thermal desorption spectroscopy and compared with the bare substrate samples.