WorldWideScience

Sample records for transistor memory cell

  1. Concept of rewritable organic ferroelectric random access memory in two lateral transistors-in-one cell architecture

    International Nuclear Information System (INIS)

    Kim, Min-Hoi; Lee, Gyu Jeong; Keum, Chang-Min; Lee, Sin-Doo

    2014-01-01

    We propose a concept of rewritable ferroelectric random access memory (RAM) with two lateral organic transistors-in-one cell architecture. Lateral integration of a paraelectric organic field-effect transistor (OFET), being a selection transistor, and a ferroelectric OFET as a memory transistor is realized using a paraelectric depolarizing layer (PDL) which is patterned on a ferroelectric insulator by transfer-printing. For the selection transistor, the key roles of the PDL are to reduce the dipolar strength and the surface roughness of the gate insulator, leading to the low memory on–off ratio and the high switching on–off current ratio. A new driving scheme preventing the crosstalk between adjacent memory cells is also demonstrated for the rewritable operation of the ferroelectric RAM. (paper)

  2. Ultra Low Voltage Class AB Switched Current Memory Cells Based on Floating Gate Transistors

    DEFF Research Database (Denmark)

    Mucha, Igor

    1999-01-01

    current memory cells were designed using a CMOS process with threshold voltages V-T0n = \\V-T0p\\ = 0.9 V for the n- and p-channel devices. Both hand calculations and PSPICE simulations showed that the designed example switched current memory cell allowed a maximum signal range better than +/-18 mu......A proposal for a class AB switched current memory cell, suitable for ultra-low-voltage applications is presented. The proposal employs transistors with floating gates, allowing to build analog building blocks for ultralow supply voltage operation also in CMOS processes with high threshold voltages....... This paper presents the theoretical basis for the design of "floating-gate'' switched current memory cells by giving a detailed description and analysis of the most important impacts degrading the performance of the cells. To support the theoretical assumptions circuits based on "floating-gate'' switched...

  3. Ferroelectric-gate field effect transistor memories device physics and applications

    CERN Document Server

    Ishiwara, Hiroshi; Okuyama, Masanori; Sakai, Shigeki; Yoon, Sung-Min

    2016-01-01

    This book provides comprehensive coverage of the materials characteristics, process technologies, and device operations for memory field-effect transistors employing inorganic or organic ferroelectric thin films. This transistor-type ferroelectric memory has interesting fundamental device physics and potentially large industrial impact. Among the various applications of ferroelectric thin films, the development of nonvolatile ferroelectric random access memory (FeRAM) has progressed most actively since the late 1980s and has achieved modest mass production levels for specific applications since 1995. There are two types of memory cells in ferroelectric nonvolatile memories. One is the capacitor-type FeRAM and the other is the field-effect transistor (FET)-type FeRAM. Although the FET-type FeRAM claims ultimate scalability and nondestructive readout characteristics, the capacitor-type FeRAMs have been the main interest for the major semiconductor memory companies, because the ferroelectric FET has fatal handic...

  4. Homogeneous-oxide stack in IGZO thin-film transistors for multi-level-cell NAND memory application

    Science.gov (United States)

    Ji, Hao; Wei, Yehui; Zhang, Xinlei; Jiang, Ran

    2017-11-01

    A nonvolatile charge-trap-flash memory that is based on amorphous indium-gallium-zinc-oxide thin film transistors was fabricated with a homogeneous-oxide structure for a multi-level-cell application. All oxide layers, i.e., tunneling layer, charge trapping layer, and blocking layer, were fabricated with Al2O3 films. The fabrication condition (including temperature and deposition method) of the charge trapping layer was different from those of the other oxide layers. This device demonstrated a considerable large memory window of 4 V between the states fully erased and programmed with the operation voltage less than 14 V. This kind of device shows a good prospect for multi-level-cell memory applications.

  5. Gold nanoparticle-pentacene memory-transistors

    OpenAIRE

    Novembre , Christophe; Guerin , David; Lmimouni , Kamal; Gamrat , Christian; Vuillaume , Dominique

    2008-01-01

    We demonstrate an organic memory-transistor device based on a pentacene-gold nanoparticles active layer. Gold (Au) nanoparticles are immobilized on the gate dielectric (silicon dioxide) of a pentacene transistor by an amino-terminated self-assembled monolayer. Under the application of writing and erasing pulses on the gate, large threshold voltage shift (22 V) and on/off drain current ratio of ~3E4 are obtained. The hole field-effect mobility of the transistor is similar in the on and off sta...

  6. Reprogrammable read only variable threshold transistor memory with isolated addressing buffer

    Science.gov (United States)

    Lodi, Robert J.

    1976-01-01

    A monolithic integrated circuit, fully decoded memory comprises a rectangular array of variable threshold field effect transistors organized into a plurality of multi-bit words. Binary address inputs to the memory are decoded by a field effect transistor decoder into a plurality of word selection lines each of which activates an address buffer circuit. Each address buffer circuit, in turn, drives a word line of the memory array. In accordance with the word line selected by the decoder the activated buffer circuit directs reading or writing voltages to the transistors comprising the memory words. All of the buffer circuits additionally are connected to a common terminal for clearing all of the memory transistors to a predetermined state by the application to the common terminal of a large magnitude voltage of a predetermined polarity. The address decoder, the buffer and the memory array, as well as control and input/output control and buffer field effect transistor circuits, are fabricated on a common substrate with means provided to isolate the substrate of the address buffer transistors from the remainder of the substrate so that the bulk clearing function of simultaneously placing all of the memory transistors into a predetermined state can be performed.

  7. Tunnel field-effect transistor charge-trapping memory with steep subthreshold slope and large memory window

    Science.gov (United States)

    Kino, Hisashi; Fukushima, Takafumi; Tanaka, Tetsu

    2018-04-01

    Charge-trapping memory requires the increase of bit density per cell and a larger memory window for lower-power operation. A tunnel field-effect transistor (TFET) can achieve to increase the bit density per cell owing to its steep subthreshold slope. In addition, a TFET structure has an asymmetric structure, which is promising for achieving a larger memory window. A TFET with the N-type gate shows a higher electric field between the P-type source and the N-type gate edge than the conventional FET structure. This high electric field enables large amounts of charges to be injected into the charge storage layer. In this study, we fabricated silicon-oxide-nitride-oxide-semiconductor (SONOS) memory devices with the TFET structure and observed a steep subthreshold slope and a larger memory window.

  8. Light programmable organic transistor memory device based on hybrid dielectric

    Science.gov (United States)

    Ren, Xiaochen; Chan, Paddy K. L.

    2013-09-01

    We have fabricated the transistor memory devices based on SiO2 and polystyrene (PS) hybrid dielectric. The trap states densities with different semiconductors have been investigated and a maximum 160V memory window between programming and erasing is realized. For DNTT based transistor, the trapped electron density is limited by the number of mobile electrons in semiconductor. The charge transport mechanism is verified by light induced Vth shift effect. Furthermore, in order to meet the low operating power requirement of portable electronic devices, we fabricated the organic memory transistor based on AlOx/self-assembly monolayer (SAM)/PS hybrid dielectric, the effective capacitance of hybrid dielectric is 210 nF cm-2 and the transistor can reach saturation state at -3V gate bias. The memory window in transfer I-V curve is around 1V under +/-5V programming and erasing bias.

  9. Suppressing the memory state of floating gate transistors with repeated femtosecond laser backside irradiations

    Science.gov (United States)

    Chambonneau, Maxime; Souiki-Figuigui, Sarra; Chiquet, Philippe; Della Marca, Vincenzo; Postel-Pellerin, Jérémy; Canet, Pierre; Portal, Jean-Michel; Grojo, David

    2017-04-01

    We demonstrate that infrared femtosecond laser pulses with intensity above the two-photon ionization threshold of crystalline silicon induce charge transport through the tunnel oxide in floating gate Metal-Oxide-Semiconductor transistor devices. With repeated irradiations of Flash memory cells, we show how the laser-produced free-electrons naturally redistribute on both sides of the tunnel oxide until the electric field of the transistor is suppressed. This ability enables us to determine in a nondestructive, rapid and contactless way the flat band and the neutral threshold voltages of the tested device. The physical mechanisms including nonlinear ionization, quantum tunneling of free-carriers, and flattening of the band diagram are discussed for interpreting the experiments. The possibility to control the carriers in memory transistors with ultrashort pulses holds promises for fast and remote device analyses (reliability, security, and defectivity) and for considerable developments in the growing field of ultrafast microelectronics.

  10. Field-effect transistor memories based on ferroelectric polymers

    Science.gov (United States)

    Zhang, Yujia; Wang, Haiyang; Zhang, Lei; Chen, Xiaomeng; Guo, Yu; Sun, Huabin; Li, Yun

    2017-11-01

    Field-effect transistors based on ferroelectrics have attracted intensive interests, because of their non-volatile data retention, rewritability, and non-destructive read-out. In particular, polymeric materials that possess ferroelectric properties are promising for the fabrications of memory devices with high performance, low cost, and large-area manufacturing, by virtue of their good solubility, low-temperature processability, and good chemical stability. In this review, we discuss the material characteristics of ferroelectric polymers, providing an update on the current development of ferroelectric field-effect transistors (Fe-FETs) in non-volatile memory applications. Program supported partially by the NSFC (Nos. 61574074, 61774080), NSFJS (No. BK20170075), and the Open Partnership Joint Projects of NSFC-JSPS Bilateral Joint Research Projects (No. 61511140098).

  11. Subthreshold-swing-adjustable tunneling-field-effect-transistor-based random-access memory for nonvolatile operation

    Science.gov (United States)

    Huh, In; Cheon, Woo Young; Choi, Woo Young

    2016-04-01

    A subthreshold-swing-adjustable tunneling-field-effect-transistor-based random-access memory (SAT RAM) has been proposed and fabricated for low-power nonvolatile memory applications. The proposed SAT RAM cell demonstrates adjustable subthreshold swing (SS) depending on stored information: small SS in the erase state ("1" state) and large SS in the program state ("0" state). Thus, SAT RAM cells can achieve low read voltage (Vread) with a large memory window in addition to the effective suppression of ambipolar behavior. These unique features of the SAT RAM are originated from the locally stored charge, which modulates the tunneling barrier width (Wtun) of the source-to-channel tunneling junction.

  12. One bipolar transistor selector - One resistive random access memory device for cross bar memory array

    Science.gov (United States)

    Aluguri, R.; Kumar, D.; Simanjuntak, F. M.; Tseng, T.-Y.

    2017-09-01

    A bipolar transistor selector was connected in series with a resistive switching memory device to study its memory characteristics for its application in cross bar array memory. The metal oxide based p-n-p bipolar transistor selector indicated good selectivity of about 104 with high retention and long endurance showing its usefulness in cross bar RRAM devices. Zener tunneling is found to be the main conduction phenomena for obtaining high selectivity. 1BT-1R device demonstrated good memory characteristics with non-linearity of 2 orders, selectivity of about 2 orders and long retention characteristics of more than 105 sec. One bit-line pull-up scheme shows that a 650 kb cross bar array made with this 1BT1R devices works well with more than 10 % read margin proving its ability in future memory technology application.

  13. A Vertical Organic Transistor Architecture for Fast Nonvolatile Memory.

    Science.gov (United States)

    She, Xiao-Jian; Gustafsson, David; Sirringhaus, Henning

    2017-02-01

    A new device architecture for fast organic transistor memory is developed, based on a vertical organic transistor configuration incorporating high-performance ambipolar conjugated polymers and unipolar small molecules as the transport layers, to achieve reliable and fast programming and erasing of the threshold voltage shift in less than 200 ns. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Abnormal Multiple Charge Memory States in Exfoliated Few-Layer WSe2 Transistors.

    Science.gov (United States)

    Chen, Mikai; Wang, Yifan; Shepherd, Nathan; Huard, Chad; Zhou, Jiantao; Guo, L J; Lu, Wei; Liang, Xiaogan

    2017-01-24

    To construct reliable nanoelectronic devices based on emerging 2D layered semiconductors, we need to understand the charge-trapping processes in such devices. Additionally, the identified charge-trapping schemes in such layered materials could be further exploited to make multibit (or highly desirable analog-tunable) memory devices. Here, we present a study on the abnormal charge-trapping or memory characteristics of few-layer WSe 2 transistors. This work shows that multiple charge-trapping states with large extrema spacing, long retention time, and analog tunability can be excited in the transistors made from mechanically exfoliated few-layer WSe 2 flakes, whereas they cannot be generated in widely studied few-layer MoS 2 transistors. Such charge-trapping characteristics of WSe 2 transistors are attributed to the exfoliation-induced interlayer deformation on the cleaved surfaces of few-layer WSe 2 flakes, which can spontaneously form ambipolar charge-trapping sites. Our additional results from surface characterization, charge-retention characterization at different temperatures, and density functional theory computation strongly support this explanation. Furthermore, our research also demonstrates that the charge-trapping states excited in multiple transistors can be calibrated into consistent multibit data storage levels. This work advances the understanding of the charge memory mechanisms in layered semiconductors, and the observed charge-trapping states could be further studied for enabling ultralow-cost multibit analog memory devices.

  15. Modeling of strain effects on the device behaviors of ferroelectric memory field-effect transistors

    International Nuclear Information System (INIS)

    Yang, Feng; Hu, Guangda; Wu, Weibing; Yang, Changhong; Wu, Haitao; Tang, Minghua

    2013-01-01

    The influence of strains on the channel current–gate voltage behaviors and memory windows of ferroelectric memory field-effect transistors (FeMFETs) were studied using an improved model based on the Landau–Devonshire theory. ‘Channel potential–gate voltage’ ferroelectric polarization and silicon surface potential diagrams were constructed for strained single-domain BaTiO 3 FeMFETs. The compressive strains can increase (or decrease) the amplitude of transistor currents and enlarge memory windows. However, tensile strains only decrease the maximum value of transistor currents and compress memory windows. Mismatch strains were found to have a significant influence on the electrical behaviors of the devices, therefore, they must be considered in FeMFET device designing. (fast track communication)

  16. Ultra-Low Voltage Class AB Switched Current Memory Cell

    DEFF Research Database (Denmark)

    Igor, Mucha

    1996-01-01

    This paper presents the theoretical basis for the design of class AB switched current memory cells employing floating-gate MOS transistors, suitable for ultra-low-voltage applications. To support the theoretical assumptions circuits based on these cells were designed using a CMOS process with thr......This paper presents the theoretical basis for the design of class AB switched current memory cells employing floating-gate MOS transistors, suitable for ultra-low-voltage applications. To support the theoretical assumptions circuits based on these cells were designed using a CMOS process...... with threshold voltages of 0.9V. Both hand calculations and PSPICE simulations showed that the cells designed allowed a maximum signal range better than +/-13 micoamp, with a supply voltage down to 1V and a quiescent bias current of 1 microamp, resulting in a very high current efficiency and effective power...

  17. Memory operation devices based on light-illumination ambipolar carbon-nanotube thin-film-transistors

    International Nuclear Information System (INIS)

    Aïssa, B.; Nedil, M.; Kroeger, J.; Haddad, T.; Rosei, F.

    2015-01-01

    We report the memory operation behavior of a light illumination ambipolar single-walled carbon nanotube thin film field-effect transistors devices. In addition to the high electronic-performance, such an on/off transistor-switching ratio of 10 4 and an on-conductance of 18 μS, these memory devices have shown a high retention time of both hole and electron-trapping modes, reaching 2.8 × 10 4  s at room temperature. The memory characteristics confirm that light illumination and electrical field can act as an independent programming/erasing operation method. This could be a fundamental step toward achieving high performance and stable operating nanoelectronic memory devices

  18. P-channel differential multiple-time programmable memory cells by laterally coupled floating metal gate fin field-effect transistors

    Science.gov (United States)

    Wang, Tai-Min; Chien, Wei-Yu; Hsu, Chia-Ling; Lin, Chrong Jung; King, Ya-Chin

    2018-04-01

    In this paper, we present a new differential p-channel multiple-time programmable (MTP) memory cell that is fully compatible with advanced 16 nm CMOS fin field-effect transistors (FinFET) logic processes. This differential MTP cell stores complementary data in floating gates coupled by a slot contact structure, which make different read currents possible on a single cell. In nanoscale CMOS FinFET logic processes, the gate dielectric layer becomes too thin to retain charges inside floating gates for nonvolatile data storage. By using a differential architecture, the sensing window of the cell can be extended and maintained by an advanced blanket boost scheme. The charge retention problem in floating gate cells can be improved by periodic restoring lost charges when significant read window narrowing occurs. In addition to high programming efficiency, this p-channel MTP cells also exhibit good cycling endurance as well as disturbance immunity. The blanket boost scheme can remedy the charge loss problem under thin gate dielectrics.

  19. Surface engineering of ferroelectric polymer for the enhanced electrical performance of organic transistor memory

    Science.gov (United States)

    Kim, Do-Kyung; Lee, Gyu-Jeong; Lee, Jae-Hyun; Kim, Min-Hoi; Bae, Jin-Hyuk

    2018-05-01

    We suggest a viable surface control method to improve the electrical properties of organic nonvolatile memory transistors. For viable surface control, the surface of the ferroelectric insulator in the memory field-effect transistors was modified using a smooth-contact-curing process. For the modification of the ferroelectric polymer, during the curing of the ferroelectric insulators, the smooth surface of a soft elastomer contacts intimately with the ferroelectric surface. This smooth-contact-curing process reduced the surface roughness of the ferroelectric insulator without degrading its ferroelectric properties. The reduced roughness of the ferroelectric insulator increases the mobility of the organic field-effect transistor by approximately eight times, which results in a high memory on–off ratio and a low-voltage reading operation.

  20. The memory effect of a pentacene field-effect transistor with a polarizable gate dielectric

    Science.gov (United States)

    Unni, K. N. N.; de Bettignies, Remi; Dabos-Seignon, Sylvie; Nunzi, Jean-Michel

    2004-06-01

    The nonvolatile transistor memory element is an interesting topic in organic electronics. In this case a memory cell consists of only one device where the stored information is written as a gate insulator polarization by a gate voltage pulse and read by the channel conductance control with channel voltage pulse without destruction of the stored information. Therefore such transistor could be the base of non-volatile non-destructively readable computer memory of extremely high density. Also devices with polarizable gate dielectrics can function more effectively in certain circuits. The effective threshold voltage Vt can be brought very close to zero, for applications where the available gate voltage is limited. Resonant and adaptive circuits can be tuned insitu by polarizing the gates. Poly(vinylidene fluoride), PVDF and its copolymer with trifluoroethylene P(VDF-TrFE) are among the best known and most widely used ferroelectric polymers. In this manuscript, we report new results of an organic FET, fabricated with pentacene as the active material and P(VDF-TrFE) as the gate insulator. Application of a writing voltage of -50 V for short duration results in significant change in the threshold voltage and remarkable increase in the drain current. The memory effect is retained over a period of 20 hours.

  1. Memory operation devices based on light-illumination ambipolar carbon-nanotube thin-film-transistors

    Energy Technology Data Exchange (ETDEWEB)

    Aïssa, B., E-mail: aissab@emt.inrs.ca [Qatar Environment and Energy Research Institute (QEERI), Qatar Foundation, P.O. Box 5825, Doha (Qatar); Centre Energie, Matériaux et Télécommunications, INRS, 1650, Boulevard Lionel-Boulet Varennes, Quebec J3X 1S2 (Canada); Nedil, M. [Telebec Wireless Underground Communication Laboratory, UQAT, 675, 1ère Avenue, Val d' Or, Quebec J9P 1Y3 (Canada); Kroeger, J. [NanoIntegris & Raymor Nanotech, Raymor Industries Inc., 3765 La Vérendrye, Boisbriand, Quebec J7H 1R8 (Canada); Haddad, T. [Department of Mechanical Engineering, McGill University, Montreal, Quebec H3A 0B8 (Canada); Rosei, F. [Centre Energie, Matériaux et Télécommunications, INRS, 1650, Boulevard Lionel-Boulet Varennes, Quebec J3X 1S2 (Canada)

    2015-09-28

    We report the memory operation behavior of a light illumination ambipolar single-walled carbon nanotube thin film field-effect transistors devices. In addition to the high electronic-performance, such an on/off transistor-switching ratio of 10{sup 4} and an on-conductance of 18 μS, these memory devices have shown a high retention time of both hole and electron-trapping modes, reaching 2.8 × 10{sup 4} s at room temperature. The memory characteristics confirm that light illumination and electrical field can act as an independent programming/erasing operation method. This could be a fundamental step toward achieving high performance and stable operating nanoelectronic memory devices.

  2. Quasi-unipolar pentacene films embedded with fullerene for non-volatile organic transistor memories

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Juhee; Lee, Sungpyo; Lee, Moo Hyung; Kang, Moon Sung, E-mail: mskang@ssu.ac.kr [Department of Chemical Engineering, Soongsil University, Seoul 156-743 (Korea, Republic of)

    2015-02-09

    Quasi-unipolar non-volatile organic transistor memory (NOTM) can combine the best characteristics of conventional unipolar and ambipolar NOTMs and, as a result, exhibit improved device performance. Unipolar NOTMs typically exhibit a large signal ratio between the programmed and erased current signals but also require a large voltage to program and erase the memory cells. Meanwhile, an ambipolar NOTM can be programmed and erased at lower voltages, but the resulting signal ratio is small. By embedding a discontinuous n-type fullerene layer within a p-type pentacene film, quasi-unipolar NOTMs are fabricated, of which the signal storage utilizes both electrons and holes while the electrical signal relies on only hole conduction. These devices exhibit superior memory performance relative to both pristine unipolar pentacene devices and ambipolar fullerene/pentacene bilayer devices. The quasi-unipolar NOTM exhibited a larger signal ratio between the programmed and erased states while also reducing the voltage required to program and erase a memory cell. This simple approach should be readily applicable for various combinations of advanced organic semiconductors that have been recently developed and thereby should make a significant impact on organic memory research.

  3. Large scale integration of flexible non-volatile, re-addressable memories using P(VDF-TrFE) and amorphous oxide transistors

    International Nuclear Information System (INIS)

    Gelinck, Gerwin H; Cobb, Brian; Van Breemen, Albert J J M; Myny, Kris

    2015-01-01

    Ferroelectric polymers and amorphous metal oxide semiconductors have emerged as important materials for re-programmable non-volatile memories and high-performance, flexible thin-film transistors, respectively. However, realizing sophisticated transistor memory arrays has proven to be a challenge, and demonstrating reliable writing to and reading from such a large scale memory has thus far not been demonstrated. Here, we report an integration of ferroelectric, P(VDF-TrFE), transistor memory arrays with thin-film circuitry that can address each individual memory element in that array. n-type indium gallium zinc oxide is used as the active channel material in both the memory and logic thin-film transistors. The maximum process temperature is 200 °C, allowing plastic films to be used as substrate material. The technology was scaled up to 150 mm wafer size, and offers good reproducibility, high device yield and low device variation. This forms the basis for successful demonstration of memory arrays, read and write circuitry, and the integration of these. (paper)

  4. Organic field-effect transistor nonvolatile memories utilizing sputtered C nanoparticles as nano-floating-gate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jie; Liu, Chang-Hai; She, Xiao-Jian; Sun, Qi-Jun; Gao, Xu; Wang, Sui-Dong, E-mail: wangsd@suda.edu.cn [Institute of Functional Nano and Soft Materials (FUNSOM), Soochow University, Suzhou, Jiangsu 215123 (China)

    2014-10-20

    High-performance organic field-effect transistor nonvolatile memories have been achieved using sputtered C nanoparticles as the nano-floating-gate. The sputtered C nano-floating-gate is prepared with low-cost material and simple process, forming uniform and discrete charge trapping sites covered by a smooth and complete polystyrene layer. The devices show large memory window, excellent retention capability, and programming/reading/erasing/reading endurance. The sputtered C nano-floating-gate can effectively trap both holes and electrons, and it is demonstrated to be suitable for not only p-type but also n-type organic field-effect transistor nonvolatile memories.

  5. Organic field-effect transistor nonvolatile memories utilizing sputtered C nanoparticles as nano-floating-gate

    International Nuclear Information System (INIS)

    Liu, Jie; Liu, Chang-Hai; She, Xiao-Jian; Sun, Qi-Jun; Gao, Xu; Wang, Sui-Dong

    2014-01-01

    High-performance organic field-effect transistor nonvolatile memories have been achieved using sputtered C nanoparticles as the nano-floating-gate. The sputtered C nano-floating-gate is prepared with low-cost material and simple process, forming uniform and discrete charge trapping sites covered by a smooth and complete polystyrene layer. The devices show large memory window, excellent retention capability, and programming/reading/erasing/reading endurance. The sputtered C nano-floating-gate can effectively trap both holes and electrons, and it is demonstrated to be suitable for not only p-type but also n-type organic field-effect transistor nonvolatile memories.

  6. The influence of nitride thickness variations on the switching speed of MNOS memory transistors

    DEFF Research Database (Denmark)

    Bruun, Erik

    1978-01-01

    The influence of nitride thickness variations on the switching speed of MNOS memory transistors is examined. The switching time constant is calculated as a function of the nitride thickness using a model of modified Fowler-Nordheim injection. The calculated characteristics compare well with measu......The influence of nitride thickness variations on the switching speed of MNOS memory transistors is examined. The switching time constant is calculated as a function of the nitride thickness using a model of modified Fowler-Nordheim injection. The calculated characteristics compare well...

  7. Ambipolar nonvolatile memory based on a quantum-dot transistor with a nanoscale floating gate

    International Nuclear Information System (INIS)

    Che, Yongli; Zhang, Yating; Song, Xiaoxian; Cao, Mingxuan; Zhang, Guizhong; Yao, Jianquan; Cao, Xiaolong; Dai, Haitao; Yang, Junbo

    2016-01-01

    Using only solution processing methods, we developed ambipolar quantum-dot (QD) transistor floating-gate memory (FGM) that uses Au nanoparticles as a floating gate. Because of the bipolarity of the active channel of PbSe QDs, the memory could easily trap holes or electrons in the floating gate by programming/erasing (P/E) operations, which could shift the threshold voltage both up and down. As a result, the memory exhibited good programmable memory characteristics: a large memory window (ΔV th  ∼ 15 V) and a long retention time (>10 5  s). The magnitude of ΔV th depended on both P/E voltages and the bias voltage (V DS ): ΔV th was a cubic function to V P/E and linearly depended on V DS . Therefore, this FGM based on a QD transistor is a promising alternative to its inorganic counterparts owing to its advantages of bipolarity, high mobility, low cost, and large-area production.

  8. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    Science.gov (United States)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  9. Ambipolar nonvolatile memory based on a quantum-dot transistor with a nanoscale floating gate

    Energy Technology Data Exchange (ETDEWEB)

    Che, Yongli; Zhang, Yating, E-mail: yating@tju.edu.cn; Song, Xiaoxian; Cao, Mingxuan; Zhang, Guizhong; Yao, Jianquan [Institute of Laser and Opto-Electronics, College of Precision Instruments and Opto-Electronics Engineering, Tianjin University, Tianjin 300072 (China); Key Laboratory of Opto-Electronics Information Technology, Ministry of Education, Tianjin University, Tianjin 300072 (China); Cao, Xiaolong [Institute of Laser and Opto-Electronics, College of Precision Instruments and Opto-Electronics Engineering, Tianjin University, Tianjin 300072 (China); Key Laboratory of Opto-Electronics Information Technology, Ministry of Education, Tianjin University, Tianjin 300072 (China); College of Mechanical and Electronic Engineering, Shandong University of Science and Technology, Qingdao 266590 (China); Dai, Haitao [Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparing Technology, School of Science, Tianjin University, Tianjin 300072 (China); Yang, Junbo [Center of Material Science, National University of Defense Technology, Changsha 410073 (China)

    2016-07-04

    Using only solution processing methods, we developed ambipolar quantum-dot (QD) transistor floating-gate memory (FGM) that uses Au nanoparticles as a floating gate. Because of the bipolarity of the active channel of PbSe QDs, the memory could easily trap holes or electrons in the floating gate by programming/erasing (P/E) operations, which could shift the threshold voltage both up and down. As a result, the memory exhibited good programmable memory characteristics: a large memory window (ΔV{sub th} ∼ 15 V) and a long retention time (>10{sup 5 }s). The magnitude of ΔV{sub th} depended on both P/E voltages and the bias voltage (V{sub DS}): ΔV{sub th} was a cubic function to V{sub P/E} and linearly depended on V{sub DS}. Therefore, this FGM based on a QD transistor is a promising alternative to its inorganic counterparts owing to its advantages of bipolarity, high mobility, low cost, and large-area production.

  10. A hybrid ferroelectric-flash memory cells

    Science.gov (United States)

    Park, Jae Hyo; Byun, Chang Woo; Seok, Ki Hwan; Kim, Hyung Yoon; Chae, Hee Jae; Lee, Sol Kyu; Son, Se Wan; Ahn, Donghwan; Joo, Seung Ki

    2014-09-01

    A ferroelectric-flash (F-flash) memory cells having a metal-ferroelectric-nitride-oxynitride-silicon structure are demonstrated, and the ferroelectric materials were perovskite-dominated Pb(Zr,Ti)O3 (PZT) crystallized by Pt gate electrode. The PZT thin-film as a blocking layer improves electrical and memorial performance where programming and erasing mechanism are different from the metal-ferroelectric-insulator-semiconductor device or the conventional silicon-oxide-nitride-oxide-silicon device. F-flash cells exhibit not only the excellent electrical transistor performance, having 442.7 cm2 V-1 s-1 of field-effect mobility, 190 mV dec-1 of substhreshold slope, and 8 × 105 on/off drain current ratio, but also a high reliable memory characteristics, having a large memory window (6.5 V), low-operating voltage (0 to -5 V), faster P/E switching speed (50/500 μs), long retention time (>10 years), and excellent fatigue P/E cycle (>105) due to the boosting effect, amplification effect, and energy band distortion of nitride from the large polarization. All these characteristics correspond to the best performances among conventional flash cells reported so far.

  11. Transistor memory devices with large memory windows, using multi-stacking of densely packed, hydrophobic charge trapping metal nanoparticle array

    International Nuclear Information System (INIS)

    Cho, Ikjun; Cho, Jinhan; Kim, Beom Joon; Cho, Jeong Ho; Ryu, Sook Won

    2014-01-01

    Organic field-effect transistor (OFET) memories have rapidly evolved from low-cost and flexible electronics with relatively low-memory capacities to memory devices that require high-capacity memory such as smart memory cards or solid-state hard drives. Here, we report the high-capacity OFET memories based on the multilayer stacking of densely packed hydrophobic metal NP layers in place of the traditional transistor memory systems based on a single charge trapping layer. We demonstrated that the memory performances of devices could be significantly enhanced by controlling the adsorption isotherm behavior, multilayer stacking structure and hydrophobicity of the metal NPs. For this study, tetraoctylammonium (TOA)-stabilized Au nanoparticles (TOA-Au NPs ) were consecutively layer-by-layer (LbL) assembled with an amine-functionalized poly(amidoamine) dendrimer (PAD). The formed (PAD/TOA-Au NP ) n films were used as a multilayer stacked charge trapping layer at the interface between the tunneling dielectric layer and the SiO 2 gate dielectric layer. For a single Au NP layer (i.e. PAD/TOA-Au NP ) 1 ) with a number density of 1.82 × 10 12 cm −2 , the memory window of the OFET memory device was measured to be approximately 97 V. The multilayer stacked OFET memory devices prepared with four Au NP layers exhibited excellent programmable memory properties (i.e. a large memory window (ΔV th ) exceeding 145 V, a fast switching speed (1 μs), a high program/erase (P/E) current ratio (greater than 10 6 ) and good electrical reliability) during writing and erasing over a relatively short time scale under an operation voltage of 100 V applied at the gate. (paper)

  12. Organic Ferroelectric-Based 1T1T Random Access Memory Cell Employing a Common Dielectric Layer Overcoming the Half-Selection Problem.

    Science.gov (United States)

    Zhao, Qiang; Wang, Hanlin; Ni, Zhenjie; Liu, Jie; Zhen, Yonggang; Zhang, Xiaotao; Jiang, Lang; Li, Rongjin; Dong, Huanli; Hu, Wenping

    2017-09-01

    Organic electronics based on poly(vinylidenefluoride/trifluoroethylene) (P(VDF-TrFE)) dielectric is facing great challenges in flexible circuits. As one indispensable part of integrated circuits, there is an urgent demand for low-cost and easy-fabrication nonvolatile memory devices. A breakthrough is made on a novel ferroelectric random access memory cell (1T1T FeRAM cell) consisting of one selection transistor and one ferroelectric memory transistor in order to overcome the half-selection problem. Unlike complicated manufacturing using multiple dielectrics, this system simplifies 1T1T FeRAM cell fabrication using one common dielectric. To achieve this goal, a strategy for semiconductor/insulator (S/I) interface modulation is put forward and applied to nonhysteretic selection transistors with high performances for driving or addressing purposes. As a result, high hole mobility of 3.81 cm 2 V -1 s -1 (average) for 2,6-diphenylanthracene (DPA) and electron mobility of 0.124 cm 2 V -1 s -1 (average) for N,N'-1H,1H-perfluorobutyl dicyanoperylenecarboxydiimide (PDI-FCN 2 ) are obtained in selection transistors. In this work, we demonstrate this technology's potential for organic ferroelectric-based pixelated memory module fabrication. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory

    International Nuclear Information System (INIS)

    Han, Jinhua; Wang, Wei; Ying, Jun; Xie, Wenfa

    2014-01-01

    An ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory was demonstrated, with discrete distributed gold nanoparticles, tetratetracontane (TTC), pentacene as the floating-gate layer, tunneling layer, and active layer, respectively. The electron traps at the TTC/pentacene interface were significantly suppressed, which resulted in an ambipolar operation in present memory. As both electrons and holes were supplied in the channel and trapped in the floating-gate by programming/erasing operations, respectively, i.e., one type of charge carriers was used to overwrite the other, trapped, one, a large memory window, extending on both sides of the initial threshold voltage, was realized

  14. Ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jinhua; Wang, Wei, E-mail: wwei99@jlu.edu.cn; Ying, Jun; Xie, Wenfa [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, 2699 Qianjin Street, Changchun 130012 (China)

    2014-01-06

    An ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory was demonstrated, with discrete distributed gold nanoparticles, tetratetracontane (TTC), pentacene as the floating-gate layer, tunneling layer, and active layer, respectively. The electron traps at the TTC/pentacene interface were significantly suppressed, which resulted in an ambipolar operation in present memory. As both electrons and holes were supplied in the channel and trapped in the floating-gate by programming/erasing operations, respectively, i.e., one type of charge carriers was used to overwrite the other, trapped, one, a large memory window, extending on both sides of the initial threshold voltage, was realized.

  15. FinFET memory cell improvements for higher immunity against single event upsets

    Science.gov (United States)

    Sajit, Ahmed Sattar

    The 21st century is witnessing a tremendous demand for transistors. Life amenities have incorporated the transistor in every aspect of daily life, ranging from toys to rocket science. Day by day, scaling down the transistor is becoming an imperious necessity. However, it is not a straightforward process; instead, it faces overwhelming challenges. Due to these scaling changes, new technologies, such as FinFETs for example, have emerged as alternatives to the conventional bulk-CMOS technology. FinFET has more control over the channel, therefore, leakage current is reduced. FinFET could bridge the gap between silicon devices and non-silicon devices. The semiconductor industry is now incorporating FinFETs in systems and subsystems. For example, Intel has been using them in their newest processors, delivering potential saving powers and increased speeds to memory circuits. Memory sub-systems are considered a vital component in the digital era. In memory, few rows are read or written at a time, while the most rows are static; hence, reducing leakage current increases the performance. However, as a transistor shrinks, it becomes more vulnerable to the effects from radioactive particle strikes. If a particle hits a node in a memory cell, the content might flip; consequently, leading to corrupting stored data. Critical fields, such as medical and aerospace, where there are no second chances and cannot even afford to operate at 99.99% accuracy, has induced me to find a rigid circuit in a radiated working environment. This research focuses on a wide spectrum of memories such as 6T SRAM, 8T SRAM, and DICE memory cells using FinFET technology and finding the best platform in terms of Read and Write delay, susceptibility level of SNM, RSNM, leakage current, energy consumption, and Single Event Upsets (SEUs). This research has shown that the SEU tolerance that 6T and 8T FinFET SRAMs provide may not be acceptable in medical and aerospace applications where there is a very high

  16. Multilevel SOT-MRAM Cell with a Novel Sensing Scheme for High-Density Memory Applications

    DEFF Research Database (Denmark)

    Zeinali, Behzad; Esmaeili, Mahsa; Madsen, Jens Kargaard

    2017-01-01

    This paper presents a multilevel spin-orbit torque magnetic random access memory (SOT-MRAM). The conventional SOT-MRAMs enables a reliable and energy efficient write operation. However, these cells require two access transistors per cell, hence the efficiency of the SOTMRAMs can be questioned in ...

  17. A multi-level capacitor-less memory cell fabricated on a nano-scale strained silicon-on-insulator

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Kim, Seong-Je; Shin, Mi-Hee; Song, Seung-Hyun; Shim, Tae-Hun; Chung, Sung-Woong; Enomoto, Hirofumi

    2011-01-01

    A multi-level capacitor-less memory cell was fabricated with a fully depleted n-metal-oxide-semiconductor field-effect transistor on a nano-scale strained silicon channel on insulator (FD sSOI n-MOSFET). The 0.73% biaxial tensile strain in the silicon channel of the FD sSOI n-MOSFET enhanced the effective electron mobility to ∼ 1.7 times that with an unstrained silicon channel. This thereby enables both front- and back-gate cell operations, demonstrating eight-level volatile memory-cell operation with a 1 ms retention time and 12 μA memory margin. This is a step toward achieving a terabit volatile memory cell.

  18. Copper atomic-scale transistors.

    Science.gov (United States)

    Xie, Fangqing; Kavalenka, Maryna N; Röger, Moritz; Albrecht, Daniel; Hölscher, Hendrik; Leuthold, Jürgen; Schimmel, Thomas

    2017-01-01

    We investigated copper as a working material for metallic atomic-scale transistors and confirmed that copper atomic-scale transistors can be fabricated and operated electrochemically in a copper electrolyte (CuSO 4 + H 2 SO 4 ) in bi-distilled water under ambient conditions with three microelectrodes (source, drain and gate). The electrochemical switching-on potential of the atomic-scale transistor is below 350 mV, and the switching-off potential is between 0 and -170 mV. The switching-on current is above 1 μA, which is compatible with semiconductor transistor devices. Both sign and amplitude of the voltage applied across the source and drain electrodes ( U bias ) influence the switching rate of the transistor and the copper deposition on the electrodes, and correspondingly shift the electrochemical operation potential. The copper atomic-scale transistors can be switched using a function generator without a computer-controlled feedback switching mechanism. The copper atomic-scale transistors, with only one or two atoms at the narrowest constriction, were realized to switch between 0 and 1 G 0 ( G 0 = 2e 2 /h; with e being the electron charge, and h being Planck's constant) or 2 G 0 by the function generator. The switching rate can reach up to 10 Hz. The copper atomic-scale transistor demonstrates volatile/non-volatile dual functionalities. Such an optimal merging of the logic with memory may open a perspective for processor-in-memory and logic-in-memory architectures, using copper as an alternative working material besides silver for fully metallic atomic-scale transistors.

  19. Evolution of the MOS transistor - From conception to VLSI

    International Nuclear Information System (INIS)

    Sah, C.T.

    1988-01-01

    Historical developments of the metal-oxide-semiconductor field-effect-transistor (MOSFET) during the last sixty years are reviewed, from the 1928 patent disclosures of the field-effect conductivity modulation concept and the semiconductor triodes structures proposed by Lilienfeld to the 1947 Shockley-originated efforts which led to the laboratory demonstration of the modern silicon MOSFET thirty years later in 1960. A survey is then made of the milestones of the past thirty years leading to the latest submicron silicon logic CMOS (Complementary MOS) and BICMOS (Bipolar-Junction-Transistor CMOS combined) arrays and the three-dimensional and ferroelectric extensions of Dennard's one-transistor dynamic random access memory (DRAM) cell. Status of the submicron lithographic technologies (deep ultra-violet light, X-ray, electron-beam) are summarized. Future trends of memory cell density and logic gate speed are projected. Comparisons of the switching speed of the silicon MOSFET with that of silicon bipolar and GaAs field-effect transistors are reviewed. Use of high-temperature superconducting wires and GaAs-on-Si monolithic semiconductor optical clocks to break the interconnect-wiring delay barrier is discussed. Further needs in basic research and mathematical modeling on the failure mechanisms in submicron silicon transistors at high electric fields (hot electron effects) and in interconnection conductors at high current densities and low as well as high electric fields (electromigration) are indicated

  20. Dielectric relaxation dependent memory elements in pentacene/[6,6]-phenyl-C61-butyric acid methyl ester bi-layer field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Park, Byoungnam

    2015-03-02

    We fabricate a pentacene/[6,6]-phenyl-C{sub 61}-butyric acid methyl ester (PCBM) bi-layer field effect transistor (FET) featuring large hysteresis that can be used as memory elements. Intentional introduction of excess electron traps in a PCBM layer by exposure to air caused large hysteresis in the FET. The memory window, characterized by the threshold voltage difference, increased upon exposure to air and this is attributed to an increase in the number of electron trapping centers and (or) an increase in the dielectric relaxation time in the underlying PCBM layer. Decrease in the electron conduction in the PCBM close to the SiO{sub 2} gate dielectric upon exposure to air is consistent with the increase in the dielectric relaxation time, ensuring that the presence of large hysteresis in the FET originates from electron trapping at the PCBM not at the pentacene. - Highlights: • Charge trapping-induced memory effect was clarified using transistors. • The memory window can be enhanced by controlling charge trapping mechanism. • Memory transistors can be optimized by controlling dielectric relaxation time.

  1. Comparison Elements on STG DICE cell for Content-Addressable Memory and Simulation of Single-Event Transients

    Directory of Open Access Journals (Sweden)

    V. Ya. Stenin

    2017-06-01

    Full Text Available Comparison elements on base the STG DICE cell and the logical element “Exclusive OR” for a content-addressable memory were designed and simulated. The comparison element contains two identical joint groups of transistors that are spaced on the chip by the distance of four micrometers, so the loss of data in STG DICE cell practically excluded. On the characteristics of the new 65-nm CMOS comparison element, we predict the hardness of these item to single event rate (SER more to hundred times compared to elements on 6-transistors cells and the standard DICE cell with distances 0.5-0.6 μm between mutually sensitive nodes.

  2. The MONOS memory transistor: application in a radiation-hard nonvolatile RAM

    International Nuclear Information System (INIS)

    Brown, W.D.

    1985-01-01

    The MONOS (metal-oxide-nitride-oxide-silicon) device is a prime candidate for use as the nonvolatile memory element in a radiation-hardened RAM (random-access memory). The endurance, retention and radiation properties of MONOS memory transistors have been studied as a function of post nitride deposition annealing. Following the nitride layer deposition, all devices were subjected to an 800 0 C oxidation step and some were then annealed at 900 0 C in nitrogen. The nitrogen anneal produces an increase in memory window size of approximately 40%. The memory window center of the annealed devices is shifted toward more positive voltages and is more stable with endurance cycling. Endurance cycling to 10 9 cycles produces a 20% increase in memory window size and a 60% increase in decay rate. For a radiation total dose of 10 6 rads (Si), the memory window size is essentially unchanged and the decay rate increases approximately 13%. A combination of 10 9 cycles and 10 6 rads (Si) reduces the decades of retention (in sec) from 6.3 to 4.3 for a +- 23-V 16-μsec write/erase pulse. (author)

  3. A light-stimulated synaptic transistor with synaptic plasticity and memory functions based on InGaZnO_x–Al_2O_3 thin film structure

    International Nuclear Information System (INIS)

    Li, H. K.; Chen, T. P.; Liu, P.; Zhang, Q.; Hu, S. G.; Liu, Y.; Lee, P. S.

    2016-01-01

    In this work, a synaptic transistor based on the indium gallium zinc oxide (IGZO)–aluminum oxide (Al_2O_3) thin film structure, which uses ultraviolet (UV) light pulses as the pre-synaptic stimulus, has been demonstrated. The synaptic transistor exhibits the behavior of synaptic plasticity like the paired-pulse facilitation. In addition, it also shows the brain's memory behaviors including the transition from short-term memory to long-term memory and the Ebbinghaus forgetting curve. The synapse-like behavior and memory behaviors of the transistor are due to the trapping and detrapping processes of the holes, which are generated by the UV pulses, at the IGZO/Al_2O_3 interface and/or in the Al_2O_3 layer.

  4. A radiation-hardened two transistor memory cell for monolithic active pixel sensors in STAR experiment

    International Nuclear Information System (INIS)

    Wei, X; Dorokhov, A; Hu, Y; Gao, D

    2011-01-01

    Radiation tolerance of Monolithic Active Pixel Sensors (MAPS) is dramatically decreased when intellectual property (IP) memories are integrated for fast readout application. This paper presents a new solution to improve radiation hardness and avoid latch-up for memory cell design. The tradeoffs among radiation tolerance, area and speed are significantly considered and analyzed. The cell designed in 0.35 μm process satisfies the radiation tolerance requirements of STAR experiment. The cell size is 4.55 x 5.45 μm 2 . This cell is smaller than the IP memory cell based on the same process and is only 26% of a radiation tolerant 6T SRAM cell used in previous contribution. The write access time of the cell is less than 2 ns, while the read access time is 80 ns.

  5. Overview of one transistor type of hybrid organic ferroelectric non-volatile memory

    Institute of Scientific and Technical Information of China (English)

    Young; Tea; Chun; Daping; Chu

    2015-01-01

    Organic ferroelectric memory devices based on field effect transistors that can be configured between two stable states of on and off have been widely researched as the next generation data storage media in recent years.This emerging type of memory devices can lead to a new instrument system as a potential alternative to previous non-volatile memory building blocks in future processing units because of their numerous merits such as cost-effective process,simple structure and freedom in substrate choices.This bi-stable non-volatile memory device of information storage has been investigated using several organic or inorganic semiconductors with organic ferroelectric polymer materials.Recent progresses in this ferroelectric memory field,hybrid system have attracted a lot of attention due to their excellent device performance in comparison with that of all organic systems.In this paper,a general review of this type of ferroelectric non-volatile memory is provided,which include the device structure,organic ferroelectric materials,electrical characteristics and working principles.We also present some snapshots of our previous study on hybrid ferroelectric memories including our recent work based on zinc oxide nanowire channels.

  6. Sub-1-V-60 nm vertical body channel MOSFET-based six-transistor static random access memory array with wide noise margin and excellent power delay product and its optimization with the cell ratio on static random access memory cell

    Science.gov (United States)

    Ogasawara, Ryosuke; Endoh, Tetsuo

    2018-04-01

    In this study, with the aim to achieve a wide noise margin and an excellent power delay product (PDP), a vertical body channel (BC)-MOSFET-based six-transistor (6T) static random access memory (SRAM) array is evaluated by changing the number of pillars in each part of a SRAM cell, that is, by changing the cell ratio in the SRAM cell. This 60 nm vertical BC-MOSFET-based 6T SRAM array realizes 0.84 V operation under the best PDP and up to 31% improvement of PDP compared with the 6T SRAM array based on a 90 nm planar MOSFET whose gate length and channel width are the same as those of the 60 nm vertical BC-MOSFET. Additionally, the vertical BC-MOSFET-based 6T SRAM array achieves an 8.8% wider read static noise margin (RSNM), a 16% wider write margin (WM), and an 89% smaller leakage. Moreover, it is shown that changing the cell ratio brings larger improvements of RSNM, WM, and write time in the vertical BC-MOSFET-based 6T SRAM array.

  7. Current-Induced Transistor Sensorics with Electrogenic Cells

    Directory of Open Access Journals (Sweden)

    Peter Fromherz

    2016-04-01

    Full Text Available The concepts of transistor recording of electroactive cells are considered, when the response is determined by a current-induced voltage in the electrolyte due to cellular activity. The relationship to traditional transistor recording, with an interface-induced response due to interactions with the open gate oxide, is addressed. For the geometry of a cell-substrate junction, the theory of a planar core-coat conductor is described with a one-compartment approximation. The fast electrical relaxation of the junction and the slow change of ion concentrations are pointed out. On that basis, various recording situations are considered and documented by experiments. For voltage-gated ion channels under voltage clamp, the effects of a changing extracellular ion concentration and the enhancement/depletion of ion conductances in the adherent membrane are addressed. Inhomogeneous ion conductances are crucial for transistor recording of neuronal action potentials. For a propagating action potential, the effects of an axon-substrate junction and the surrounding volume conductor are distinguished. Finally, a receptor-transistor-sensor is described, where the inhomogeneity of a ligand–activated ion conductance is achieved by diffusion of the agonist and inactivation of the conductance. Problems with regard to a development of reliable biosensors are mentioned.

  8. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, Husam N.

    2012-01-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility

  9. Sizing of SRAM Cell with Voltage Biasing Techniques for Reliability Enhancement of Memory and PUF Functions

    Directory of Open Access Journals (Sweden)

    Chip-Hong Chang

    2016-08-01

    Full Text Available Static Random Access Memory (SRAM has recently been developed into a physical unclonable function (PUF for generating chip-unique signatures for hardware cryptography. The most compelling issue in designing a good SRAM-based PUF (SPUF is that while maximizing the mismatches between the transistors in the cross-coupled inverters improves the quality of the SPUF, this ironically also gives rise to increased memory read/write failures. For this reason, the memory cells of existing SPUFs cannot be reused as storage elements, which increases the overheads of cryptographic system where long signatures and high-density storage are both required. This paper presents a novel design methodology for dual-mode SRAM cell optimization. The design conflicts are resolved by using word-line voltage modulation, dynamic voltage scaling, negative bit-line and adaptive body bias techniques to compensate for reliability degradation due to transistor downsizing. The augmented circuit-level techniques expand the design space to achieve a good solution to fulfill several otherwise contradicting key design qualities for both modes of operation, as evinced by our statistical analysis and simulation results based on complementary metal–oxide–semiconductor (CMOS 45 nm bulk Predictive Technology Model.

  10. Investigation of 6T SRAM memory circuit using high-k dielectrics based nano scale junctionless transistor

    Science.gov (United States)

    Charles Pravin, J.; Nirmal, D.; Prajoon, P.; Mohan Kumar, N.; Ajayan, J.

    2017-04-01

    In this paper the Dual Metal Surround Gate Junctionless Transistor (DMSGJLT) has been implemented with various high-k dielectric. The leakage current in the device is analysed in detail by obtaining the band structure for different high-k dielectric material. It is noticed that with increasing dielectric constant the device provides more resistance for the direct tunnelling of electron in off state. The gate oxide capacitance also shows 0.1 μF improvement with Hafnium Oxide (HfO2) than Silicon Oxide (SiO2). This paved the way for a better memory application when high-k dielectric is used. The Six Transistor (6T) Static Random Access Memory (SRAM) circuit implemented shows 41.4% improvement in read noise margin for HfO2 than SiO2. It also shows 37.49% improvement in write noise margin and 30.16% improvement in hold noise margin for HfO2 than SiO2.

  11. Random access memory immune to single event upset using a T-resistor

    Science.gov (United States)

    Ochoa, Jr., Agustin

    1989-01-01

    In a random access memory cell, a resistance "T" decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell.

  12. A light-stimulated synaptic transistor with synaptic plasticity and memory functions based on InGaZnO{sub x}–Al{sub 2}O{sub 3} thin film structure

    Energy Technology Data Exchange (ETDEWEB)

    Li, H. K.; Chen, T. P., E-mail: echentp@ntu.edu.sg; Liu, P.; Zhang, Q. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Hu, S. G. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, Sichuan 610054 (China); Liu, Y. [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, Sichuan 610054 (China); Lee, P. S. [School of Materials Science and Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2016-06-28

    In this work, a synaptic transistor based on the indium gallium zinc oxide (IGZO)–aluminum oxide (Al{sub 2}O{sub 3}) thin film structure, which uses ultraviolet (UV) light pulses as the pre-synaptic stimulus, has been demonstrated. The synaptic transistor exhibits the behavior of synaptic plasticity like the paired-pulse facilitation. In addition, it also shows the brain's memory behaviors including the transition from short-term memory to long-term memory and the Ebbinghaus forgetting curve. The synapse-like behavior and memory behaviors of the transistor are due to the trapping and detrapping processes of the holes, which are generated by the UV pulses, at the IGZO/Al{sub 2}O{sub 3} interface and/or in the Al{sub 2}O{sub 3} layer.

  13. A random access memory immune to single event upset using a T-Resistor

    Science.gov (United States)

    Ochoa, A. Jr.

    1987-10-28

    In a random access memory cell, a resistance ''T'' decoupling network in each leg of the cell reduces random errors caused by the interaction of energetic ions with the semiconductor material forming the cell. The cell comprises two parallel legs each containing a series pair of complementary MOS transistors having a common gate connected to the node between the transistors of the opposite leg. The decoupling network in each leg is formed by a series pair of resistors between the transistors together with a third resistor interconnecting the junction between the pair of resistors and the gate of the transistor pair forming the opposite leg of the cell. 4 figs.

  14. Ferroelectric field-effect transistors based on solution-processed electrochemically exfoliated graphene

    Science.gov (United States)

    Heidler, Jonas; Yang, Sheng; Feng, Xinliang; Müllen, Klaus; Asadi, Kamal

    2018-06-01

    Memories based on graphene that could be mass produced using low-cost methods have not yet received much attention. Here we demonstrate graphene ferroelectric (dual-gate) field effect transistors. The graphene has been obtained using electrochemical exfoliation of graphite. Field-effect transistors are realized using a monolayer of graphene flakes deposited by the Langmuir-Blodgett protocol. Ferroelectric field effect transistor memories are realized using a random ferroelectric copolymer poly(vinylidenefluoride-co-trifluoroethylene) in a top gated geometry. The memory transistors reveal ambipolar behaviour with both electron and hole accumulation channels. We show that the non-ferroelectric bottom gate can be advantageously used to tune the on/off ratio.

  15. Investigations on the effects of electrode materials on the device characteristics of ferroelectric memory thin film transistors fabricated on flexible substrates

    Science.gov (United States)

    Yang, Ji-Hee; Yun, Da-Jeong; Seo, Gi-Ho; Kim, Seong-Min; Yoon, Myung-Han; Yoon, Sung-Min

    2018-03-01

    For flexible memory device applications, we propose memory thin-film transistors using an organic ferroelectric poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] gate insulator and an amorphous In-Ga-Zn-O (a-IGZO) active channel. The effects of electrode materials and their deposition methods on the characteristics of memory devices exploiting the ferroelectric field effect were investigated for the proposed ferroelectric memory thin-film transistors (Fe-MTFTs) at flat and bending states. It was found that the plasma-induced sputtering deposition and mechanical brittleness of the indium-tin oxide (ITO) markedly degraded the ferroelectric-field-effect-driven memory window and bending characteristics of the Fe-MTFTs. The replacement of ITO electrodes with metal aluminum (Al) electrodes prepared by plasma-free thermal evaporation greatly enhanced the memory device characteristics even under bending conditions owing to their mechanical ductility. Furthermore, poly(3,4-ethylenedioxythiophene)-poly(styrene sulfonate) (PEDOT:PSS) was introduced to achieve robust bending performance under extreme mechanical stress. The Fe-MTFTs using PEDOT:PSS source/drain electrodes were successfully fabricated and showed the potential for use as flexible memory devices. The suitable choice of electrode materials employed for the Fe-MTFTs is concluded to be one of the most important control parameters for highly functional flexible Fe-MTFTs.

  16. Conditional Dispersive Readout of a CMOS Single-Electron Memory Cell

    Science.gov (United States)

    Schaal, S.; Barraud, S.; Morton, J. J. L.; Gonzalez-Zalba, M. F.

    2018-05-01

    Quantum computers require interfaces with classical electronics for efficient qubit control, measurement, and fast data processing. Fabricating the qubit and the classical control layer using the same technology is appealing because it will facilitate the integration process, improving feedback speeds and offering potential solutions to wiring and layout challenges. Integrating classical and quantum devices monolithically, using complementary metal-oxide-semiconductor (CMOS) processes, enables the processor to profit from the most mature industrial technology for the fabrication of large-scale circuits. We demonstrate a CMOS single-electron memory cell composed of a single quantum dot and a transistor that locks charge on the quantum-dot gate. The single-electron memory cell is conditionally read out by gate-based dispersive sensing using a lumped-element L C resonator. The control field-effect transistor (FET) and quantum dot are fabricated on the same chip using fully depleted silicon-on-insulator technology. We obtain a charge sensitivity of δ q =95 ×10-6e Hz-1 /2 when the quantum-dot readout is enabled by the control FET, comparable to results without the control FET. Additionally, we observe a single-electron retention time on the order of a second when storing a single-electron charge on the quantum dot at millikelvin temperatures. These results demonstrate first steps towards time-based multiplexing of gate-based dispersive readout in CMOS quantum devices opening the path for the development of an all-silicon quantum-classical processor.

  17. EDITORIAL: Reigniting innovation in the transistor Reigniting innovation in the transistor

    Science.gov (United States)

    Demming, Anna

    2012-09-01

    behaviour in devices fabricated from chemically reduced graphene oxide. The work provided an important step forward for graphene electronics, which has been hampered by difficulties in scaling up the mechanical exfoliation techniques required to produce the high-quality graphene often needed for functioning devices [8]. In Sweden, researchers have developed a transistor design that they fabricate using standard III-V parallel processing, which also has great promise for scaling up production. Their transistor is based on a vertical array of InAs nanowires, which provide high electron mobility and the possibility of high-speed and low-power operation [9]. Different fabrication techniques and design parameters can influence the properties of transistors. Researchers in Belgium used a new method based on high-vacuum scanning spreading resistance microscopy to study the effect of diameter on carrier profile in nanowire transistors [10]. They then used experimental data and simulations to gain a better understanding of how this influenced the transistor performance. In Japan, Y Ohno and colleagues at Nagoya University have reported how atomic layer deposition of an insulating layer of HfO2 on carbon nanotube field effect transistors can change the carrier from p-type to n-type [11]. Carrier type switching—'ambipolar behaviour'—and hysteresis of carbon nanotube network transistors can make achieving reliable device performance challenging. However studies have also suggested that the hysteretic properties may be exploited in non-volatile memory applications. A collaboration of researchers in Italy and the US demonstrated transistor and memory cell behaviour in a system based on a carbon nanotube network [13]. Their device had relatively fast programming, good endurance and the charge retention was successfully enhanced by limiting exposure to air. Progress in understanding transistor behaviour has inspired other innovations in device applications. Nanowires are notoriously

  18. Liquid–Solid Dual-Gate Organic Transistors with Tunable Threshold Voltage for Cell Sensing

    KAUST Repository

    Zhang, Yu

    2017-10-17

    Liquid electrolyte-gated organic field effect transistors and organic electrochemical transistors have recently emerged as powerful technology platforms for sensing and simulation of living cells and organisms. For such applications, the transistors are operated at a gate voltage around or below 0.3 V because prolonged application of a higher voltage bias can lead to membrane rupturing and cell death. This constraint often prevents the operation of the transistors at their maximum transconductance or most sensitive regime. Here, we exploit a solid–liquid dual-gate organic transistor structure, where the threshold voltage of the liquid-gated conduction channel is controlled by an additional gate that is separated from the channel by a metal-oxide gate dielectric. With this design, the threshold voltage of the “sensing channel” can be linearly tuned in a voltage window exceeding 0.4 V. We have demonstrated that the dual-gate structure enables a much better sensor response to the detachment of human mesenchymal stem cells. In general, the capability of tuning the optimal sensing bias will not only improve the device performance but also broaden the material selection for cell-based organic bioelectronics.

  19. Liquid-Solid Dual-Gate Organic Transistors with Tunable Threshold Voltage for Cell Sensing.

    Science.gov (United States)

    Zhang, Yu; Li, Jun; Li, Rui; Sbircea, Dan-Tiberiu; Giovannitti, Alexander; Xu, Junling; Xu, Huihua; Zhou, Guodong; Bian, Liming; McCulloch, Iain; Zhao, Ni

    2017-11-08

    Liquid electrolyte-gated organic field effect transistors and organic electrochemical transistors have recently emerged as powerful technology platforms for sensing and simulation of living cells and organisms. For such applications, the transistors are operated at a gate voltage around or below 0.3 V because prolonged application of a higher voltage bias can lead to membrane rupturing and cell death. This constraint often prevents the operation of the transistors at their maximum transconductance or most sensitive regime. Here, we exploit a solid-liquid dual-gate organic transistor structure, where the threshold voltage of the liquid-gated conduction channel is controlled by an additional gate that is separated from the channel by a metal-oxide gate dielectric. With this design, the threshold voltage of the "sensing channel" can be linearly tuned in a voltage window exceeding 0.4 V. We have demonstrated that the dual-gate structure enables a much better sensor response to the detachment of human mesenchymal stem cells. In general, the capability of tuning the optimal sensing bias will not only improve the device performance but also broaden the material selection for cell-based organic bioelectronics.

  20. Towards Terabit Memories

    Science.gov (United States)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  1. Nanowire field effect transistors principles and applications

    CERN Document Server

    Jeong, Yoon-Ha

    2014-01-01

    “Nanowire Field Effect Transistor: Basic Principles and Applications” places an emphasis on the application aspects of nanowire field effect transistors (NWFET). Device physics and electronics are discussed in a compact manner, together with the p-n junction diode and MOSFET, the former as an essential element in NWFET and the latter as a general background of the FET. During this discussion, the photo-diode, solar cell, LED, LD, DRAM, flash EEPROM and sensors are highlighted to pave the way for similar applications of NWFET. Modeling is discussed in close analogy and comparison with MOSFETs. Contributors focus on processing, electrostatic discharge (ESD) and application of NWFET. This includes coverage of solar and memory cells, biological and chemical sensors, displays and atomic scale light emitting diodes. Appropriate for scientists and engineers interested in acquiring a working knowledge of NWFET as well as graduate students specializing in this subject.

  2. Operational method of a ferroelectric (Fe)-NAND flash memory array

    International Nuclear Information System (INIS)

    Wang, Shouyu; Takahashi, Mitue; Li, Qiu-Hong; Sakai, Shigeki; Takeuchi, Ken

    2009-01-01

    Operations of arrayed ferroelectric (Fe)-NAND flash memory cells: erase, program and read were demonstrated for the first time using a small cell array of four word lines by two NAND strings. The memory cells and select-gate transistors were all n-channel Pt/SrBi 2 Ta 2 O 9 /Hf-Al-O/Si ferroelectric-gate field effect transistors. The erase was performed by applying 10 µs wide 7 V pulses to n- and p-wells. The program was performed by applying 10 µs wide 7 V pulses to selected word lines. Accumulated read currents of 51 programmed patterns in the Fe-NAND flash memory cell array successfully showed distribution of the two distinguishable '0' and '1' states. The margin between the two states became wider by applying a verification technique in programming a cell out of the eight. Retention times of bit-line currents were obtained over 33 h for both the '0' and '1' states in a program pattern

  3. Solution-Processed Wide-Bandgap Organic Semiconductor Nanostructures Arrays for Nonvolatile Organic Field-Effect Transistor Memory.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Liu, Hui; Yi, Mingdong; Zhang, Peng; Wang, Wenjun; Xie, Linghai; Huang, Wei

    2018-01-01

    In this paper, the development of organic field-effect transistor (OFET) memory device based on isolated and ordered nanostructures (NSs) arrays of wide-bandgap (WBG) small-molecule organic semiconductor material [2-(9-(4-(octyloxy)phenyl)-9H-fluoren-2-yl)thiophene]3 (WG 3 ) is reported. The WG 3 NSs are prepared from phase separation by spin-coating blend solutions of WG 3 /trimethylolpropane (TMP), and then introduced as charge storage elements for nonvolatile OFET memory devices. Compared to the OFET memory device with smooth WG 3 film, the device based on WG 3 NSs arrays exhibits significant improvements in memory performance including larger memory window (≈45 V), faster switching speed (≈1 s), stable retention capability (>10 4 s), and reliable switching properties. A quantitative study of the WG 3 NSs morphology reveals that enhanced memory performance is attributed to the improved charge trapping/charge-exciton annihilation efficiency induced by increased contact area between the WG 3 NSs and pentacene layer. This versatile solution-processing approach to preparing WG 3 NSs arrays as charge trapping sites allows for fabrication of high-performance nonvolatile OFET memory devices, which could be applicable to a wide range of WBG organic semiconductor materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.

    2012-06-22

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin filmtransistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectrictransistors, which is very promising for low-power non-volatile memory applications.

  5. Light sensitivity of a one transistor-one capacitor memory cell when used as a micromirror actuator in projector applications

    Science.gov (United States)

    Huffman, James Douglas

    2001-11-01

    The most important issue facing the future business success of the Digital Micromirror Device or DMD™ produced by Texas Instruments is the cost of the actual device. As the business and consumer markets call for higher resolution displays, the array size will have to be increased to incorporate more pixels. The manufacturing costs associated with building these higher resolution displays follow an exponential relation with the number of pixels due to yield loss and reduced number of chips per silicon wafer. Each pixel is actuated by electrostatics that are provided by a memory cell that is built in the underlying silicon substrate. One way to decrease cost of the wafer is to change the memory cell architecture from a static random access configuration or SRAM to a dynamic random access configuration or DRAM. This change has the benefits of having fewer components per area and a lower metal density. This reduction in the component count and metal density has a dramatic effect on the yield of the memory array by reducing the particle sensitivity of the underlying cell. The main drawback to using a DRAM configuration in a display application is the light sensitivity of a charge storage device built in the silicon substrate. As the photons pass through the mechanical micromirrors and illuminate the DRAM cell, the effective electrostatic potential of the memory element used for the mirror actuation is reduced. This dissertation outlines the issues associated with the light sensitivity of a DRAM memory cell as the actuation element for a micromirror. The concept of charge depletion on a silicon capacitor due to recombination of photogenerated carriers is explored and experimentally verified. The effects of the reduced potential on the capacitor on the micromirror are also explored. Optical modeling is used to determine the incoming photon flux to determine the benefits of adding a charge recombination region as part of the DRAM memory cell. Several options are explored

  6. Memory and learning behaviors mimicked in nanogranular SiO2-based proton conductor gated oxide-based synaptic transistors.

    Science.gov (United States)

    Wan, Chang Jin; Zhu, Li Qiang; Zhou, Ju Mei; Shi, Yi; Wan, Qing

    2013-11-07

    In neuroscience, signal processing, memory and learning function are established in the brain by modifying ionic fluxes in neurons and synapses. Emulation of memory and learning behaviors of biological systems by nanoscale ionic/electronic devices is highly desirable for building neuromorphic systems or even artificial neural networks. Here, novel artificial synapses based on junctionless oxide-based protonic/electronic hybrid transistors gated by nanogranular phosphorus-doped SiO2-based proton-conducting films are fabricated on glass substrates by a room-temperature process. Short-term memory (STM) and long-term memory (LTM) are mimicked by tuning the pulse gate voltage amplitude. The LTM process in such an artificial synapse is due to the proton-related interfacial electrochemical reaction. Our results are highly desirable for building future neuromorphic systems or even artificial networks via electronic elements.

  7. Flexible NAND-Like Organic Ferroelectric Memory Array

    NARCIS (Netherlands)

    Kam, B.; Ke, T.H.; Chasin, A.; Tyagi, M.; Cristoferi, C.; Tempelaars, K.; Breemen, A.J.J.M. van; Myny, K.; Schols, S.; Genoe, J.; Gelinck, G.H.; Heremans, P.

    2014-01-01

    We present a memory array of organic ferroelectric field-effect transistors (OFeFETs) on flexible substrates. The OFeFETs are connected serially, similar to the NAND architecture of flash memory, which offers the highest memory density of transistor memories. We demonstrate a reliable addressing

  8. Measurements of dose with individual FAMOS transistors

    Energy Technology Data Exchange (ETDEWEB)

    Scheick, L.Z.; McNulty, P.J.; Roth, D.R.; Davis, M.G.; Mason, B.E.

    1999-12-01

    A new method is described for measuring the doses absorbed by microstructures from an exposure to ionizing radiation. The decrease in the duration of UltraViolet light (UV) exposure required to erase each cell of a commercial UltraViolet erasable Programmable Read Only Memory (UVPROM) correlates with the dose absorbed by the floating gate of that transistor. This technique facilitates analysis of the microdose distribution across the array and the occurrence of Single Event Upset (SEU) like anomalous shifts due to rare large energy-deposition events.

  9. Measurements of dose with individual FAMOS transistors

    International Nuclear Information System (INIS)

    Scheick, L.Z.; McNulty, P.J.; Roth, D.R.; Davis, M.G.; Mason, B.E.

    1999-01-01

    A new method is described for measuring the doses absorbed by microstructures from an exposure to ionizing radiation. The decrease in the duration of UltraViolet light (UV) exposure required to erase each cell of a commercial UltraViolet erasable Programmable Read Only Memory (UVPROM) correlates with the dose absorbed by the floating gate of that transistor. This technique facilitates analysis of the microdose distribution across the array and the occurrence of Single Event Upset (SEU) like anomalous shifts due to rare large energy-deposition events

  10. Characteristics of Reduced Graphene Oxide Quantum Dots for a Flexible Memory Thin Film Transistor.

    Science.gov (United States)

    Kim, Yo-Han; Lee, Eun Yeol; Lee, Hyun Ho; Seo, Tae Seok

    2017-05-17

    Reduced graphene oxide quantum dot (rGOQD) devices in formats of capacitor and thin film transistor (TFT) were demonstrated and examined as the first trial to achieve nonambipolar channel property. In addition, through a gold nanoparticle (Au NP) layer embedded between the rGOQD active channel and dielectric layer, memory capacitor and TFT performances were realized by capacitance-voltage (C-V) hysteresis and gate program, erase, and reprogram biases. First, capacitor structure of the rGOQD memory device was constructed to examine memory charging effect featured in hysteretic C-V behavior with a 30 nm dielectric layer of cross-linked poly(vinyl alcohol). For the intervening Au NP charging layer, self-assembled monolayer (SAM) formation of the Au NP was executed to utilize electrostatic interaction by a dip-coating process under ambient environments with a conformal fabrication uniformity. Second, the rGOQD memory TFT device was also constructed in the same format of the Au NPs SAMs on a flexible substrate. Characteristics of the rGOQD TFT output showed novel saturation curves unlike typical graphene-based TFTs. However, The rGOQD TFT device reveals relatively low on/off ratio of 10 1 and mobility of 5.005 cm 2 /V·s. For the memory capacitor, the flat-band voltage shift (ΔV FB ) was measured as 3.74 V for ±10 V sweep, and for the memory TFT, the threshold voltage shift (ΔV th ) by the Au NP charging was detected as 7.84 V. In summary, it was concluded that the rGOQD memory device could accomplish an ideal graphene-based memory performance, which could have provided a wide memory window and saturated output characteristics.

  11. Recent progress in photoactive organic field-effect transistors.

    Science.gov (United States)

    Wakayama, Yutaka; Hayakawa, Ryoma; Seo, Hoon-Seok

    2014-04-01

    Recent progress in photoactive organic field-effect transistors (OFETs) is reviewed. Photoactive OFETs are divided into light-emitting (LE) and light-receiving (LR) OFETs. In the first part, LE-OFETs are reviewed from the viewpoint of the evolution of device structures. Device performances have improved in the last decade with the evolution of device structures from single-layer unipolar to multi-layer ambipolar transistors. In the second part, various kinds of LR-OFETs are featured. These are categorized according to their functionalities: phototransistors, non-volatile optical memories, and photochromism-based transistors. For both, various device configurations are introduced: thin-film based transistors for practical applications, single-crystalline transistors to investigate fundamental physics, nanowires, multi-layers, and vertical transistors based on new concepts.

  12. Recent progress in photoactive organic field-effect transistors

    International Nuclear Information System (INIS)

    Wakayama, Yutaka; Hayakawa, Ryoma; Seo, Hoon-Seok

    2014-01-01

    Recent progress in photoactive organic field-effect transistors (OFETs) is reviewed. Photoactive OFETs are divided into light-emitting (LE) and light-receiving (LR) OFETs. In the first part, LE-OFETs are reviewed from the viewpoint of the evolution of device structures. Device performances have improved in the last decade with the evolution of device structures from single-layer unipolar to multi-layer ambipolar transistors. In the second part, various kinds of LR-OFETs are featured. These are categorized according to their functionalities: phototransistors, non-volatile optical memories, and photochromism-based transistors. For both, various device configurations are introduced: thin-film based transistors for practical applications, single-crystalline transistors to investigate fundamental physics, nanowires, multi-layers, and vertical transistors based on new concepts. (review)

  13. Spike-timing dependent plasticity in a transistor-selected resistive switching memory

    International Nuclear Information System (INIS)

    Ambrogio, S; Balatti, S; Nardi, F; Facchinetti, S; Ielmini, D

    2013-01-01

    In a neural network, neuron computation is achieved through the summation of input signals fed by synaptic connections. The synaptic activity (weight) is dictated by the synchronous firing of neurons, inducing potentiation/depression of the synaptic connection. This learning function can be supported by the resistive switching memory (RRAM), which changes its resistance depending on the amplitude, the pulse width and the bias polarity of the applied signal. This work shows a new synapse circuit comprising a MOS transistor as a selector and a RRAM as a variable resistance, displaying spike-timing dependent plasticity (STDP) similar to the one originally experienced in biological neural networks. We demonstrate long-term potentiation and long-term depression by simulations with an analytical model of resistive switching. Finally, the experimental demonstration of the new STDP scheme is presented. (paper)

  14. Design and measurement of fully digital ternary content addressable memory using ratioless static random access memory cells and hierarchical-AND matching comparator

    Science.gov (United States)

    Nishikata, Daisuke; Ali, Mohammad Alimudin Bin Mohd; Hosoda, Kento; Matsumoto, Hiroshi; Nakamura, Kazuyuki

    2018-04-01

    A 36-bit × 32-entry fully digital ternary content addressable memory (TCAM) using the ratioless static random access memory (RL-SRAM) technology and fully complementary hierarchical-AND matching comparators (HAMCs) was developed. Since its fully complementary and digital operation enables the effect of device variabilities to be avoided, it can operate with a quite low supply voltage. A test chip incorporating a conventional TCAM and a proposed 24-transistor ratioless TCAM (RL-TCAM) cells and HAMCs was developed using a 0.18 µm CMOS process. The minimum operating voltage of 0.25 V of the developed RL-TCAM, which is less than half of that of the conventional TCAM, was measured via the conventional CMOS push–pull output buffers with the level-shifting and flipping technique using optimized pull-up voltage and resistors.

  15. Effect of ZnO channel thickness on the device behaviour of nonvolatile memory thin film transistors with double-layered gate insulators of Al2O3 and ferroelectric polymer

    International Nuclear Information System (INIS)

    Yoon, Sung-Min; Yang, Shin-Hyuk; Ko Park, Sang-Hee; Jung, Soon-Won; Cho, Doo-Hee; Byun, Chun-Won; Kang, Seung-Youl; Hwang, Chi-Sun; Yu, Byoung-Gon

    2009-01-01

    Poly(vinylidene fluoride trifluoroethylene) and ZnO were employed for nonvolatile memory thin film transistors as ferroelectric gate insulator and oxide semiconducting channel layers, respectively. It was proposed that the thickness of the ZnO layer be carefully controlled for realizing the lower programming voltage, because the serially connected capacitor by the formation of a fully depleted ZnO channel had a critical effect on the off programming voltage. The fabricated memory transistor with Al/P(VDF-TrFE) (80 nm)/Al 2 O 3 (4 nm)/ZnO (5 nm) exhibits encouraging behaviour such as a memory window of 3.8 V at the gate voltage of -10 to 12 V, and 10 7 on/off ratio, and a gate leakage current of 10 -11 A.

  16. High performance non-volatile ferroelectric copolymer memory based on a ZnO nanowire transistor fabricated on a transparent substrate

    International Nuclear Information System (INIS)

    Nedic, Stanko; Welland, Mark; Tea Chun, Young; Chu, Daping; Hong, Woong-Ki

    2014-01-01

    A high performance ferroelectric non-volatile memory device based on a top-gate ZnO nanowire (NW) transistor fabricated on a glass substrate is demonstrated. The ZnO NW channel was spin-coated with a poly (vinylidenefluoride-co-trifluoroethylene) (P(VDF-TrFE)) layer acting as a top-gate dielectric without buffer layer. Electrical conductance modulation and memory hysteresis are achieved by a gate electric field induced reversible electrical polarization switching of the P(VDF-TrFE) thin film. Furthermore, the fabricated device exhibits a memory window of ∼16.5 V, a high drain current on/off ratio of ∼10 5 , a gate leakage current below ∼300 pA, and excellent retention characteristics for over 10 4 s

  17. 1T1R Nonvolatile Memory with Al/TiO2/Au and Sol-Gel-Processed Insulator for Barium Zirconate Nickelate Gate in Pentacene Thin Film Transistor

    Directory of Open Access Journals (Sweden)

    Ke-Jing Lee

    2017-12-01

    Full Text Available A one-transistor and one-resistor (1T1R architecture with a resistive random access memory (RRAM cell connected to an organic thin-film transistor (OTFT device is successfully demonstrated to avoid the cross-talk issues of only one RRAM cell. The OTFT device, which uses barium zirconate nickelate (BZN as a dielectric layer, exhibits favorable electrical properties, such as a high field-effect mobility of 2.5 cm2/Vs, low threshold voltage of −2.8 V, and low leakage current of 10−12 A, for a driver in the 1T1R operation scheme. The 1T1R architecture with a TiO2-based RRAM cell connected with a BZN OTFT device indicates a low operation current (10 μA and reliable data retention (over ten years. This favorable performance of the 1T1R device can be attributed to the additional barrier heights introduced by using Ni (II acetylacetone as a substitute for acetylacetone, and the relatively low leakage current of a BZN dielectric layer. The proposed 1T1R device with low leakage current OTFT and excellent uniform resistance distribution of RRAM exhibits a good potential for use in practical low-power electronic applications.

  18. I-V Characteristics of a Static Random Access Memory Cell Utilizing Ferroelectric Transistors

    Science.gov (United States)

    Laws, Crystal; Mitchell, Cody; Hunt, Mitchell; Ho, Fat D.; MacLeod, Todd C.

    2012-01-01

    I-V characteristics for FeFET different than that of MOSFET Ferroelectric layer features hysteresis trend whereas MOSFET behaves same for both increasing and decreasing VGS FeFET I-V characteristics doesn't show dependence on VDS A Transistor with different channel length and width as well as various resistance and input voltages give different results As resistance values increased, the magnitude of the drain current decreased.

  19. High-performance solution-processed polymer ferroelectric field-effect transistors

    NARCIS (Netherlands)

    Naber, RCG; Tanase, C; Blom, PWM; Gelinck, GH; Marsman, AW; Touwslager, FJ; Setayesh, S; De Leeuw, DM; Naber, Ronald C.G.; Gelinck, Gerwin H.; Marsman, Albert W.; Touwslager, Fred J.

    We demonstrate a rewritable, non-volatile memory device with flexible plastic active layers deposited from solution. The memory device is a ferroelectric field-effect transistor (FeFET) made with a ferroelectric fluoropolymer and a bisalkoxy-substituted poly(p-phenylene vinylene) semiconductor

  20. Photovoltaic Cells Improvised With Used Bipolar Junction Transistors

    International Nuclear Information System (INIS)

    Akintayo, J. A

    2002-01-01

    The understanding of the underlying principle that the solar cell consists of a p-n junction is exploited to adapt the basic NPN or PNP Bipolar Junction Transistors (BJT) to serve as solar cells. In this mode the in improvised solar cell have employed just the emitter and the base sections with an intact emitter/base junction as the active PN area. The improvised devices tested screened and sorted are wired up in strings, blocks and modules. The photovoltaic modules realised tested as close replica of solar cells with output voltage following insolation level. Further work need be done on the modules to make them generate usable levels of output voltage and current

  1. CMOS integration of high-k/metal gate transistors in diffusion and gate replacement (D&GR) scheme for dynamic random access memory peripheral circuits

    Science.gov (United States)

    Dentoni Litta, Eugenio; Ritzenthaler, Romain; Schram, Tom; Spessot, Alessio; O’Sullivan, Barry; Machkaoutsan, Vladimir; Fazan, Pierre; Ji, Yunhyuck; Mannaert, Geert; Lorant, Christophe; Sebaai, Farid; Thiam, Arame; Ercken, Monique; Demuynck, Steven; Horiguchi, Naoto

    2018-04-01

    Integration of high-k/metal gate stacks in peripheral transistors is a major candidate to ensure continued scaling of dynamic random access memory (DRAM) technology. In this paper, the CMOS integration of diffusion and gate replacement (D&GR) high-k/metal gate stacks is investigated, evaluating four different approaches for the critical patterning step of removing the N-type field effect transistor (NFET) effective work function (eWF) shifter stack from the P-type field effect transistor (PFET) area. The effect of plasma exposure during the patterning step is investigated in detail and found to have a strong impact on threshold voltage tunability. A CMOS integration scheme based on an experimental wet-compatible photoresist is developed and the fulfillment of the main device metrics [equivalent oxide thickness (EOT), eWF, gate leakage current density, on/off currents, short channel control] is demonstrated.

  2. Record Endurance for Single-Walled Carbon Nanotube–Based Memory Cell

    Directory of Open Access Journals (Sweden)

    Yang Y

    2010-01-01

    Full Text Available Abstract We study memory devices consisting of single-walled carbon nanotube transistors with charge storage at the SiO2/nanotube interface. We show that this type of memory device is robust, withstanding over 105 operating cycles, with a current drive capability up to 10−6 A at 20 mV drain bias, thus competing with state-of-the-art Si-devices. We find that the device performance depends on temperature and pressure, while both endurance and data retention are improved in vacuum.

  3. Osteoblastic cells trigger gate currents on nanocrystalline diamond transistor

    Czech Academy of Sciences Publication Activity Database

    Ižák, Tibor; Krátká, Marie; Kromka, Alexander; Rezek, Bohuslav

    2015-01-01

    Roč. 129, May (2015), 95-99 ISSN 0927-7765 R&D Projects: GA ČR GAP108/12/0996 Grant - others:AVČR(CZ) M100101209 Institutional support: RVO:68378271 Keywords : field-effect transistors * nanocrystalline diamond * osteoblastic cells * leakage currents Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.902, year: 2015

  4. Proton induced single event upset cross section prediction for 0.15 μm six-transistor (6T) silicon-on-insulator static random access memories

    International Nuclear Information System (INIS)

    Li Lei; Zhou Wanting; Liu Huihua

    2012-01-01

    In this paper, an efficient physics-based method to estimate the saturated proton upset cross section for six-transistor (6T) silicon-on-insulator (SOI) static random access memory (SRAM) cells using layout and technology parameters is proposed. This method calculates the effects of radiation based on device physics. The simple method handles the problem with ease by SPICE simulations, which can be divided into two stages. At first, it uses a standard SPICE program to predict the cross section for recoiling heavy ions with linear energy transfer (LET) of 14 MeV-cm 2 /mg. Then, the predicted cross section for recoiling heavy ions with LET of 14 MeV-cm 2 /mg is used to estimate the saturated proton upset cross section for 6T SOI SRAM cells with a simple model. The calculated proton induced upset cross section based on this method is in good agreement with the test results of 6T SOI SRAM cells processed using 0.15 μm technology. (author)

  5. Effects of thickness and geometric variations in the oxide gate stack on the nonvolatile memory behaviors of charge-trap memory thin-film transistors

    Science.gov (United States)

    Bak, Jun Yong; Kim, So-Jung; Byun, Chun-Won; Pi, Jae-Eun; Ryu, Min-Ki; Hwang, Chi Sun; Yoon, Sung-Min

    2015-09-01

    Device designs of charge-trap oxide memory thin-film transistors (CTM-TFTs) were investigated to enhance their nonvolatile memory performances. The first strategy was to optimize the film thicknesses of the tunneling and charge-trap (CT) layers in order to meet requirements of both higher operation speed and longer retention time. While the program speed and memory window were improved for the device with a thinner tunneling layer, a long retention time was obtained only for the device with a tunneling layer thicker than 5 nm. The carrier concentration and charge-trap densities were optimized in the 30-nm-thick CT layer. It was observed that 10-nm-thick tunneling, 30-nm-thick CT, and 50-nm-thick blocking layers were the best configuration for our proposed CTM-TFTs, where a memory on/off margin higher than 107 was obtained, and a memory margin of 6.6 × 103 was retained even after the lapse of 105 s. The second strategy was to examine the effects of the geometrical relations between the CT and active layers for the applications of memory elements embedded in circuitries. The CTM-TFTs fabricated without an overlap between the CT layer and the drain electrode showed an enhanced program speed by the reduced parasitic capacitance. The drain-bias disturbance for the memory off-state was effectively suppressed even when a higher read-out drain voltage was applied. Appropriate device design parameters, such as the film thicknesses of each component layer and the geometrical relations between them, can improve the memory performances and expand the application fields of the proposed CTM-TFTs.

  6. Memory CD8 T cell inflation vs tissue-resident memory T cells: Same patrollers, same controllers?

    Science.gov (United States)

    Welten, Suzanne P M; Sandu, Ioana; Baumann, Nicolas S; Oxenius, Annette

    2018-05-01

    The induction of long-lived populations of memory T cells residing in peripheral tissues is of considerable interest for T cell-based vaccines, as they can execute immediate effector functions and thus provide protection in case of pathogen encounter at mucosal and barrier sites. Cytomegalovirus (CMV)-based vaccines support the induction and accumulation of a large population of effector memory CD8 T cells in peripheral tissues, in a process called memory inflation. Tissue-resident memory (T RM ) T cells, induced by various infections and vaccination regimens, constitute another subset of memory cells that take long-term residence in peripheral tissues. Both memory T cell subsets have evoked substantial interest in exploitation for vaccine purposes. However, a direct comparison between these two peripheral tissue-localizing memory T cell subsets with respect to their short- and long-term ability to provide protection against heterologous challenge is pending. Here, we discuss communalities and differences between T RM and inflationary CD8 T cells with respect to their development, maintenance, function, and protective capacity. In addition, we discuss differences and similarities between the transcriptional profiles of T RM and inflationary T cells, supporting the notion that they are distinct memory T cell populations. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  7. Two transistor cluster DICE Cells with the minimum area for a hardened 28-nm CMOS and 65-nm SRAM layout design

    International Nuclear Information System (INIS)

    Stenin, V.Ya.; Stepanov, P.V.

    2015-01-01

    A hardened DICE cell layout design is based on the two spaced transistor clusters of the DICE cell each consisting of four transistors. The larger the distance between these two CMOS transistor clusters, the more robust the hardened DICE SRAM to Single Event Upsets. Some versions of the 28-nm and 65-nm DICE CMOS SRAM block composition have been suggested with minimum cluster distances of 2.27-2.32 mkm. The area of hardened 28-nm DICE CMOS cells is larger than the area of 28-nm 6T CMOS cells by a factor of 2.1 [ru

  8. Multiple-channel detection of cellular activities by ion-sensitive transistors

    Science.gov (United States)

    Machida, Satoru; Shimada, Hideto; Motoyama, Yumi

    2018-04-01

    An ion-sensitive field-effect transistor to record cellular activities was demonstrated. This field-effect transistor (bio transistor) includes cultured cells on the gate insulator instead of gate electrode. The bio transistor converts a change in potential underneath the cells into variation of the drain current when ion channels open. The bio transistor has high detection sensitivity to even minute variations in potential utilizing a subthreshold swing region. To open ion channels, a reagent solution (acetylcholine) was added to a human-originating cell cultured on the bio transistor. The drain current was successfully decreased with the addition of acetylcholine. Moreover, we attempted to detect the opening of ion channels using a multiple-channel measurement circuit containing several bio transistors. As a consequence, the drain current distinctly decreased only after the addition of acetylcholine. We confirmed that this measurement system including bio transistors enables to observation of cellular activities sensitively and simultaneously.

  9. Capacitorless one-transistor dynamic random-access memory based on asymmetric double-gate Ge/GaAs-heterojunction tunneling field-effect transistor with n-doped boosting layer and drain-underlap structure

    Science.gov (United States)

    Yoon, Young Jun; Seo, Jae Hwa; Kang, In Man

    2018-04-01

    In this work, we present a capacitorless one-transistor dynamic random-access memory (1T-DRAM) based on an asymmetric double-gate Ge/GaAs-heterojunction tunneling field-effect transistor (TFET) for DRAM applications. The n-doped boosting layer and gate2 drain-underlap structure is employed in the device to obtain an excellent 1T-DRAM performance. The n-doped layer inserted between the source and channel regions improves the sensing margin because of a high rate of increase in the band-to-band tunneling (BTBT) probability. Furthermore, because the gate2 drain-underlap structure reduces the recombination rate that occurs between the gate2 and drain regions, a device with a gate2 drain-underlap length (L G2_D-underlap) of 10 nm exhibited a longer retention performance. As a result, by applying the n-doped layer and gate2 drain-underlap structure, the proposed device exhibited not only a high sensing margin of 1.11 µA/µm but also a long retention time of greater than 100 ms at a temperature of 358 K (85 °C).

  10. Photoresponse and photo-induced memory effect in the organic field-effect transistor based on AlOX nanoparticles at the interface of semiconductor/dielectric

    Science.gov (United States)

    Cheng, Yunfei; Wang, Wu

    2017-10-01

    In this work, the photoresponse and photo-induced memory effect were demonstrated in an organic field-effect transistor (OFET) with semiconductor pentacene and SiO2 as the active and gate dielectric layers, respectively. By inserting AlOX nanoparticles (NPs) at the interface of pentacene/SiO2, obvious enhancing photoresponse was obtained in the OFET with the maximum responsivity and photosensitivity of about 15 A/W and 100, respectively. Moreover, the stable photoinduced memory effect was achieved in the OFET, attributing to the photogenerated electrons captured by the interface traps of the AlOX NPs/SiO2.

  11. Memory T Cell Migration

    OpenAIRE

    Qianqian eZhang; Qianqian eZhang; Fadi G. Lakkis

    2015-01-01

    Immunological memory is a key feature of adaptive immunity. It provides the organism with long-lived and robust protection against infection. In organ transplantation, memory T cells pose a significant threat by causing allograft rejection that is generally resistant to immunosuppressive therapy. Therefore, a more thorough understanding of memory T cell biology is needed to improve the survival of transplanted organs without compromising the host’s ability to fight infections. This review...

  12. Organic electrochemical transistors

    Science.gov (United States)

    Rivnay, Jonathan; Inal, Sahika; Salleo, Alberto; Owens, Róisín M.; Berggren, Magnus; Malliaras, George G.

    2018-02-01

    Organic electrochemical transistors (OECTs) make effective use of ion injection from an electrolyte to modulate the bulk conductivity of an organic semiconductor channel. The coupling between ionic and electronic charges within the entire volume of the channel endows OECTs with high transconductance compared with that of field-effect transistors, but also limits their response time. The synthetic tunability, facile deposition and biocompatibility of organic materials make OECTs particularly suitable for applications in biological interfacing, printed logic circuitry and neuromorphic devices. In this Review, we discuss the physics and the mechanism of operation of OECTs, focusing on their identifying characteristics. We highlight organic materials that are currently being used in OECTs and survey the history of OECT technology. In addition, form factors, fabrication technologies and applications such as bioelectronics, circuits and memory devices are examined. Finally, we take a critical look at the future of OECT research and development.

  13. Organic electrochemical transistors

    KAUST Repository

    Rivnay, Jonathan

    2018-01-16

    Organic electrochemical transistors (OECTs) make effective use of ion injection from an electrolyte to modulate the bulk conductivity of an organic semiconductor channel. The coupling between ionic and electronic charges within the entire volume of the channel endows OECTs with high transconductance compared with that of field-effect transistors, but also limits their response time. The synthetic tunability, facile deposition and biocompatibility of organic materials make OECTs particularly suitable for applications in biological interfacing, printed logic circuitry and neuromorphic devices. In this Review, we discuss the physics and the mechanism of operation of OECTs, focusing on their identifying characteristics. We highlight organic materials that are currently being used in OECTs and survey the history of OECT technology. In addition, form factors, fabrication technologies and applications such as bioelectronics, circuits and memory devices are examined. Finally, we take a critical look at the future of OECT research and development.

  14. Silicon nanowire transistors

    CERN Document Server

    Bindal, Ahmet

    2016-01-01

    This book describes the n and p-channel Silicon Nanowire Transistor (SNT) designs with single and dual-work functions, emphasizing low static and dynamic power consumption. The authors describe a process flow for fabrication and generate SPICE models for building various digital and analog circuits. These include an SRAM, a baseband spread spectrum transmitter, a neuron cell and a Field Programmable Gate Array (FPGA) platform in the digital domain, as well as high bandwidth single-stage and operational amplifiers, RF communication circuits in the analog domain, in order to show this technology’s true potential for the next generation VLSI. Describes Silicon Nanowire (SNW) Transistors, as vertically constructed MOS n and p-channel transistors, with low static and dynamic power consumption and small layout footprint; Targets System-on-Chip (SoC) design, supporting very high transistor count (ULSI), minimal power consumption requiring inexpensive substrates for packaging; Enables fabrication of different types...

  15. Lowering data retention voltage in static random access memory array by post fabrication self-improvement of cell stability by multiple stress application

    Science.gov (United States)

    Mizutani, Tomoko; Takeuchi, Kiyoshi; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2018-04-01

    We propose a new version of the post fabrication static random access memory (SRAM) self-improvement technique, which utilizes multiple stress application. It is demonstrated that, using a device matrix array (DMA) test element group (TEG) with intrinsic channel fully depleted (FD) silicon-on-thin-buried-oxide (SOTB) six-transistor (6T) SRAM cells fabricated by the 65 nm technology, the lowering of data retention voltage (DRV) is more effectively achieved than using the previously proposed single stress technique.

  16. Radiation hard memory cell and array thereof

    International Nuclear Information System (INIS)

    Gunckel, T.L. II; Rovell, A.; Nielsen, R.L.

    1978-01-01

    A memory cell configuration that is implemented to be relatively hard to the adverse effects of a nuclear event is discussed. The presently disclosed memory cell can be interconnected with other like memory cells to form a high speed radiation hard register file. Information is selectively written into and read out of a memory cell comprising the register file, which memory cell preserves previously stored data without alteration in the event of exposure to high levels of nuclear radiation

  17. Boost Up Carrier Mobility for Ferroelectric Organic Transistor Memory via Buffering Interfacial Polarization Fluctuation

    Science.gov (United States)

    Sun, Huabin; Wang, Qijing; Li, Yun; Lin, Yen-Fu; Wang, Yu; Yin, Yao; Xu, Yong; Liu, Chuan; Tsukagoshi, Kazuhito; Pan, Lijia; Wang, Xizhang; Hu, Zheng; Shi, Yi

    2014-11-01

    Ferroelectric organic field-effect transistors (Fe-OFETs) have been attractive for a variety of non-volatile memory device applications. One of the critical issues of Fe-OFETs is the improvement of carrier mobility in semiconducting channels. In this article, we propose a novel interfacial buffering method that inserts an ultrathin poly(methyl methacrylate) (PMMA) between ferroelectric polymer and organic semiconductor layers. A high field-effect mobility (μFET) up to 4.6 cm2 V-1 s-1 is obtained. Subsequently, the programming process in our Fe-OFETs is mainly dominated by the switching between two ferroelectric polarizations rather than by the mobility-determined charge accumulation at the channel. Thus, the ``reading'' and ``programming'' speeds are significantly improved. Investigations show that the polarization fluctuation at semiconductor/insulator interfaces, which affect the charge transport in conducting channels, can be suppressed effectively using our method.

  18. Memory Applications Using Resonant Tunneling Diodes

    Science.gov (United States)

    Shieh, Ming-Huei

    Resonant tunneling diodes (RTDs) producing unique folding current-voltage (I-V) characteristics have attracted considerable research attention due to their promising application in signal processing and multi-valued logic. The negative differential resistance of RTDs renders the operating points self-latching and stable. We have proposed a multiple -dimensional multiple-state RTD-based static random-access memory (SRAM) cell in which the number of stable states can significantly be increased to (N + 1)^ m or more for m number of N-peak RTDs connected in series. The proposed cells take advantage of the hysteresis and folding I-V characteristics of RTD. Several cell designs are presented and evaluated. A two-dimensional nine-state memory cell has been implemented and demonstrated by a breadboard circuit using two 2-peak RTDs. The hysteresis phenomenon in a series of RTDs is also further analyzed. The switch model provided in SPICE 3 can be utilized to simulate the hysteretic I-V characteristics of RTDs. A simple macro-circuit is described to model the hysteretic I-V characteristic of RTD for circuit simulation. A new scheme for storing word-wide multiple-bit information very efficiently in a single memory cell using RTDs is proposed. An efficient and inexpensive periphery circuit to read from and write into the cell is also described. Simulation results on the design of a 3-bit memory cell scheme using one-peak RTDs are also presented. Finally, a binary transistor-less memory cell which is only composed of a pair of RTDs and an ordinary rectifier diode is presented and investigated. A simple means for reading and writing information from or into the memory cell is also discussed.

  19. Effect of tunneling layers on the performances of floating-gate based organic thin-film transistor nonvolatile memories

    Science.gov (United States)

    Wang, Wei; Han, Jinhua; Ying, Jun; Xiang, Lanyi; Xie, Wenfa

    2014-09-01

    Two types of floating-gate based organic thin-film transistor nonvolatile memories (FG-OTFT-NVMs) were demonstrated, with poly(methyl methacrylate co glycidyl methacrylate) (P(MMA-GMA)) and tetratetracontane (TTC) as the tunneling layer, respectively. Their device performances were measured and compared. In the memory with a P(MMA-GMA) tunneling layer, typical unipolar hole transport was obtained with a relatively small mobility of 0.16 cm2/V s. The unidirectional shift of turn-on voltage (Von) due to only holes trapped/detrapped in/from the floating gate resulted in a small memory window of 12.5 V at programming/erasing voltages (VP/VE) of ±100 V and a nonzero reading voltage. Benefited from the well-ordered molecule orientation and the trap-free surface of TTC layer, a considerably high hole mobility of 1.7 cm2/V s and a visible feature of electrons accumulated in channel and trapped in floating-gate were achieved in the memory with a TTC tunneling layer. High hole mobility resulted in a high on current and a large memory on/off ratio of 600 at the VP/VE of ±100 V. Both holes and electrons were injected into floating-gate and overwritten each other, which resulted in a bidirectional Von shift. As a result, an enlarged memory window of 28.6 V at the VP/VE of ±100 V and a zero reading voltage were achieved. Based on our results, a strategy is proposed to optimize FG-OTFT-NVMs by choosing a right tunneling layer to improve the majority carrier mobility and realize ambipolar carriers injecting and trapping in the floating-gate.

  20. The cellular memory disc of reprogrammed cells.

    Science.gov (United States)

    Anjamrooz, Seyed Hadi

    2013-04-01

    The crucial facts underlying the low efficiency of cellular reprogramming are poorly understood. Cellular reprogramming occurs in nuclear transfer, induced pluripotent stem cell (iPSC) formation, cell fusion, and lineage-switching experiments. Despite these advances, there are three fundamental problems to be addressed: (1) the majority of cells cannot be reprogrammed, (2) the efficiency of reprogramming cells is usually low, and (3) the reprogrammed cells developed from a patient's own cells activate immune responses. These shortcomings present major obstacles for using reprogramming approaches in customised cell therapy. In this Perspective, the author synthesises past and present observations in the field of cellular reprogramming to propose a theoretical picture of the cellular memory disc. The current hypothesis is that all cells undergo an endogenous and exogenous holographic memorisation such that parts of the cellular memory dramatically decrease the efficiency of reprogramming cells, act like a barrier against reprogramming in the majority of cells, and activate immune responses. Accordingly, the focus of this review is mainly to describe the cellular memory disc (CMD). Based on the present theory, cellular memory includes three parts: a reprogramming-resistance memory (RRM), a switch-promoting memory (SPM) and a culture-induced memory (CIM). The cellular memory arises genetically, epigenetically and non-genetically and affects cellular behaviours. [corrected].

  1. Memory vs memory-like: The different facets of CD8+ T-cell memory in HCV infection.

    Science.gov (United States)

    Hofmann, Maike; Wieland, Dominik; Pircher, Hanspeter; Thimme, Robert

    2018-05-01

    Memory CD8 + T cells are essential in orchestrating protection from re-infection. Hallmarks of virus-specific memory CD8 + T cells are the capacity to mount recall responses with rapid induction of effector cell function and antigen-independent survival. Growing evidence reveals that even chronic infection does not preclude virus-specific CD8 + T-cell memory formation. However, whether this kind of CD8 + T-cell memory that is established during chronic infection is indeed functional and provides protection from re-infection is still unclear. Human chronic hepatitis C virus infection represents a unique model system to study virus-specific CD8 + T-cell memory formation during and after cessation of persisting antigen stimulation. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  2. Molecular design and ordering effects in π-functional materials for transistor and solar cell applications

    KAUST Repository

    Beaujuge, Pierre

    2011-12-21

    Organic electronics are broadly anticipated to impact the development of flexible thin-film device technologies. Among these, solution-processable π-conjugated polymers and small molecules are proving particularly promising in field-effect transistors and bulk heterojunction solar cells. This Perspective analyzes some of the most exciting strategies recently suggested in the design and structural organization of π-functional materials for transistor and solar cell applications. Emphasis is placed on the interplay between molecular structure, self-assembling properties, nanoscale and mesoscale ordering, and device efficiency parameters. A critical look at the various approaches used to optimize both materials and device performance is provided to assist in the identification of new directions and further advances. © 2011 American Chemical Society.

  3. Review on Physically Flexible Nonvolatile Memory for Internet of Everything Electronics

    KAUST Repository

    Ghoneim, Mohamed T.; Hussain, Muhammad Mustafa

    2015-01-01

    Solid-state memory is an essential component of the digital age. With advancements in healthcare technology and the Internet of Things (IoT), the demand for ultra-dense, ultra-low-power memory is increasing. In this review, we present a comprehensive perspective on the most notable approaches to the fabrication of physically flexible memory devices. With the future goal of replacing traditional mechanical hard disks with solid-state storage devices, a fully flexible electronic system will need two basic devices: transistors and nonvolatile memory. Transistors are used for logic operations and gating memory arrays, while nonvolatile memory (NVM) devices are required for storing information in the main memory and cache storage. Since the highest density of transistors and storage structures is manifested in memories, the focus of this review is flexible NVM. Flexible NVM components are discussed in terms of their functionality, performance metrics, and reliability aspects, all of which are critical components for NVM technology to be part of mainstream consumer electronics, IoT, and advanced healthcare devices. Finally, flexible NVMs are benchmarked and future prospects are provided.

  4. Review on Physically Flexible Nonvolatile Memory for Internet of Everything Electronics

    Directory of Open Access Journals (Sweden)

    Mohamed T. Ghoneim

    2015-07-01

    Full Text Available Solid-state memory is an essential component of the digital age. With advancements in healthcare technology and the Internet of Things (IoT, the demand for ultra-dense, ultra-low-power memory is increasing. In this review, we present a comprehensive perspective on the most notable approaches to the fabrication of physically flexible memory devices. With the future goal of replacing traditional mechanical hard disks with solid-state storage devices, a fully flexible electronic system will need two basic devices: transistors and nonvolatile memory. Transistors are used for logic operations and gating memory arrays, while nonvolatile memory (NVM devices are required for storing information in the main memory and cache storage. Since the highest density of transistors and storage structures is manifested in memories, the focus of this review is flexible NVM. Flexible NVM components are discussed in terms of their functionality, performance metrics, and reliability aspects, all of which are critical components for NVM technology to be part of mainstream consumer electronics, IoT, and advanced healthcare devices. Finally, flexible NVMs are benchmarked and future prospects are provided.

  5. Review on Physically Flexible Nonvolatile Memory for Internet of Everything Electronics

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-07-23

    Solid-state memory is an essential component of the digital age. With advancements in healthcare technology and the Internet of Things (IoT), the demand for ultra-dense, ultra-low-power memory is increasing. In this review, we present a comprehensive perspective on the most notable approaches to the fabrication of physically flexible memory devices. With the future goal of replacing traditional mechanical hard disks with solid-state storage devices, a fully flexible electronic system will need two basic devices: transistors and nonvolatile memory. Transistors are used for logic operations and gating memory arrays, while nonvolatile memory (NVM) devices are required for storing information in the main memory and cache storage. Since the highest density of transistors and storage structures is manifested in memories, the focus of this review is flexible NVM. Flexible NVM components are discussed in terms of their functionality, performance metrics, and reliability aspects, all of which are critical components for NVM technology to be part of mainstream consumer electronics, IoT, and advanced healthcare devices. Finally, flexible NVMs are benchmarked and future prospects are provided.

  6. Nanophotonic quantum computer based on atomic quantum transistor

    International Nuclear Information System (INIS)

    Andrianov, S N; Moiseev, S A

    2015-01-01

    We propose a scheme of a quantum computer based on nanophotonic elements: two buses in the form of nanowaveguide resonators, two nanosized units of multiatom multiqubit quantum memory and a set of nanoprocessors in the form of photonic quantum transistors, each containing a pair of nanowaveguide ring resonators coupled via a quantum dot. The operation modes of nanoprocessor photonic quantum transistors are theoretically studied and the execution of main logical operations by means of them is demonstrated. We also discuss the prospects of the proposed nanophotonic quantum computer for operating in high-speed optical fibre networks. (quantum computations)

  7. Nanophotonic quantum computer based on atomic quantum transistor

    Energy Technology Data Exchange (ETDEWEB)

    Andrianov, S N [Institute of Advanced Research, Academy of Sciences of the Republic of Tatarstan, Kazan (Russian Federation); Moiseev, S A [Kazan E. K. Zavoisky Physical-Technical Institute, Kazan Scientific Center, Russian Academy of Sciences, Kazan (Russian Federation)

    2015-10-31

    We propose a scheme of a quantum computer based on nanophotonic elements: two buses in the form of nanowaveguide resonators, two nanosized units of multiatom multiqubit quantum memory and a set of nanoprocessors in the form of photonic quantum transistors, each containing a pair of nanowaveguide ring resonators coupled via a quantum dot. The operation modes of nanoprocessor photonic quantum transistors are theoretically studied and the execution of main logical operations by means of them is demonstrated. We also discuss the prospects of the proposed nanophotonic quantum computer for operating in high-speed optical fibre networks. (quantum computations)

  8. Direct probing of electron and hole trapping into nano-floating-gate in organic field-effect transistor nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Ze-Qun; Wang, Shun; Chen, Jian-Mei; Gao, Xu; Dong, Bin, E-mail: wangsd@suda.edu.cn, E-mail: chilf@suda.edu.cn, E-mail: bdong@suda.edu.cn; Chi, Li-Feng, E-mail: wangsd@suda.edu.cn, E-mail: chilf@suda.edu.cn, E-mail: bdong@suda.edu.cn; Wang, Sui-Dong, E-mail: wangsd@suda.edu.cn, E-mail: chilf@suda.edu.cn, E-mail: bdong@suda.edu.cn [Jiangsu Key Laboratory for Carbon-Based Functional Materials and Devices, Institute of Functional Nano and Soft Materials (FUNSOM), Soochow University, Suzhou, Jiangsu 215123 (China)

    2015-03-23

    Electron and hole trapping into the nano-floating-gate of a pentacene-based organic field-effect transistor nonvolatile memory is directly probed by Kelvin probe force microscopy. The probing is straightforward and non-destructive. The measured surface potential change can quantitatively profile the charge trapping, and the surface characterization results are in good accord with the corresponding device behavior. Both electrons and holes can be trapped into the nano-floating-gate, with a preference of electron trapping than hole trapping. The trapped charge quantity has an approximately linear relation with the programming/erasing gate bias, indicating that the charge trapping in the device is a field-controlled process.

  9. Direct probing of electron and hole trapping into nano-floating-gate in organic field-effect transistor nonvolatile memories

    International Nuclear Information System (INIS)

    Cui, Ze-Qun; Wang, Shun; Chen, Jian-Mei; Gao, Xu; Dong, Bin; Chi, Li-Feng; Wang, Sui-Dong

    2015-01-01

    Electron and hole trapping into the nano-floating-gate of a pentacene-based organic field-effect transistor nonvolatile memory is directly probed by Kelvin probe force microscopy. The probing is straightforward and non-destructive. The measured surface potential change can quantitatively profile the charge trapping, and the surface characterization results are in good accord with the corresponding device behavior. Both electrons and holes can be trapped into the nano-floating-gate, with a preference of electron trapping than hole trapping. The trapped charge quantity has an approximately linear relation with the programming/erasing gate bias, indicating that the charge trapping in the device is a field-controlled process

  10. High-Performance Nonvolatile Organic Field-Effect Transistor Memory Based on Organic Semiconductor Heterostructures of Pentacene/P13/Pentacene as Both Charge Transport and Trapping Layers.

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Zhang, Peng; Yi, Mingdong; Wang, Laiyuan; Wu, Dequn; Xie, Linghai; Huang, Wei

    2017-08-01

    Nonvolatile organic field-effect transistor (OFET) memory devices based on pentacene/ N , N '-ditridecylperylene-3,4,9,10-tetracarboxylic diimide (P13)/pentacene trilayer organic heterostructures have been proposed. The discontinuous n-type P13 embedded in p-type pentacene layers can not only provide electrons in the semiconductor layer that facilitates electron trapping process; it also works as charge trapping sites, which is attributed to the quantum well-like pentacene/P13/pentacene organic heterostructures. The synergistic effects of charge trapping in the discontinuous P13 and the charge-trapping property of the poly(4-vinylphenol) (PVP) layer remarkably improve the memory performance. In addition, the trilayer organic heterostructures have also been successfully applied to multilevel and flexible nonvolatile memory devices. The results provide a novel design strategy to achieve high-performance nonvolatile OFET memory devices and allow potential applications for different combinations of various organic semiconductor materials in OFET memory.

  11. High‐Performance Nonvolatile Organic Field‐Effect Transistor Memory Based on Organic Semiconductor Heterostructures of Pentacene/P13/Pentacene as Both Charge Transport and Trapping Layers

    Science.gov (United States)

    Li, Wen; Guo, Fengning; Ling, Haifeng; Zhang, Peng; Wang, Laiyuan; Wu, Dequn

    2017-01-01

    Nonvolatile organic field‐effect transistor (OFET) memory devices based on pentacene/N,N′‐ditridecylperylene‐3,4,9,10‐tetracarboxylic diimide (P13)/pentacene trilayer organic heterostructures have been proposed. The discontinuous n‐type P13 embedded in p‐type pentacene layers can not only provide electrons in the semiconductor layer that facilitates electron trapping process; it also works as charge trapping sites, which is attributed to the quantum well‐like pentacene/P13/pentacene organic heterostructures. The synergistic effects of charge trapping in the discontinuous P13 and the charge‐trapping property of the poly(4‐vinylphenol) (PVP) layer remarkably improve the memory performance. In addition, the trilayer organic heterostructures have also been successfully applied to multilevel and flexible nonvolatile memory devices. The results provide a novel design strategy to achieve high‐performance nonvolatile OFET memory devices and allow potential applications for different combinations of various organic semiconductor materials in OFET memory. PMID:28852619

  12. Effect of tunneling layers on the performances of floating-gate based organic thin-film transistor nonvolatile memories

    International Nuclear Information System (INIS)

    Wang, Wei; Han, Jinhua; Ying, Jun; Xiang, Lanyi; Xie, Wenfa

    2014-01-01

    Two types of floating-gate based organic thin-film transistor nonvolatile memories (FG-OTFT-NVMs) were demonstrated, with poly(methyl methacrylate co glycidyl methacrylate) (P(MMA-GMA)) and tetratetracontane (TTC) as the tunneling layer, respectively. Their device performances were measured and compared. In the memory with a P(MMA-GMA) tunneling layer, typical unipolar hole transport was obtained with a relatively small mobility of 0.16 cm 2 /V s. The unidirectional shift of turn-on voltage (V on ) due to only holes trapped/detrapped in/from the floating gate resulted in a small memory window of 12.5 V at programming/erasing voltages (V P /V E ) of ±100 V and a nonzero reading voltage. Benefited from the well-ordered molecule orientation and the trap-free surface of TTC layer, a considerably high hole mobility of 1.7 cm 2 /V s and a visible feature of electrons accumulated in channel and trapped in floating-gate were achieved in the memory with a TTC tunneling layer. High hole mobility resulted in a high on current and a large memory on/off ratio of 600 at the V P /V E of ±100 V. Both holes and electrons were injected into floating-gate and overwritten each other, which resulted in a bidirectional V on shift. As a result, an enlarged memory window of 28.6 V at the V P /V E of ±100 V and a zero reading voltage were achieved. Based on our results, a strategy is proposed to optimize FG-OTFT-NVMs by choosing a right tunneling layer to improve the majority carrier mobility and realize ambipolar carriers injecting and trapping in the floating-gate.

  13. Performance report for Stanford/SLAC Microstore Analog Memory Unit

    International Nuclear Information System (INIS)

    Freytag, D.R.; Walker, J.T.

    1984-09-01

    Tests of a newly developed Analog Memory Unit (AMU) are described. The device contains 256 analog storage cells consisting of pass transistors, a storage capacitor and a differential read out buffer. By addressing the storage cells sequentially, the shape of the signal present at the input can be recorded in time. Fast response and good amplitude resolution were the design goals for the development. Measurements on individual devices will be presented and the status of hybridized subsystems containing eight AMUs discussed

  14. Asymptomatic memory CD8+ T cells

    Science.gov (United States)

    Khan, Arif Azam; Srivastava, Ruchi; Lopes, Patricia Prado; Wang, Christine; Pham, Thanh T; Cochrane, Justin; Thai, Nhi Thi Uyen; Gutierrez, Lucas; BenMohamed, Lbachir

    2014-01-01

    Generation and maintenance of high quantity and quality memory CD8+ T cells determine the level of protection from viral, bacterial, and parasitic re-infections, and hence constitutes a primary goal for T cell epitope-based human vaccines and immunotherapeutics. Phenotypically and functionally characterizing memory CD8+ T cells that provide protection against herpes simplex virus type 1 and type 2 (HSV-1 and HSV-2) infections, which cause blinding ocular herpes, genital herpes, and oro-facial herpes, is critical for better vaccine design. We have recently categorized 2 new major sub-populations of memory symptomatic and asymptomatic CD8+ T cells based on their phenotype, protective vs. pathogenic function, and anatomical locations. In this report we are discussing a new direction in developing T cell-based human herpes vaccines and immunotherapeutics based on the emerging new concept of “symptomatic and asymptomatic memory CD8+ T cells.” PMID:24499824

  15. Organic electrochemical transistors for cell-based impedance sensing

    International Nuclear Information System (INIS)

    Rivnay, Jonathan; Ramuz, Marc; Hama, Adel; Huerta, Miriam; Owens, Roisin M.; Leleux, Pierre

    2015-01-01

    Electrical impedance sensing of biological systems, especially cultured epithelial cell layers, is now a common technique to monitor cell motion, morphology, and cell layer/tissue integrity for high throughput toxicology screening. Existing methods to measure electrical impedance most often rely on a two electrode configuration, where low frequency signals are challenging to obtain for small devices and for tissues with high resistance, due to low current. Organic electrochemical transistors (OECTs) are conducting polymer-based devices, which have been shown to efficiently transduce and amplify low-level ionic fluxes in biological systems into electronic output signals. In this work, we combine OECT-based drain current measurements with simultaneous measurement of more traditional impedance sensing using the gate current to produce complex impedance traces, which show low error at both low and high frequencies. We apply this technique in vitro to a model epithelial tissue layer and show that the data can be fit to an equivalent circuit model yielding trans-epithelial resistance and cell layer capacitance values in agreement with literature. Importantly, the combined measurement allows for low biases across the cell layer, while still maintaining good broadband signal

  16. Transistor Effect in Improperly Connected Transistors.

    Science.gov (United States)

    Luzader, Stephen; Sanchez-Velasco, Eduardo

    1996-01-01

    Discusses the differences between the standard representation and a realistic representation of a transistor. Presents an experiment that helps clarify the explanation of the transistor effect and shows why transistors should be connected properly. (JRH)

  17. CD4 T-Cell Memory Generation and Maintenance

    Science.gov (United States)

    Gasper, David J.; Tejera, Melba Marie; Suresh, M.

    2014-01-01

    Immunologic memory is the adaptive immune system's powerful ability to remember a previous antigen encounter and react with accelerated vigor upon antigen re-exposure. It provides durable protection against reinfection with pathogens and is the foundation for vaccine-induced immunity. Unlike the relatively restricted immunologic purview of memory B cells and CD8 T cells, the field of CD4 T-cell memory must account for multiple distinct lineages with diverse effector functions, the issue of lineage commitment and plasticity, and the variable distribution of memory cells within each lineage. Here, we discuss the evidence for lineage-specific CD4 T-cell memory and summarize the known factors contributing to memory-cell generation, plasticity, and long-term maintenance. PMID:24940912

  18. SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

    Science.gov (United States)

    Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan

    2018-01-01

    Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.

  19. A Josephson ternary associative memory cell

    International Nuclear Information System (INIS)

    Morisue, M.; Suzuki, K.

    1989-01-01

    This paper describes a three-valued content addressable memory cell using a Josephson complementary ternary logic circuit named as JCTL. The memory cell proposed here can perform three operations of searching, writing and reading in ternary logic system. The principle of the memory circuit is illustrated in detail by using the threshold-characteristics of the JCTL. In order to investigate how a high performance operation can be achieved, computer simulations have been made. Simulation results show that the cycle time of memory operation is 120psec, power consumption is about 0.5 μW/cell and tolerances of writing and reading operation are +-15% and +-24%, respectively

  20. Shape memory of human red blood cells.

    Science.gov (United States)

    Fischer, Thomas M

    2004-05-01

    The human red cell can be deformed by external forces but returns to the biconcave resting shape after removal of the forces. If after such shape excursions the rim is always formed by the same part of the membrane, the cell is said to have a memory of its biconcave shape. If the rim can form anywhere on the membrane, the cell would have no shape memory. The shape memory was probed by an experiment called go-and-stop. Locations on the membrane were marked by spontaneously adhering latex spheres. Shape excursions were induced by shear flow. In virtually all red cells, a shape memory was found. After stop of flow and during the return of the latex spheres to the original location, the red cell shape was biconcave. The return occurred by a tank-tread motion of the membrane. The memory could not be eliminated by deforming the red cells in shear flow up to 4 h at room temperature as well as at 37 degrees C. It is suggested that 1). the characteristic time of stress relaxation is >80 min and 2). red cells in vivo also have a shape memory.

  1. Using white noise to gate organic transistors for dynamic monitoring of cultured cell layers.

    Science.gov (United States)

    Rivnay, Jonathan; Leleux, Pierre; Hama, Adel; Ramuz, Marc; Huerta, Miriam; Malliaras, George G; Owens, Roisin M

    2015-06-26

    Impedance sensing of biological systems allows for monitoring of cell and tissue properties, including cell-substrate attachment, layer confluence, and the "tightness" of an epithelial tissue. These properties are critical for electrical detection of tissue health and viability in applications such as toxicological screening. Organic transistors based on conducting polymers offer a promising route to efficiently transduce ionic currents to attain high quality impedance spectra, but collection of complete impedance spectra can be time consuming (minutes). By applying uniform white noise at the gate of an organic electrochemical transistor (OECT), and measuring the resulting current noise, we are able to dynamically monitor the impedance and thus integrity of cultured epithelial monolayers. We show that noise sourcing can be used to track rapid monolayer disruption due to compounds which interfere with dynamic polymerization events crucial for maintaining cytoskeletal integrity, and to resolve sub-second alterations to the monolayer integrity.

  2. Empirical study of the metal-nitride-oxide-semiconductor device characteristics deduced from a microscopic model of memory traps

    International Nuclear Information System (INIS)

    Ngai, K.L.; Hsia, Y.

    1982-01-01

    A graded-nitride gate dielectric metal-nitride-oxide-semiconductor (MNOS) memory transistor exhibiting superior device characteristics is presented and analyzed based on a qualitative microscopic model of the memory traps. The model is further reviewed to interpret some generic properties of the MNOS memory transistors including memory window, erase-write speed, and the retention-endurance characteristic features

  3. Human T Cell Memory: A Dynamic View

    Directory of Open Access Journals (Sweden)

    Derek C. Macallan

    2017-02-01

    Full Text Available Long-term T cell-mediated protection depends upon the formation of a pool of memory cells to protect against future pathogen challenge. In this review we argue that looking at T cell memory from a dynamic viewpoint can help in understanding how memory populations are maintained following pathogen exposure or vaccination. For example, a dynamic view resolves the apparent paradox between the relatively short lifespans of individual memory cells and very long-lived immunological memory by focussing on the persistence of clonal populations, rather than individual cells. Clonal survival is achieved by balancing proliferation, death and differentiation rates within and between identifiable phenotypic pools; such pools correspond broadly to sequential stages in the linear differentiation pathway. Each pool has its own characteristic kinetics, but only when considered as a population; single cells exhibit considerable heterogeneity. In humans, we tend to concentrate on circulating cells, but memory T cells in non-lymphoid tissues and bone marrow are increasingly recognised as critical for immune defence; their kinetics, however, remain largely unexplored. Considering vaccination from this viewpoint shifts the focus from the size of the primary response to the survival of the clone and enables identification of critical system pinch-points and opportunities to improve vaccine efficacy.

  4. A 1T Dynamic Random Access Memory Cell Based on Gated Thyristor with Surrounding Gate Structure for High Scalability.

    Science.gov (United States)

    Kim, Hyungjin; Kim, Sihyun; Kim, Hyun-Min; Lee, Kitae; Kim, Sangwan; Pak, Byung-Gook

    2018-09-01

    In this study, we investigate a one-transistor (1T) dynamic random access memory (DRAM) cell based on a gated-thyristor device utilizing voltage-driven bistability to enable high-speed operations. The structural feature of the surrounding gate using a sidewall provides high scalability with regard to constructing an array architecture of the proposed devices. In addition, the operation mechanism, I-V characteristics, DRAM operations, and bias dependence are analyzed using a commercial device simulator. Unlike conventional 1T DRAM cells utilizing the floating body effect, excess carriers which are required to be stored to make two different states are not generated but injected from the n+ cathode region, giving the device high-speed operation capabilities. The findings here indicate that the proposed DRAM cell offers distinct advantages in terms of scalability and high-speed operations.

  5. SOI Transistor measurement techniques using body contacted transistors

    International Nuclear Information System (INIS)

    Worley, E.R.; Williams, R.

    1989-01-01

    Measurements of body contacted SOI transistors are used to isolate parameters of the back channel and island edge transistor. Properties of the edge and back channel transistor have been measured before and after X-ray irradiation (ARACOR). The unique properties of the edge transistor are shown to be a result of edge geometry as confirmed by a two dimensional transistor simulator

  6. Transistor data book

    International Nuclear Information System (INIS)

    1988-03-01

    It introduces how to use this book. It lists transistor data and index, which are Type No, Cross index, Germanium PNP low power transistors, silicon NPN low power transistors, Germanium PNP high power transistors, Switching transistors, transistor arrays, Miscellaneous transistors, types with U.S military specifications, direct replacement transistors, suggested replacement transistors, schematic drawings, outline drawings, device number keys and manufacturer's logos.

  7. Architecture and performance of radiation-hardened 64-bit SOS/MNOS memory

    International Nuclear Information System (INIS)

    Kliment, D.C.; Ronen, R.S.; Nielsen, R.L.; Seymour, R.N.; Splinter, M.R.

    1976-01-01

    This paper discusses the circuit architecture and performance of a nonvolatile 64-bit MNOS memory fabricated on silicon on sapphire (SOS). The circuit is a test vehicle designed to demonstrate the feasibility of a high-performance, high-density, radiation-hardened MNOS/SOS memory. The array is organized as 16 words by 4 bits and is fully decoded. It utilizes a two-(MNOS) transistor-per-bit cell and differential sensing scheme and is realized in PMOS static resistor load logic. The circuit was fabricated and tested as both a fast write random access memory (RAM) and an electrically alterable read only memory (EAROM) to demonstrate design and process flexibility. Discrete device parameters such as retention, circuit electrical characteristics, and tolerance to total dose and transient radiation are presented

  8. Freestanding Artificial Synapses Based on Laterally Proton-Coupled Transistors on Chitosan Membranes.

    Science.gov (United States)

    Liu, Yang Hui; Zhu, Li Qiang; Feng, Ping; Shi, Yi; Wan, Qing

    2015-10-07

    Freestanding synaptic transistors are fabricated on solution-processed chitosan membranes. A short-term memory to long-term memory transition is observed due to proton-related electrochemical doping under repeated pulse stimulus. Moreover, freestanding artificial synaptic devices with multiple presynaptic inputs are investigated, and spiking logic operation and logic modulation are realized. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Memory T follicular helper CD4 T cells

    Directory of Open Access Journals (Sweden)

    J. Scott eHale

    2015-02-01

    Full Text Available T follicular helper (Tfh cells are the subset of CD4 T helper cells that are required for generation and maintenance of germinal center reactions and the generation of long-lived humoral immunity. This specialized T helper subset provides help to cognate B cells via their expression of CD40 ligand, IL-21, IL-4, and other molecules. Tfh cells are characterized by their expression of the chemokine receptor CXCR5, expression of the transcriptional repressor Bcl6, and their capacity to migrate to the follicle and promote germinal center B cell responses. Until recently, it remained unclear whether Tfh cells differentiated into memory cells and whether they maintain their Tfh commitment at the memory phase. This review will highlight several recent studies that support the idea of Tfh-committed CD4 T cells at the memory stage of the immune response. The implication of these findings is that memory Tfh cells retain their capacity to recall their Tfh-specific effector functions upon reactivation to provide help for B cell responses and play an important role in prime and boost vaccination or during recall responses to infection. The markers that are useful for distinguishing Tfh effector and memory cells, as well as the limitations of using these markers will be discussed. Tfh effector and memory generation, lineage maintenance, and plasticity relative to other T helper lineages (Th1, Th2, Th17, etc will also be discussed. Ongoing discoveries regarding the maintenance and lineage stability versus plasticity of memory Tfh cells will improve strategies that utilize CD4 T cell memory to modulate antibody responses during prime and boost vaccination.

  10. Insights into operation of planar tri-gate tunnel field effect transistor for dynamic memory application

    Science.gov (United States)

    Navlakha, Nupur; Kranti, Abhinav

    2017-07-01

    Insights into device physics and operation through the control of energy barriers are presented for a planar tri-gate Tunnel Field Effect Transistor (TFET) based dynamic memory. The architecture consists of a double gate (G1) at the source side and a single gate (G2) at the drain end of the silicon film. Dual gates (G1) effectively enhance the tunneling based read mechanism through the enhanced coupling and improved electrostatic control over the channel. The single gate (G2) controls the holes in the potential barrier induced through the proper selection of bias and workfunction. The results indicate that the planar tri-gate achieves optimum performance evaluated in terms of two composite metrics (M1 and M2), namely, product of (i) Sense Margin (SM) and Retention Time (RT) i.e., M1 = SM × RT and (ii) Sense Margin and Current Ratio (CR) i.e., M2 = SM × CR. The regulation of barriers created by the gates (G1 and G2) through the optimal use of device parameters leads to better performance metrics, with significant improvement at scaled lengths as compared to other tunneling based dynamic memory architectures. The investigation shows that lengths of G1, G2 and lateral spacing can be scaled down to 25 nm, 50 nm, and 30 nm, respectively, while achieving reasonable values for (M1, M2). The work demonstrates a systematic approach to showcase the advancement in TFET based Dynamic Random Access Memory (DRAM) through the use of planar tri-gate topology at a lower bias value. The concept, design, and operation of planar tri-gate architecture provide valuable viewpoints for TFET based DRAM.

  11. Saddle-fin cell transistors with oxide etch rate control by using tilted ion implantation (TIS-fin) for sub-50-nm DRAMs

    International Nuclear Information System (INIS)

    Yoo, Min Soo; Choi, Kang Sik; Sun, Woo Kyung

    2010-01-01

    As DRAM cell pitch size decreases, the need for a high performance transistor is increasing. Though saddle-fin (S-fin) transistors have superior characteristics, S-fin transistors are well known to be more sensitive to process variation. To make uniform S-fin transistors, for the first time, we developed a new fin formation method using tilted ion implantation along the wordline direction after a recess gate etch. Due to the increased etch rate of the oxide film by ion implantation damage, fins are made at the bottom channel of the recess gate after wet etching. The resulting tilt implanted saddle-fin (TIS-fin) transistor has remarkably improved characteristics, such as ∼8% subthreshold swing (SS) and a 40% drain induced barrier lowering (DIBL) decrease. Especially, the TIS-fin with a neutral dopant has a reduced threshold voltage (Vth) variation within a wafer (<100 mV), which is comparable with that of a mass-produced sphere-shaped recessed channel array transistor (SRCAT).

  12. Thin PZT-Based Ferroelectric Capacitors on Flexible Silicon for Nonvolatile Memory Applications

    KAUST Repository

    Ghoneim, Mohamed T.

    2015-04-24

    A flexible version of traditional thin lead zirconium titanate ((Pb1.1Zr0.48Ti0.52O3)-(PZT)) based ferroelectric random access memory (FeRAM) on silicon shows record performance in flexible arena. The thin PZT layer requires lower operational voltages to achieve coercive electric fields, reduces the sol-gel coating cycles required (i.e., more cost-effective), and, fabrication wise, is more suitable for further scaling of lateral dimensions to the nano-scale due to the larger feature size-to-depth aspect ratio (critical for ultra-high density non-volatile memory applications). Utilizing the inverse proportionality between substrate\\'s thickness and its flexibility, traditional PZT based FeRAM on silicon is transformed through a transfer-less manufacturable process into a flexible form that matches organic electronics\\' flexibility while preserving the superior performance of silicon CMOS electronics. Each memory cell in a FeRAM array consists of two main elements; a select/access transistor, and a storage ferroelectric capacitor. Flexible transistors on silicon have already been reported. In this work, we focus on the storage ferroelectric capacitors, and report, for the first time, its performance after transformation into a flexible version, and assess its key memory parameters while bent at 0.5 cm minimum bending radius.

  13. Secondary immunization generates clonally related antigen-specific plasma cells and memory B cells.

    Science.gov (United States)

    Frölich, Daniela; Giesecke, Claudia; Mei, Henrik E; Reiter, Karin; Daridon, Capucine; Lipsky, Peter E; Dörner, Thomas

    2010-09-01

    Rechallenge with T cell-dependent Ags induces memory B cells to re-enter germinal centers (GCs) and undergo further expansion and differentiation into plasma cells (PCs) and secondary memory B cells. It is currently not known whether the expanded population of memory B cells and PCs generated in secondary GCs are clonally related, nor has the extent of proliferation and somatic hypermutation of their precursors been delineated. In this study, after secondary tetanus toxoid (TT) immunization, TT-specific PCs increased 17- to 80-fold on days 6-7, whereas TT-specific memory B cells peaked (delayed) on day 14 with a 2- to 22-fold increase. Molecular analyses of V(H)DJ(H) rearrangements of individual cells revealed no major differences of gene usage and CDR3 length between TT-specific PCs and memory B cells, and both contained extensive evidence of somatic hypermutation with a pattern consistent with GC reactions. This analysis identified clonally related TT-specific memory B cells and PCs. Within clusters of clonally related cells, sequences shared a number of mutations but also could contain additional base pair changes. The data indicate that although following secondary immunization PCs can derive from memory B cells without further somatic hypermutation, in some circumstances, likely within GC reactions, asymmetric mutation can occur. These results suggest that after the fate decision to differentiate into secondary memory B cells or PCs, some committed precursors continue to proliferate and mutate their V(H) genes.

  14. Magnetophoretic transistors in a tri-axial magnetic field.

    Science.gov (United States)

    Abedini-Nassab, Roozbeh; Joh, Daniel Y; Albarghouthi, Faris; Chilkoti, Ashutosh; Murdoch, David M; Yellen, Benjamin B

    2016-10-18

    The ability to direct and sort individual biological and non-biological particles into spatially addressable locations is fundamentally important to the emerging field of single cell biology. Towards this goal, we demonstrate a new class of magnetophoretic transistors, which can switch single magnetically labeled cells and magnetic beads between different paths in a microfluidic chamber. Compared with prior work on magnetophoretic transistors driven by a two-dimensional in-plane rotating field, the addition of a vertical magnetic field bias provides significant advantages in preventing the formation of particle clumps and in better replicating the operating principles of circuits in general. However, the three-dimensional driving field requires a complete redesign of the magnetic track geometry and switching electrodes. We have solved this problem by developing several types of transistor geometries which can switch particles between two different tracks by either presenting a local energy barrier or by repelling magnetic objects away from a given track, hereby denoted as "barrier" and "repulsion" transistors, respectively. For both types of transistors, we observe complete switching of magnetic objects with currents of ∼40 mA, which is consistent over a range of particle sizes (8-15 μm). The switching efficiency was also tested at various magnetic field strengths (50-90 Oe) and driving frequencies (0.1-0.6 Hz); however, we again found that the device performance only weakly depended on these parameters. These findings support the use of these novel transistor geometries to form circuit architectures in which cells can be placed in defined locations and retrieved on demand.

  15. FOXO3 regulates CD8 T cell memory by T cell-intrinsic mechanisms.

    Directory of Open Access Journals (Sweden)

    Jeremy A Sullivan

    2012-02-01

    Full Text Available CD8 T cell responses have three phases: expansion, contraction, and memory. Dynamic alterations in proliferation and apoptotic rates control CD8 T cell numbers at each phase, which in turn dictate the magnitude of CD8 T cell memory. Identification of signaling pathways that control CD8 T cell memory is incomplete. The PI3K/Akt signaling pathway controls cell growth in many cell types by modulating the activity of FOXO transcription factors. But the role of FOXOs in regulating CD8 T cell memory remains unknown. We show that phosphorylation of Akt, FOXO and mTOR in CD8 T cells occurs in a dynamic fashion in vivo during an acute viral infection. To elucidate the potentially dynamic role for FOXO3 in regulating homeostasis of activated CD8 T cells in lymphoid and non-lymphoid organs, we infected global and T cell-specific FOXO3-deficient mice with Lymphocytic Choriomeningitis Virus (LCMV. We found that FOXO3 deficiency induced a marked increase in the expansion of effector CD8 T cells, preferentially in the spleen, by T cell-intrinsic mechanisms. Mechanistically, the enhanced accumulation of proliferating CD8 T cells in FOXO3-deficient mice was not attributed to an augmented rate of cell division, but instead was linked to a reduction in cellular apoptosis. These data suggested that FOXO3 might inhibit accumulation of growth factor-deprived proliferating CD8 T cells by reducing their viability. By virtue of greater accumulation of memory precursor effector cells during expansion, the numbers of memory CD8 T cells were strikingly increased in the spleens of both global and T cell-specific FOXO3-deficient mice. The augmented CD8 T cell memory was durable, and FOXO3 deficiency did not perturb any of the qualitative attributes of memory T cells. In summary, we have identified FOXO3 as a critical regulator of CD8 T cell memory, and therapeutic modulation of FOXO3 might enhance vaccine-induced protective immunity against intracellular pathogens.

  16. Generation of memory B cells and their reactivation.

    Science.gov (United States)

    Inoue, Takeshi; Moran, Imogen; Shinnakasu, Ryo; Phan, Tri Giang; Kurosaki, Tomohiro

    2018-05-01

    The successful establishment of humoral memory response depends on at least two layers of defense. Pre-existing protective antibodies secreted by long-lived plasma cells act as a first line of defense against reinfection ("constitutive humoral memory"). Previously, a second line of defense in which pathogen-experienced memory B cells are rapidly reactivated to produce antibodies ("reactive humoral memory"), was considered as simply a back-up system for the first line (particularly for re-infection with homologous viruses). However, in the case of re-infection with similar but different strains of viruses, or in response to viral escape mutants, the reactive humoral memory plays a crucial role. Here, we review recent progress in our understanding of how memory B cells are generated in the pre-GC stage and during the GC reaction, and how these memory B cells are robustly reactivated with the help of memory Tfh cells to generate the secondary antibody response. In addition, we discuss how these advances may be relevant to the quest for a vaccine that can induce broadly reactive antibodies against influenza and HIV. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  17. Analysis of antigen-specific B-cell memory directly ex vivo.

    Science.gov (United States)

    McHeyzer-Williams, Louise J; McHeyzer-Williams, Michael G

    2004-01-01

    Helper T-cell-regulated B-cell memory develops in response to initial antigen priming as a cellular product of the germinal center (GC) reaction. On antigen recall, memory response precursors expand rapidly with exaggerated differentiation into plasma cells to produce the high-titer, high-affinity antibody(Ab) that typifies the memory B-cell response in vivo. We have devised a high-resolution flow cytometric strategy to quantify the emergence and maintenance of antigen-specific memory B cells directly ex vivo. Extended cell surface phenotype establishes a level of cellular diversity not previously appreciated for the memory B-cell compartment. Using an "exclusion transfer" strategy, we ascertain the capacity of two distinct memory B-cell populations to transfer antigen-specific memory into naive adoptive hosts. Finally, we sequence expressed messenger ribonucleic acid (mRNA) from single cells within the population to estimate the level of somatic hypermutation as the best molecular indicator of B-cell memory. In this chapter, we describe the methods used in each of these four sections that serve to provide high-resolution quantification of antigen-specific B-cell memory responses directly ex vivo.

  18. Three-terminal heterojunction bipolar transistor solar cell for high-efficiency photovoltaic conversion.

    Science.gov (United States)

    Martí, A; Luque, A

    2015-04-22

    Here we propose, for the first time, a solar cell characterized by a semiconductor transistor structure (n/p/n or p/n/p) where the base-emitter junction is made of a high-bandgap semiconductor and the collector is made of a low-bandgap semiconductor. We calculate its detailed-balance efficiency limit and prove that it is the same one than that of a double-junction solar cell. The practical importance of this result relies on the simplicity of the structure that reduces the number of layers that are required to match the limiting efficiency of dual-junction solar cells without using tunnel junctions. The device naturally emerges as a three-terminal solar cell and can also be used as building block of multijunction solar cells with an increased number of junctions.

  19. Cross-point-type spin-transfer-torque magnetoresistive random access memory cell with multi-pillar vertical body channel MOSFET

    Science.gov (United States)

    Sasaki, Taro; Endoh, Tetsuo

    2018-04-01

    In this paper, from the viewpoint of cell size and sensing margin, the impact of a novel cross-point-type one transistor and one magnetic tunnel junction (1T–1MTJ) spin-transfer-torque magnetoresistive random access memory (STT-MRAM) cell with a multi-pillar vertical body channel (BC) MOSFET is shown for high density and wide sensing margin STT-MRAM, with a 10 ns writing period and 1.2 V V DD. For that purpose, all combinations of n/p-type MOSFETs and bottom/top-pin MTJs are compared, where the diameter of MTJ (D MTJ) is scaled down from 55 to 15 nm and the tunnel magnetoresistance (TMR) ratio is increased from 100 to 200%. The results show that, benefiting from the proposed STT-MRAM cell with no back bias effect, the MTJ with a high TMR ratio (200%) can be used in the design of smaller STT-MRAM cells (over 72.6% cell size reduction), which is a difficult task for conventional planar MOSFET based design.

  20. Memory NK cells: why do they reside in the liver?

    Science.gov (United States)

    Jiang, Xiaojun; Chen, Yonglin; Peng, Hui; Tian, Zhigang

    2013-05-01

    Immune memory is the hallmark of adaptive immunity. However, recent studies have shown that natural killer (NK) cells, key components of the innate immune system, also mediate memory responses in mice and humans. Strikingly, memory NK cells were liver-resident in some models, raising the question as to whether the liver is a special organ for the acquisition of NK cell memory. Here, we review the characteristics of NK cell memory by summarizing recent progress and discuss how the liver may generate both the initiation and the recall phase of memory. We propose that the liver may have unique precursors for memory NK cells, which are developmentally distinct from NK cells derived from bone marrow.

  1. A High-Performance Optical Memory Array Based on Inhomogeneity of Organic Semiconductors.

    Science.gov (United States)

    Pei, Ke; Ren, Xiaochen; Zhou, Zhiwen; Zhang, Zhichao; Ji, Xudong; Chan, Paddy Kwok Leung

    2018-03-01

    Organic optical memory devices keep attracting intensive interests for diverse optoelectronic applications including optical sensors and memories. Here, flexible nonvolatile optical memory devices are developed based on the bis[1]benzothieno[2,3-d;2',3'-d']naphtho[2,3-b;6,7-b']dithiophene (BBTNDT) organic field-effect transistors with charge trapping centers induced by the inhomogeneity (nanosprouts) of the organic thin film. The devices exhibit average mobility as high as 7.7 cm 2 V -1 s -1 , photoresponsivity of 433 A W -1 , and long retention time for more than 6 h with a current ratio larger than 10 6 . Compared with the standard floating gate memory transistors, the BBTNDT devices can reduce the fabrication complexity, cost, and time. Based on the reasonable performance of the single device on a rigid substrate, the optical memory transistor is further scaled up to a 16 × 16 active matrix array on a flexible substrate with operating voltage less than 3 V, and it is used to map out 2D optical images. The findings reveal the potentials of utilizing [1]benzothieno[3,2-b][1]benzothiophene (BTBT) derivatives as organic semiconductors for high-performance optical memory transistors with a facile structure. A detailed study on the charge trapping mechanism in the derivatives of BTBT materials is also provided, which is closely related to the nanosprouts formed inside the organic active layer. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Effect of Coercive Voltage and Charge Injection on Performance of a Ferroelectric-Gate Thin-Film Transistor

    Directory of Open Access Journals (Sweden)

    P. T. Tue

    2013-01-01

    Full Text Available We adopted a lanthanum oxide capping layer between semiconducting channel and insulator layers for fabrication of a ferroelectric-gate thin-film transistor memory (FGT which uses solution-processed indium-tin-oxide (ITO and lead-zirconium-titanate (PZT film as a channel layer and a gate insulator, respectively. Good transistor characteristics such as a high “on/off” current ratio, high channel mobility, and a large memory window of 108, 15.0 cm2 V−1 s−1, and 3.5 V were obtained, respectively. Further, a correlation between effective coercive voltage, charge injection effect, and FGT’s memory window was investigated. It is found that the charge injection from the channel to the insulator layer, which occurs at a high electric field, dramatically influences the memory window. The memory window’s enhancement can be explained by a dual effect of the capping layer: (1 a reduction of the charge injection and (2 an increase of effective coercive voltage dropped on the insulator.

  3. Overview of emerging nonvolatile memory technologies.

    Science.gov (United States)

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  4. Overview of emerging nonvolatile memory technologies

    Science.gov (United States)

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  5. CD49b-dependent establishment of T helper cell memory.

    Science.gov (United States)

    Hanazawa, Asami; Hayashizaki, Koji; Shinoda, Kenta; Yagita, Hideo; Okumura, Ko; Löhning, Max; Hara, Takahiro; Tani-ichi, Shizue; Ikuta, Koichi; Eckes, Beate; Radbruch, Andreas; Tokoyoda, Koji; Nakayama, Toshinori

    2013-09-01

    CD4 T cells play a key role in immunological memory. We have demonstrated that professional memory CD4 T cells reside and rest in the bone marrow (BM). However, the molecular mechanisms of their establishment in the BM and their maintenance remain unclear. We here show that memory CD4 T cells express high levels of CD49b and that CD49b-deficient or -blocked memory CD4 T-cell precursors fail to migrate from blood into the marrow of the bone, and they especially fail to transmigrate through sinusoidal endothelial cells of the BM. In the marrow, memory CD4 T cells and the precursors contact stromal cells expressing collagen II that are specific ligands for CD49b. Interestingly, memory CD4 T cells on day 117 of an immune response also dock on IL-7(+)/collagen XI(+) stromal cells, whereas memory precursors on day 12 do not. These results indicate that the collagen receptor CD49b is required for the migration of memory CD4 T-cell precursors into their survival niches of the bone marrow.

  6. Measurements of a vortex transitional ndro Josephson memory cell

    International Nuclear Information System (INIS)

    Tahara, S.; Ishida, I.; Hidaka, M.; Nagasawa, S.; Ajisawa, Y.; Wada, Y.

    1988-01-01

    A novel vortex transitional NDRO Jospehson memory cell has been successfully fabricated and tested. The memory cell consists of two superconducting loops and a two-junction interferometer gate as a sense gate. The superconducting loop contains one Josephson junction and inductances, and stores single flux quantum. The memory cell employs vortex transitions in the superconducting loops for writing and reading data. The memory cell chips have been fabricated using niobium planarization process. The +-21 percent address signal current margin and the +-33 percent sense gate current margin have been obtained experimentally. The memory operation of the cell driven by the two-junction interferometer gates has been accurately demonstrated

  7. A vertically integrated capacitorless memory cell

    International Nuclear Information System (INIS)

    Tong Xiaodong; Wu Hao; Zhao Lichuan; Wang Ming; Zhong Huicai

    2013-01-01

    A two-port capacitorless PNPN device with high density, high speed and low power memory fabricated using standard CMOS technology is presented. Experiments and calibrated simulations were conducted which prove that this new memory cell has a high operation speed (ns level), large read current margin (read current ratio of 10 4 ×), low process variation, good thermal reliability and available retention time (190 ms). Furthermore, the new memory cell is free of the cyclic endurance/reliability problems induced by hot-carrier injection due to the gateless structure. (semiconductor devices)

  8. Shape Memory of Human Red Blood Cells

    OpenAIRE

    Fischer, Thomas M.

    2004-01-01

    The human red cell can be deformed by external forces but returns to the biconcave resting shape after removal of the forces. If after such shape excursions the rim is always formed by the same part of the membrane, the cell is said to have a memory of its biconcave shape. If the rim can form anywhere on the membrane, the cell would have no shape memory. The shape memory was probed by an experiment called go-and-stop. Locations on the membrane were marked by spontaneously adhering latex spher...

  9. AM06: the Associative Memory chip for the Fast TracKer in the upgraded ATLAS detector

    CERN Document Server

    Liberali, Valentino; The ATLAS collaboration

    2018-01-01

    This paper describes the AM06 chip, a highly parallel processor for pattern recognition in high energy physics. AM06 contains memory banks that store up to 2^17 patterns made up of 8x18 bit words and integrates SER/DES IP blocks for 2.4 Gb/s IO to avoid routing congestion. AM06 combines custom memory arrays, standard logic cells and IP blocks within a 168 mm^2 silicon area with 421 million transistors and can perform bitwise comparisons at 1.6 Pbit/s, consuming ~2 fJ/bit per comparison thanks to the optimized design based on the XORAM cells.

  10. Flexible Proton-Gated Oxide Synaptic Transistors on Si Membrane.

    Science.gov (United States)

    Zhu, Li Qiang; Wan, Chang Jin; Gao, Ping Qi; Liu, Yang Hui; Xiao, Hui; Ye, Ji Chun; Wan, Qing

    2016-08-24

    Ion-conducting materials have received considerable attention for their applications in fuel cells, electrochemical devices, and sensors. Here, flexible indium zinc oxide (InZnO) synaptic transistors with multiple presynaptic inputs gated by proton-conducting phosphorosilicate glass-based electrolyte films are fabricated on ultrathin Si membranes. Transient characteristics of the proton gated InZnO synaptic transistors are investigated, indicating stable proton-gating behaviors. Short-term synaptic plasticities are mimicked on the proposed proton-gated synaptic transistors. Furthermore, synaptic integration regulations are mimicked on the proposed synaptic transistor networks. Spiking logic modulations are realized based on the transition between superlinear and sublinear synaptic integration. The multigates coupled flexible proton-gated oxide synaptic transistors may be interesting for neuroinspired platforms with sophisticated spatiotemporal information processing.

  11. Decreased memory B cells and increased CD8 memory T cells in blood of breastfed children: the generation R study.

    Science.gov (United States)

    Jansen, Michelle A E; van den Heuvel, Diana; van Zelm, Menno C; Jaddoe, Vincent W V; Hofman, Albert; de Jongste, Johan C; Hooijkaas, Herbert; Moll, Henriette A

    2015-01-01

    Breastfeeding provides a protective effect against infectious diseases in infancy. Still, immunological evidence for enhanced adaptive immunity in breastfed children remains inconclusive. To determine whether breastfeeding affects B- and T-cell memory in the first years of life. We performed immunophenotypic analysis on blood samples within a population-based prospective cohort study. Participants included children at 6 months (n=258), 14 months (n=166), 25 months (n=112) and 6 years of age (n=332) with both data on breastfeeding and blood lymphocytes. Total B- and T-cell numbers and their memory subsets were determined with 6-color flow cytometry. Mothers completed questionnaires on breastfeeding when their children were aged 2, 6, and 12 months. Multiple linear regression models with adjustments for potential confounders were performed. Per month continuation of breastfeeding, a 3% (95% CI -6, -1) decrease in CD27+IgM+, a 2% (95 CI % -5, -1) decrease in CD27+IgA+ and a 2% (95% CI -4, -1) decrease in CD27-IgG+ memory B cell numbers were observed at 6 months of age. CD8 T-cell numbers at 6 months of age were 20% (95% CI 3, 37) higher in breastfed than in non-breastfed infants. This was mainly found for central memory CD8 T cells and associated with exposure to breast milk, rather than duration. The same trend was observed at 14 months, but associations disappeared at older ages. Longer breastfeeding is associated with increased CD8 T-cell memory, but not B-cell memory numbers in the first 6 months of life. This transient skewing towards T cell memory might contribute to the protective effect against infectious diseases in infancy.

  12. Decreased memory B cells and increased CD8 memory T cells in blood of breastfed children: the generation R study.

    Directory of Open Access Journals (Sweden)

    Michelle A E Jansen

    Full Text Available Breastfeeding provides a protective effect against infectious diseases in infancy. Still, immunological evidence for enhanced adaptive immunity in breastfed children remains inconclusive.To determine whether breastfeeding affects B- and T-cell memory in the first years of life.We performed immunophenotypic analysis on blood samples within a population-based prospective cohort study. Participants included children at 6 months (n=258, 14 months (n=166, 25 months (n=112 and 6 years of age (n=332 with both data on breastfeeding and blood lymphocytes. Total B- and T-cell numbers and their memory subsets were determined with 6-color flow cytometry. Mothers completed questionnaires on breastfeeding when their children were aged 2, 6, and 12 months. Multiple linear regression models with adjustments for potential confounders were performed.Per month continuation of breastfeeding, a 3% (95% CI -6, -1 decrease in CD27+IgM+, a 2% (95 CI % -5, -1 decrease in CD27+IgA+ and a 2% (95% CI -4, -1 decrease in CD27-IgG+ memory B cell numbers were observed at 6 months of age. CD8 T-cell numbers at 6 months of age were 20% (95% CI 3, 37 higher in breastfed than in non-breastfed infants. This was mainly found for central memory CD8 T cells and associated with exposure to breast milk, rather than duration. The same trend was observed at 14 months, but associations disappeared at older ages.Longer breastfeeding is associated with increased CD8 T-cell memory, but not B-cell memory numbers in the first 6 months of life. This transient skewing towards T cell memory might contribute to the protective effect against infectious diseases in infancy.

  13. Lifetime prediction of InGaZnO thin film transistor for the application of display device and BEOL-transistors

    Science.gov (United States)

    Kim, Sang Min; Cho, Won Ju; Yu, Chong Gun; Park, Jong Tae

    2018-04-01

    In this work, the lifetime prediction models of amorphous InGaZnO thin film transistors (a-IGZO TFTs) were suggested for the application of display device and BEOL (Back End Of line) transistors with embedded a-IGZO TFTs. Four different types of test devices according to the active layer thickness, source/drain electrode materials and thermal treatments have been used to verify the suggested model. The device lifetimes under high gate bias stress and hot carrier stress were extracted through fittings of the stretched-exponential equation for threshold voltage shifts and the current estimation method for drain current degradations. Our suggested lifetime prediction models could be used in any kinds of structures of a-IGZO TFTs for the application of display device and BEOL transistors. The a-IGZO TFTs with embedded ITO local conducting layer under source/drain is better for BEOL transistor application and a-IGZO TFTs with InGaZnO thin film as source/drain electrodes may be better for the application of display devices. From 1983 to 1985, he was a Researcher at Gold-Star Semiconductor, Inc., Korea, where he worked on the development of SRAM. He joined the Department of Electronics Engineering, University of Incheon, Incheon, Korea, in 1987, where he is a Professor. As a visiting scientist at Massachusetts Institute of Technology, Cambridge, in 1991, he conducted research in hot carrier reliability of CMOS. As a visiting scholar at University of California, Davis, in 2001, he conducted research on the device structure of Nano-scale SOI CMOS. His recent interests are device structure and reliability of Nano-scale CMOS devices, flash memory, and thin film transistors.

  14. Memory NK cells: why do they reside in the liver?

    OpenAIRE

    Jiang, Xiaojun; Chen, Yonglin; Peng, Hui; Tian, Zhigang

    2013-01-01

    Immune memory is the hallmark of adaptive immunity. However, recent studies have shown that natural killer (NK) cells, key components of the innate immune system, also mediate memory responses in mice and humans. Strikingly, memory NK cells were liver-resident in some models, raising the question as to whether the liver is a special organ for the acquisition of NK cell memory. Here, we review the characteristics of NK cell memory by summarizing recent progress and discuss how the liver may ge...

  15. Interregional synaptic maps among engram cells underlie memory formation.

    Science.gov (United States)

    Choi, Jun-Hyeok; Sim, Su-Eon; Kim, Ji-Il; Choi, Dong Il; Oh, Jihae; Ye, Sanghyun; Lee, Jaehyun; Kim, TaeHyun; Ko, Hyoung-Gon; Lim, Chae-Seok; Kaang, Bong-Kiun

    2018-04-27

    Memory resides in engram cells distributed across the brain. However, the site-specific substrate within these engram cells remains theoretical, even though it is generally accepted that synaptic plasticity encodes memories. We developed the dual-eGRASP (green fluorescent protein reconstitution across synaptic partners) technique to examine synapses between engram cells to identify the specific neuronal site for memory storage. We found an increased number and size of spines on CA1 engram cells receiving input from CA3 engram cells. In contextual fear conditioning, this enhanced connectivity between engram cells encoded memory strength. CA3 engram to CA1 engram projections strongly occluded long-term potentiation. These results indicate that enhanced structural and functional connectivity between engram cells across two directly connected brain regions forms the synaptic correlate for memory formation. Copyright © 2018 The Authors, some rights reserved; exclusive licensee American Association for the Advancement of Science. No claim to original U.S. Government Works.

  16. Vaccination Expands Antigen-Specific CD4+ Memory T Cells and Mobilizes Bystander Central Memory T Cells

    Science.gov (United States)

    Li Causi, Eleonora; Parikh, Suraj C.; Chudley, Lindsey; Layfield, David M.; Ottensmeier, Christian H.; Stevenson, Freda K.; Di Genova, Gianfranco

    2015-01-01

    CD4+ T helper memory (Thmem) cells influence both natural and vaccine-boosted immunity, but mechanisms for their maintenance remain unclear. Pro-survival signals from the common gamma-chain cytokines, in particular IL-7, appear important. Previously we showed in healthy volunteers that a booster vaccination with tetanus toxoid (TT) expanded peripheral blood TT-specific Thmem cells as expected, but was accompanied by parallel increase of Thmem cells specific for two unrelated and non cross-reactive common recall antigens. Here, in a new cohort of healthy human subjects, we compare blood vaccine-specific and bystander Thmem cells in terms of differentiation stage, function, activation and proliferative status. Both responses peaked 1 week post-vaccination. Vaccine-specific cytokine-producing Thmem cells were predominantly effector memory, whereas bystander cells were mainly of central memory phenotype. Importantly, TT-specific Thmem cells were activated (CD38High HLA-DR+), cycling or recently divided (Ki-67+), and apparently vulnerable to death (IL-7RαLow and Bcl-2 Low). In contrast, bystander Thmem cells were resting (CD38Low HLA-DR- Ki-67-) with high expression of IL-7Rα and Bcl-2. These findings allow a clear distinction between vaccine-specific and bystander Thmem cells, suggesting the latter do not derive from recent proliferation but from cells mobilized from as yet undefined reservoirs. Furthermore, they reveal the interdependent dynamics of specific and bystander T-cell responses which will inform assessments of responses to vaccines. PMID:26332995

  17. Selected microRNAs define cell fate determination of murine central memory CD8 T cells.

    Directory of Open Access Journals (Sweden)

    Gonzalo Almanza

    2010-06-01

    Full Text Available During an immune response T cells enter memory fate determination, a program that divides them into two main populations: effector memory and central memory T cells. Since in many systems protection appears to be preferentially mediated by T cells of the central memory it is important to understand when and how fate determination takes place. To date, cell intrinsic molecular events that determine their differentiation remains unclear. MicroRNAs are a class of small, evolutionarily conserved RNA molecules that negatively regulate gene expression, causing translational repression and/or messenger RNA degradation. Here, using an in vitro system where activated CD8 T cells driven by IL-2 or IL-15 become either effector memory or central memory cells, we assessed the role of microRNAs in memory T cell fate determination. We found that fate determination to central memory T cells is under the balancing effects of a discrete number of microRNAs including miR-150, miR-155 and the let-7 family. Based on miR-150 a new target, KChIP.1 (K (+ channel interacting protein 1, was uncovered, which is specifically upregulated in developing central memory CD8 T cells. Our studies indicate that cell fate determination such as surface phenotype and self-renewal may be decided at the pre-effector stage on the basis of the balancing effects of a discrete number of microRNAs. These results may have implications for the development of T cell vaccines and T cell-based adoptive therapies.

  18. Nonvolatile memory thin film transistors using CdSe/ZnS quantum dot-poly(methyl methacrylate) composite layer formed by a two-step spin coating technique

    Science.gov (United States)

    Chen, Ying-Chih; Huang, Chun-Yuan; Yu, Hsin-Chieh; Su, Yan-Kuin

    2012-08-01

    The nonvolatile memory thin film transistors (TFTs) using a core/shell CdSe/ZnS quantum dot (QD)-poly(methyl methacrylate) (PMMA) composite layer as the floating gate have been demonstrated, with the device configuration of n+-Si gate/SiO2 insulator/QD-PMMA composite layer/pentacene channel/Au source-drain being proposed. To achieve the QD-PMMA composite layer, a two-step spin coating technique was used to successively deposit QD-PMMA composite and PMMA on the insulator. After the processes, the variation of crystal quality and surface morphology of the subsequent pentacene films characterized by x-ray diffraction spectra and atomic force microscopy was correlated to the two-step spin coating. The crystalline size of pentacene was improved from 147.9 to 165.2 Å, while the degree of structural disorder was decreased from 4.5% to 3.1% after the adoption of this technique. In pentacene-based TFTs, the improvement of the performance was also significant, besides the appearances of strong memory characteristics. The memory behaviors were attributed to the charge storage/discharge effect in QD-PMMA composite layer. Under the programming and erasing operations, programmable memory devices with the memory window (Δ Vth) = 23 V and long retention time were obtained.

  19. Influence of non-adherent yeast cells on electrical characteristics of diamond-based field-effect transistors

    Czech Academy of Sciences Publication Activity Database

    Procházka, Václav; Cifra, Michal; Kulha, Pavel; Ižák, Tibor; Rezek, Bohuslav; Kromka, Alexander

    2017-01-01

    Roč. 395, Feb (2017), s. 214-219 ISSN 0169-4332 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 ; RVO:67985882 Keywords : nanocrystalline diamond * yeast cells * field-effect transistor * transfer characteristics pH sensitivity Subject RIV: BO - Biophysics OBOR OECD: Biophysics Impact factor: 3.387, year: 2016

  20. Nonvolatile ferroelectric memory based on PbTiO3 gated single-layer MoS2 field-effect transistor

    Science.gov (United States)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-01-01

    We fabricated ferroelectric non-volatile random access memory (FeRAM) based on a field effect transistor (FET) consisting of a monolayer MoS2 channel and a ferroelectric PbTiO3 (PTO) thin film of gate insulator. An epitaxial PTO thin film was deposited on a Nb-doped SrTiO3 (Nb:STO) substrate via pulsed laser deposition. A monolayer MoS2 sheet was exfoliated from a bulk crystal and transferred to the surface of the PTO/Nb:STO. Structural and surface properties of the PTO thin film were characterized by X-ray diffraction and atomic force microscopy, respectively. Raman spectroscopy analysis was performed to identify the single-layer MoS2 sheet on the PTO/Nb:STO. We obtained mobility value (327 cm2/V·s) of the MoS2 channel at room temperature. The MoS2-PTO FeRAM FET showed a wide memory window with 17 kΩ of resistance variation which was attributed to high remnant polarization of the epitaxially grown PTO thin film. According to the fatigue resistance test for the FeRAM FET, however, the resistance states gradually varied during the switching cycles of 109. [Figure not available: see fulltext.

  1. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    Science.gov (United States)

    Zheng, Qi-Wen; Yu, Xue-Feng; Cui, Jiang-Wei; Guo, Qi; Ren, Di-Yuan; Cong, Zhong-Chao; Zhou, Hang

    2014-10-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device.

  2. The supply voltage scaled dependency of the recovery of single event upset in advanced complementary metal—oxide—semiconductor static random-access memory cells

    International Nuclear Information System (INIS)

    Li Da-Wei; Qin Jun-Rui; Chen Shu-Ming

    2013-01-01

    Using computer-aided design three-dimensional simulation technology, the supply voltage scaled dependency of the recovery of single event upset and charge collection in static random-access memory cells are investigated. It reveals that the recovery linear energy transfer threshold decreases with the supply voltage reducing, which is quite attractive for dynamic voltage scaling and subthreshold circuit radiation-hardened design. Additionally, the effect of supply voltage on charge collection is also investigated. It is concluded that the supply voltage mainly affects the bipolar gain of the parasitical bipolar junction transistor (BJT) and the existence of the source plays an important role in supply voltage variation. (geophysics, astronomy, and astrophysics)

  3. Memristive behavior in a junctionless flash memory cell

    Energy Technology Data Exchange (ETDEWEB)

    Orak, Ikram [Vocational School of Health Services, Bingöl University, 12000 Bingöl (Turkey); Department of Physics, Faculty of Science and Art, Bingöl University, 12000 Bingöl (Turkey); Ürel, Mustafa; Dana, Aykutlu, E-mail: aykutlu@unam.bilkent.edu.tr [UNAM Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey); Bakan, Gokhan [Faculty of Engineering, Antalya International University, 07190 Antalya (Turkey)

    2015-06-08

    We report charge storage based memristive operation of a junctionless thin film flash memory cell when it is operated as a two terminal device by grounding the gate. Unlike memristors based on nanoionics, the presented device mode, which we refer to as the flashristor mode, potentially allows greater control over the memristive properties, allowing rational design. The mode is demonstrated using a depletion type n-channel ZnO transistor grown by atomic layer deposition (ALD), with HfO{sub 2} as the tunnel dielectric, Al{sub 2}O{sub 3} as the control dielectric, and non-stoichiometric silicon nitride as the charge storage layer. The device exhibits the pinched hysteresis of a memristor and in the unoptimized device, R{sub off}/R{sub on} ratios of about 3 are presented with low operating voltages below 5 V. A simplified model predicts R{sub off}/R{sub on} ratios can be improved significantly by adjusting the native threshold voltage of the devices. The repeatability of the resistive switching is excellent and devices exhibit 10{sup 6 }s retention time, which can, in principle, be improved by engineering the gate stack and storage layer properties. The flashristor mode can find use in analog information processing applications, such as neuromorphic computing, where well-behaving and highly repeatable memristive properties are desirable.

  4. A review of emerging non-volatile memory (NVM) technologies and applications

    Science.gov (United States)

    Chen, An

    2016-11-01

    This paper will review emerging non-volatile memory (NVM) technologies, with the focus on phase change memory (PCM), spin-transfer-torque random-access-memory (STTRAM), resistive random-access-memory (RRAM), and ferroelectric field-effect-transistor (FeFET) memory. These promising NVM devices are evaluated in terms of their advantages, challenges, and applications. Their performance is compared based on reported parameters of major industrial test chips. Memory selector devices and cell structures are discussed. Changing market trends toward low power (e.g., mobile, IoT) and data-centric applications create opportunities for emerging NVMs. High-performance and low-cost emerging NVMs may simplify memory hierarchy, introduce non-volatility in logic gates and circuits, reduce system power, and enable novel architectures. Storage-class memory (SCM) based on high-density NVMs could fill the performance and density gap between memory and storage. Some unique characteristics of emerging NVMs can be utilized for novel applications beyond the memory space, e.g., neuromorphic computing, hardware security, etc. In the beyond-CMOS era, emerging NVMs have the potential to fulfill more important functions and enable more efficient, intelligent, and secure computing systems.

  5. Transistor challenges - A DRAM perspective

    International Nuclear Information System (INIS)

    Faul, Juergen W.; Henke, Dietmar

    2005-01-01

    Key challenges of the transistor scaling from a DRAM perspective will be reviewed. Both, array transistors as well as DRAM support devices face challenges that differ essentially from high performance logic device scaling. As a major difference, retention time and standby current requirements characterize special boundary conditions in the DRAM device design. Array device scaling is determined by a chip size driven aggressive node scaling. To continue scaling, major innovations need to be introduced into state-of-the-art planar array transistors. Alternatively, non planar device concepts will have to be evaluated. Support device design for DRAMs is driven by today's market demand for increased chip performances at little to no extra cost. Major innovations are required to continue that path. Besides this strive for performance increase, special limitations for 'on pitch' circuits at the array edge will come up due to the aggressive cell size scaling

  6. Diazaisoindigo bithiophene and terthiophene copolymers for application in field-effect transistors and solar cells

    KAUST Repository

    Yue, Wan

    2017-06-10

    Two donor–acceptor conjugated polymers with azaisoindigo as acceptor units and bithiophene and terthiophene as donor units have been synthesized by Stille polymerization. These two polymers have been successfully applied in field-effect transistors and polymer solar cells. By changing the donor component of the conjugated polymer backbone from bithiophene to terthiophene, the density of thiophene in the backbone is increased, manifesting as a decrease in both ionization potential and in electron affinity. Therefore, the charge transport in field-effect transistors switches from ambipolar to predominantly hole transport behavior. PAIIDTT exhibits hole mobility up to 0.40 cm2/Vs and electron mobility of 0.02 cm2/Vs, whereas PAIIDTTT exhibits hole mobility of 0.62 cm2/Vs. Polymer solar cells were fabricated based on these two polymers as donors with PC61BM and PC71BM as acceptor where PAIIDTT shows a modest efficiency of 2.57% with a very low energy loss of 0.55 eV, while PAIIDTTT shows a higher efficiency of 6.16% with a higher energy loss of 0.74 eV. Our results suggest that azaisoindgo is a useful building block for the development of efficient polymer solar cells with further improvement possibility by tuning the alternative units on the polymer backbone. © 2017 Wiley Periodicals, Inc. J. Polym. Sci., Part A: Polym. Chem. 2017

  7. Liquid crystals for organic transistors (Conference Presentation)

    Science.gov (United States)

    Hanna, Jun-ichi; Iino, Hiroaki

    2016-09-01

    Liquid crystals are a new type of organic semiconductors exhibiting molecular orientation in self-organizing manner, and have high potential for device applications. In fact, various device applications have been proposed so far, including photosensors, solar cells, light emitting diodes, field effect transistors, and so on.. However, device performance in those fabricated with liquid crystals is less than those of devices fabricated with conventional materials in spite of unique features of liquid crystals. Here we discuss how we can utilize the liquid crystallinity in organic transistors and how we can overcome conventional non-liquid crystalline organic transistor materials. Then, we demonstrate high performance organic transistors fabricated with a smectic E liquid crystal of Ph-BTBT-10, which show high mobility of over 10cm2/Vs and high thermal durability of over 200oC in OFETs fabricated with its spin-coated polycrystalline thin films.

  8. Radiation effect on silicon transistors in mixed neutrons-gamma environment

    Science.gov (United States)

    Assaf, J.; Shweikani, R.; Ghazi, N.

    2014-10-01

    The effects of gamma and neutron irradiations on two different types of transistors, Junction Field Effect Transistor (JFET) and Bipolar Junction Transistor (BJT), were investigated. Irradiation was performed using a Syrian research reactor (RR) (Miniature Neutron Source Reactor (MNSR)) and a gamma source (Co-60 cell). For RR irradiation, MCNP code was used to calculate the absorbed dose received by the transistors. The experimental results showed an overall decrease in the gain factors of the transistors after irradiation, and the JFETs were more resistant to the effects of radiation than BJTs. The effect of RR irradiation was also greater than that of gamma source for the same dose, which could be because neutrons could cause more damage than gamma irradiation.

  9. Solving the integration problem of one transistor one memristor architecture with a Bi-layer IGZO film through synchronous process

    Science.gov (United States)

    Chang, Che-Chia; Liu, Po-Tsun; Chien, Chen-Yu; Fan, Yang-Shun

    2018-04-01

    This study demonstrates the integration of a thin film transistor (TFT) and resistive random-access memory (RRAM) to form a one-transistor-one-resistor (1T1R) configuration. With the concept of the current conducting direction in RRAM and TFT, a triple-layer stack design of Pt/InGaZnO/Al2O3 is proposed for both the switching layer of RRAM and the channel layer of TFT. This proposal decreases the complexity of fabrication and the numbers of photomasks required. Also, the robust endurance and stable retention characteristics are exhibited by the 1T1R architecture for promising applications in memory-embedded flat panel displays.

  10. Hybrid dual gate ferroelectric memory for multilevel information storage

    KAUST Repository

    Khan, Yasser

    2015-01-01

    Here, we report hybrid organic/inorganic ferroelectric memory with multilevel information storage using transparent p-type SnO semiconductor and ferroelectric P(VDF-TrFE) polymer. The dual gate devices include a top ferroelectric field-effect transistor (FeFET) and a bottom thin-film transistor (TFT). The devices are all fabricated at low temperatures (∼200°C), and demonstrate excellent performance with high hole mobility of 2.7 cm2 V-1 s-1, large memory window of ∼18 V, and a low sub-threshold swing ∼-4 V dec-1. The channel conductance of the bottom-TFT and the top-FeFET can be controlled independently by the bottom and top gates, respectively. The results demonstrate multilevel nonvolatile information storage using ferroelectric memory devices with good retention characteristics.

  11. The Vast Universe of T Cell Diversity: Subsets of Memory Cells and Their Differentiation.

    Science.gov (United States)

    Jandus, Camilla; Usatorre, Amaia Martínez; Viganò, Selena; Zhang, Lianjun; Romero, Pedro

    2017-01-01

    The T cell receptor confers specificity for antigen recognition to T cells. By the first encounter with the cognate antigen, reactive T cells initiate a program of expansion and differentiation that will define not only the ultimate quantity of specific cells that will be generated, but more importantly their quality and functional heterogeneity. Recent achievements using mouse model infection systems have helped to shed light into the complex network of factors that dictate and sustain memory T cell differentiation, ranging from antigen load, TCR signal strength, metabolic fitness, transcriptional programs, and proliferative potential. The different models of memory T cell differentiation are discussed in this chapter, and key phenotypic and functional attributes of memory T cell subsets are presented, both for mouse and human cells. Therapeutic manipulation of memory T cell generation is expected to provide novel unique ways to optimize current immunotherapies, both in infection and cancer.

  12. CD4 T cell autophagy is integral to memory maintenance.

    Science.gov (United States)

    Murera, Diane; Arbogast, Florent; Arnold, Johan; Bouis, Delphine; Muller, Sylviane; Gros, Frédéric

    2018-04-13

    Studies of mice deficient for autophagy in T cells since thymic development, concluded that autophagy is integral to mature T cell homeostasis. Basal survival and functional impairments in vivo, limited the use of these models to delineate the role of autophagy during the immune response. We generated Atg5 f/f distal Lck (dLck)-cre mice, with deletion of autophagy only at a mature stage. In this model, autophagy deficiency impacts CD8 + T cell survival but has no influence on CD4 + T cell number and short-term activation. Moreover, autophagy in T cells is dispensable during early humoral response but critical for long-term antibody production. Autophagy in CD4 + T cells is required to transfer humoral memory as shown by injection of antigen-experienced cells in naive mice. We also observed a selection of autophagy-competent cells in the CD4 + T cell memory compartment. We performed in vitro differentiation of memory CD4 + T cells, to better characterize autophagy-deficient memory cells. We identified mitochondrial and lipid load defects in differentiated memory CD4 + T cells, together with a compromised survival, without any collapse of energy production. We then propose that memory CD4 + T cells rely on autophagy for their survival to regulate toxic effects of mitochondrial activity and lipid overload.

  13. Doped Organic Transistors.

    Science.gov (United States)

    Lüssem, Björn; Keum, Chang-Min; Kasemann, Daniel; Naab, Ben; Bao, Zhenan; Leo, Karl

    2016-11-23

    Organic field-effect transistors hold the promise of enabling low-cost and flexible electronics. Following its success in organic optoelectronics, the organic doping technology is also used increasingly in organic field-effect transistors. Doping not only increases device performance, but it also provides a way to fine-control the transistor behavior, to develop new transistor concepts, and even improve the stability of organic transistors. This Review summarizes the latest progress made in the understanding of the doping technology and its application to organic transistors. It presents the most successful doping models and an overview of the wide variety of materials used as dopants. Further, the influence of doping on charge transport in the most relevant polycrystalline organic semiconductors is reviewed, and a concise overview on the influence of doping on transistor behavior and performance is given. In particular, recent progress in the understanding of contact doping and channel doping is summarized.

  14. Increased numbers of preexisting memory CD8 T cells and decreased T-bet expression can restrain terminal differentiation of secondary effector and memory CD8 T cells.

    Science.gov (United States)

    Joshi, Nikhil S; Cui, Weiguo; Dominguez, Claudia X; Chen, Jonathan H; Hand, Timothy W; Kaech, Susan M

    2011-10-15

    Memory CD8 T cells acquire effector memory cell properties after reinfection and may reach terminally differentiated, senescent states ("Hayflick limit") after multiple infections. The signals controlling this process are not well understood, but we found that the degree of secondary effector and memory CD8 T cell differentiation was intimately linked to the amount of T-bet expressed upon reactivation and preexisting memory CD8 T cell number (i.e., primary memory CD8 T cell precursor frequency) present during secondary infection. Compared with naive cells, memory CD8 T cells were predisposed toward terminal effector (TE) cell differentiation because they could immediately respond to IL-12 and induce T-bet, even in the absence of Ag. TE cell formation after secondary (2°) or tertiary infections was dependent on increased T-bet expression because T-bet(+/-) cells were resistant to these phenotypic changes. Larger numbers of preexisting memory CD8 T cells limited the duration of 2° infection and the amount of IL-12 produced, and consequently, this reduced T-bet expression and the proportion of 2° TE CD8 T cells that formed. Together, these data show that over repeated infections, memory CD8 T cell quality and proliferative fitness is not strictly determined by the number of serial encounters with Ag or cell divisions, but is a function of the CD8 T cell differentiation state, which is genetically controlled in a T-bet-dependent manner. This differentiation state can be modulated by preexisting memory CD8 T cell number and the intensity of inflammation during reinfection. These results have important implications for vaccinations involving prime-boost strategies.

  15. High reliable and stable organic field-effect transistor nonvolatile memory with a poly(4-vinyl phenol) charge trapping layer based on a pn-heterojunction active layer

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Lanyi; Ying, Jun; Han, Jinhua; Zhang, Letian, E-mail: zlt@jlu.edu.cn, E-mail: wwei99@jlu.edu.cn; Wang, Wei, E-mail: zlt@jlu.edu.cn, E-mail: wwei99@jlu.edu.cn [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, 2699 Qianjin Street, Changchun 130012 (China)

    2016-04-25

    In this letter, we demonstrate a high reliable and stable organic field-effect transistor (OFET) based nonvolatile memory (NVM) with a polymer poly(4-vinyl phenol) (PVP) as the charge trapping layer. In the unipolar OFETs, the inreversible shifts of the turn-on voltage (V{sub on}) and severe degradation of the memory window (ΔV{sub on}) at programming (P) and erasing (E) voltages, respectively, block their application in NVMs. The obstacle is overcome by using a pn-heterojunction as the active layer in the OFET memory, which supplied a holes and electrons accumulating channel at the supplied P and E voltages, respectively. Both holes and electrons transferring from the channels to PVP layer and overwriting the trapped charges with an opposite polarity result in the reliable bidirectional shifts of V{sub on} at P and E voltages, respectively. The heterojunction OFET exhibits excellent nonvolatile memory characteristics, with a large ΔV{sub on} of 8.5 V, desired reading (R) voltage at 0 V, reliable P/R/E/R dynamic endurance over 100 cycles and a long retention time over 10 years.

  16. The Effect of Shape Memory on Red Blood Cell Motions

    Science.gov (United States)

    Niu, Xiting; Shi, Lingling; Pan, Tsorng-Whay; Glowinski, Roland

    2013-11-01

    An elastic spring model is applied to study the effect of the shape memory on the motion of red blood cell in flows. In shear flow, shape memory also plays an important role to obtain all three motions: tumbling, swinging, and tank-treading. In Poiseuille flow, cell has an equilibrium shape as a slipper or parachute depending on capillary number. To ensure the tank-treading motion while in slippery shape, a modified model is proposed by introducing a shape memory coefficient which describes the degree of shape memory in cells. The effect of the coefficient on the cell motion of red blood cell will be presented.

  17. Associative memory cells and their working principle in the brain

    Science.gov (United States)

    Wang, Jin-Hui; Cui, Shan

    2018-01-01

    The acquisition, integration and storage of exogenous associated signals are termed as associative learning and memory. The consequences and processes of associative thinking and logical reasoning based on these stored exogenous signals can be memorized as endogenous signals, which are essential for decision making, intention, and planning. Associative memory cells recruited in these primary and secondary associative memories are presumably the foundation for the brain to fulfill cognition events and emotional reactions in life, though the plasticity of synaptic connectivity and neuronal activity has been believed to be involved in learning and memory. Current reports indicate that associative memory cells are recruited by their mutual synapse innervations among co-activated brain regions to fulfill the integration, storage and retrieval of associated signals. The activation of these associative memory cells initiates information recall in the mind, and the successful activation of their downstream neurons endorses memory presentations through behaviors and emotion reactions. In this review, we aim to draw a comprehensive diagram for associative memory cells, working principle and modulation, as well as propose their roles in cognition, emotion and behaviors. PMID:29487741

  18. Pattern imprinting in deep sub-micron static random access memories induced by total dose irradiation

    International Nuclear Information System (INIS)

    Zheng Qi-Wen; Yu Xue-Feng; Cui Jiang-Wei; Guo Qi; Ren Di-Yuan; Cong Zhong-Chao; Zhou Hang

    2014-01-01

    Pattern imprinting in deep sub-micron static random access memories (SRAMs) during total dose irradiation is investigated in detail. As the dose accumulates, the data pattern of memory cells loading during irradiation is gradually imprinted on their background data pattern. We build a relationship between the memory cell's static noise margin (SNM) and the background data, and study the influence of irradiation on the probability density function of ΔSNM, which is the difference between two data sides' SNMs, to discuss the reason for pattern imprinting. Finally, we demonstrate that, for micron and deep sub-micron devices, the mechanism of pattern imprinting is the bias-dependent threshold shift of the transistor, but for a deep sub-micron device the shift results from charge trapping in the shallow trench isolation (STI) oxide rather than from the gate oxide of the micron-device. (condensed matter: structural, mechanical, and thermal properties)

  19. The role of cytokines in T-cell memory in health and disease.

    Science.gov (United States)

    Raeber, Miro E; Zurbuchen, Yves; Impellizzieri, Daniela; Boyman, Onur

    2018-05-01

    Upon stimulation with their cognate antigen, naive T cells undergo proliferation and differentiation into effector cells, followed by apoptosis or survival as precursors of long-lived memory cells. These phases of a T-cell response and the ensuing maintenance of memory T cells are shaped by cytokines, most notably interleukin-2 (IL-2), IL-7, and IL-15 that share the common γ chain (γ c ) cytokine receptor. Steady-state production of IL-7 and IL-15 is necessary for background proliferation and homeostatic survival of CD4 + and CD8 + memory T cells. During immune responses, augmented levels of IL-2, IL-15, IL-21, IL-12, IL-18, and type-I interferons determine the memory potential of antigen-specific effector CD8 + cells, while increased IL-2 and IL-15 cause bystander proliferation of heterologous CD4 + and CD8 + memory T cells. Limiting availability of γ c cytokines, reduction in regulatory T cells or IL-10, and persistence of inflammation or cognate antigen can result in memory T cells, which fail to become cytokine-dependent long-lived cells. Conversely, increased IL-7 and IL-15 can expand memory T cells, including pathogenic tissue-resident memory T cells, as seen in lymphopenia and certain chronic-inflammatory disorders and malignancies. These abovementioned factors impact immunotherapy and vaccines directed at memory T cells in cancer and chronic infection. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  20. Organic Electrochemical Transistors for the Detection of Cell Surface Glycans.

    Science.gov (United States)

    Chen, Lizhen; Fu, Ying; Wang, Naixiang; Yang, Anneng; Li, Yuanzhe; Wu, Jie; Ju, Huangxian; Yan, Feng

    2018-05-23

    Cell surface glycans play critical roles in diverse biological processes, such as cell-cell communication, immunity, infection, development, and differentiation. Their expressions are closely related to cancer growth and metastasis. This work demonstrates an organic electrochemical transistor (OECT)-based biosensor for the detection of glycan expression on living cancer cells. Herein, mannose on human breast cancer cells (MCF-7) as the target glycan model, poly dimethyl diallyl ammonium chloride-multiwall carbon nanotubes (PDDA-MWCNTs) as the loading interface, concanavalin A (Con A) with active mannose binding sites, aptamer and horseradish peroxidase co-immobilized gold nanoparticles (HRP-aptamer-Au NPs) as specific nanoprobes are used to fabricate the OECT biosensor. In this strategy, PDDA-MWCNT interfaces can enhance the loading of Con A, and the target cells can be captured through Con A via active mannose binding sites. Thus, the expression of cell surface can be reflected by the amount of cells captured on the gate. Specific nanoprobes are introduced to the captured cells to produce an OECT signal because of the reduction of hydrogen peroxide catalyzed by HRP conjugated on Au nanoparticles, while the aptamer on nanoprobes can selectively recognize the MCF-7 cells. It is reasonable that more target cells are captured on the gate electrode, more HRP-nanoprobes are loaded thus a larger signal response. The device shows an obvious response to MCF-7 cells down to 10 cells/μL and can be used to selectively monitor the change of mannose expression on cell surfaces upon a treatment with the N-glycan inhibitor. The OECT-based biosensor is promising for the analysis of glycan expressions on the surfaces of different types of cells.

  1. Unijunction transistors

    International Nuclear Information System (INIS)

    1981-01-01

    The electrical characteristics of unijunction transistors can be modified by irradiation with electron beams in excess of 400 KeV and at a dose rate of 10 13 to 10 16 e/cm 2 . Examples are given of the effect of exposing the emitter-base junctions of transistors to such lattice defect causing radiation for a time sufficient to change the valley current of the transistor. (U.K.)

  2. LOCAL IMMUNITY BY TISSUE-RESIDENT CD8+ MEMORY T CELLS

    Directory of Open Access Journals (Sweden)

    Thomas eGebhardt

    2012-11-01

    Full Text Available Microbial infection primes a CD8+ cytotoxic T cell response that gives rise to a long-lived population of circulating memory cells able to provide protection against systemic reinfection. Despite this, effective CD8+ T cell surveillance of barrier tissues such as skin and mucosa typically wanes with time, resulting in limited T cell-mediated protection in these peripheral tissues. However, recent evidence suggests that a specialized subset of CD103+ memory T cells can permanently lodge and persist in peripheral tissues, and that these cells can compensate for the loss of peripheral immune surveillance by circulating memory T cells. Here, we review evolving concepts regarding the generation and long-term persistence of these tissue-resident memory T cells (TRM in epithelial and neuronal tissues. We further discuss the role of TRM cells in local infection control and their contribution to localized immune phenomena, in both mice and humans.

  3. Out-of-Sequence Preventative Cell Dispatching for Multicast Input-Queued Space-Memory-Memory Clos-Network

    DEFF Research Database (Denmark)

    Yu, Hao; Ruepp, Sarah Renée; Berger, Michael Stübert

    2011-01-01

    This paper proposes two out-of-sequence (OOS) preventative cell dispatching algorithms for the multicast input-queued space-memory-memory (IQ-SMM) Clos-network switch architecture, i.e. the multicast flow-based DSRR (MF-DSRR) and the multicast flow-based round-robin (MFRR). Treating each cell...

  4. Modeling of SONOS Memory Cell Erase Cycle

    Science.gov (United States)

    Phillips, Thomas A.; MacLeod, Todd C.; Ho, Fat H.

    2011-01-01

    Utilization of Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) nonvolatile semiconductor memories as a flash memory has many advantages. These electrically erasable programmable read-only memories (EEPROMs) utilize low programming voltages, have a high erase/write cycle lifetime, are radiation hardened, and are compatible with high-density scaled CMOS for low power, portable electronics. In this paper, the SONOS memory cell erase cycle was investigated using a nonquasi-static (NQS) MOSFET model. Comparisons were made between the model predictions and experimental data.

  5. Single-Cell Memory Regulates a Neural Circuit for Sensory Behavior.

    Science.gov (United States)

    Kobayashi, Kyogo; Nakano, Shunji; Amano, Mutsuki; Tsuboi, Daisuke; Nishioka, Tomoki; Ikeda, Shingo; Yokoyama, Genta; Kaibuchi, Kozo; Mori, Ikue

    2016-01-05

    Unveiling the molecular and cellular mechanisms underlying memory has been a challenge for the past few decades. Although synaptic plasticity is proven to be essential for memory formation, the significance of "single-cell memory" still remains elusive. Here, we exploited a primary culture system for the analysis of C. elegans neurons and show that a single thermosensory neuron has an ability to form, retain, and reset a temperature memory. Genetic and proteomic analyses found that the expression of the single-cell memory exhibits inter-individual variability, which is controlled by the evolutionarily conserved CaMKI/IV and Raf pathway. The variable responses of a sensory neuron influenced the neural activity of downstream interneurons, suggesting that modulation of the sensory neurons ultimately determines the behavioral output in C. elegans. Our results provide proof of single-cell memory and suggest that the individual differences in neural responses at the single-cell level can confer individuality. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  6. Short-Term Synaptic Plasticity Regulation in Solution-Gated Indium-Gallium-Zinc-Oxide Electric-Double-Layer Transistors.

    Science.gov (United States)

    Wan, Chang Jin; Liu, Yang Hui; Zhu, Li Qiang; Feng, Ping; Shi, Yi; Wan, Qing

    2016-04-20

    In the biological nervous system, synaptic plasticity regulation is based on the modulation of ionic fluxes, and such regulation was regarded as the fundamental mechanism underlying memory and learning. Inspired by such biological strategies, indium-gallium-zinc-oxide (IGZO) electric-double-layer (EDL) transistors gated by aqueous solutions were proposed for synaptic behavior emulations. Short-term synaptic plasticity, such as paired-pulse facilitation, high-pass filtering, and orientation tuning, was experimentally emulated in these EDL transistors. Most importantly, we found that such short-term synaptic plasticity can be effectively regulated by alcohol (ethyl alcohol) and salt (potassium chloride) additives. Our results suggest that solution gated oxide-based EDL transistors could act as the platforms for short-term synaptic plasticity emulation.

  7. Dissociating markers of senescence and protective ability in memory T cells.

    Directory of Open Access Journals (Sweden)

    Martin Prlic

    Full Text Available No unique transcription factor or biomarker has been identified to reliably distinguish effector from memory T cells. Instead a set of surface markers including IL-7Rα and KLRG1 is commonly used to predict the potential of CD8 effector T cells to differentiate into memory cells. Similarly, these surface markers together with the tumor necrosis factor family member CD27 are frequently used to predict a memory T cell's ability to mount a recall response. Expression of these markers changes every time a memory cell is stimulated and repeated stimulation can lead to T cell senescence and loss of memory T cell responsiveness. This is a concern for prime-boost vaccine strategies which repeatedly stimulate T cells with the aim of increasing memory T cell frequency. The molecular cues that cause senescence are still unknown, but cell division history is likely to play a major role. We sought to dissect the roles of inflammation and cell division history in developing T cell senescence and their impact on the expression pattern of commonly used markers of senescence. We developed a system that allows priming of CD8 T cells with minimal inflammation and without acquisition of maximal effector function, such as granzyme expression, but a cell division history similar to priming with systemic inflammation. Memory cells derived from minimal effector T cells are fully functional upon rechallenge, have full access to non-lymphoid tissue and appear to be less senescent by phenotype upon rechallenge. However, we report here that these currently used biomarkers to measure senescence do not predict proliferative potential or protective ability, but merely reflect initial priming conditions.

  8. Asymmetric diketopyrrolopyrrole conjugated polymers for field-effect transistors and polymer solar cells processed from a non-chlorinated solvent

    NARCIS (Netherlands)

    Ji, Y.; Xiao, C.; Wang, Q.; Zhang, J.; Li, C.; Wu, Y.; Wei, Z.; Zhan, X.; Hu, W.; Wang, Z.; Janssen, R.A.J.; Li, W.W.

    2016-01-01

    Newly designed asymmetric diketopyrrolopyrrole conjugated polymers with two different aromatic substituents possess a hole mobility of 12.5 cm2 V−1 s−1 in field-effect transistors and a power conversion efficiency of 6.5% in polymer solar cells, when solution processed from a nonchlorinated

  9. CD4+ virtual memory: Antigen-inexperienced T cells reside in the naïve, regulatory, and memory T cell compartments at similar frequencies, implications for autoimmunity.

    Science.gov (United States)

    Marusina, Alina I; Ono, Yoko; Merleev, Alexander A; Shimoda, Michiko; Ogawa, Hiromi; Wang, Elizabeth A; Kondo, Kayo; Olney, Laura; Luxardi, Guillaume; Miyamura, Yoshinori; Yilma, Tilahun D; Villalobos, Itzel Bustos; Bergstrom, Jennifer W; Kronenberg, Daniel G; Soulika, Athena M; Adamopoulos, Iannis E; Maverakis, Emanual

    2017-02-01

    It is widely accepted that central and effector memory CD4 + T cells originate from naïve T cells after they have encountered their cognate antigen in the setting of appropriate co-stimulation. However, if this were true the diversity of T cell receptor (TCR) sequences within the naïve T cell compartment should be far greater than that of the memory T cell compartment, which is not supported by TCR sequencing data. Here we demonstrate that aged mice with far fewer naïve T cells, respond to the model antigen, hen eggwhite lysozyme (HEL), by utilizing the same TCR sequence as their younger counterparts. CD4 + T cell repertoire analysis of highly purified T cell populations from naive animals revealed that the HEL-specific clones displayed effector and central "memory" cell surface phenotypes even prior to having encountered their cognate antigen. Furthermore, HEL-inexperienced CD4 + T cells were found to reside within the naïve, regulatory, central memory, and effector memory T cell populations at similar frequencies and the majority of the CD4 + T cells within the regulatory and memory populations were unexpanded. These findings support a new paradigm for CD4 + T cell maturation in which a specific clone can undergo a differentiation process to exhibit a "memory" or regulatory phenotype without having undergone a clonal expansion event. It also demonstrates that a foreign-specific T cell is just as likely to reside within the regulatory T cell compartment as it would the naïve compartment, arguing against the specificity of the regulatory T cell compartment being skewed towards self-reactive T cell clones. Finally, we demonstrate that the same set of foreign and autoreactive CD4 + T cell clones are repetitively generated throughout adulthood. The latter observation argues against T cell-depleting strategies or autologous stem cell transplantation as therapies for autoimmunity-as the immune system has the ability to regenerate pathogenic clones. Published by

  10. Doped SbTe phase change material in memory cells

    NARCIS (Netherlands)

    in ‘t Zandt, M.A.A.; Jedema, F.J.; Gravesteijn, Dirk J; Gravesteijn, D.J.; Attenborough, K.; Wolters, Robertus A.M.

    2009-01-01

    Phase Change Random Access Memory (PCRAM) is investigated as replacement for Flash. The memory concept is based on switching a chalcogenide from the crystalline (low ohmic) to the amorphous (high ohmic) state and vice versa. Basically two memory cell concepts exist: the Ovonic Unified Memory (OUM)

  11. Nonvolatile memory thin-film transistors using biodegradable chicken albumen gate insulator and oxide semiconductor channel on eco-friendly paper substrate.

    Science.gov (United States)

    Kim, So-Jung; Jeon, Da-Bin; Park, Jung-Ho; Ryu, Min-Ki; Yang, Jong-Heon; Hwang, Chi-Sun; Kim, Gi-Heon; Yoon, Sung-Min

    2015-03-04

    Nonvolatile memory thin-film transistors (TFTs) fabricated on paper substrates were proposed as one of the eco-friendly electronic devices. The gate stack was composed of chicken albumen gate insulator and In-Ga-Zn-O semiconducting channel layers. All the fabrication processes were performed below 120 °C. To improve the process compatibility of the synthethic paper substrate, an Al2O3 thin film was introduced as adhesion and barrier layers by atomic layer deposition. The dielectric properties of biomaterial albumen gate insulator were also enhanced by the preparation of Al2O3 capping layer. The nonvolatile bistabilities were realized by the switching phenomena of residual polarization within the albumen thin film. The fabricated device exhibited a counterclockwise hysteresis with a memory window of 11.8 V, high on/off ratio of approximately 1.1 × 10(6), and high saturation mobility (μsat) of 11.5 cm(2)/(V s). Furthermore, these device characteristics were not markedly degraded even after the delamination and under the bending situration. When the curvature radius was set as 5.3 cm, the ION/IOFF ratio and μsat were obtained to be 5.9 × 10(6) and 7.9 cm(2)/(V s), respectively.

  12. Cell-assembly coding in several memory processes.

    Science.gov (United States)

    Sakurai, Y

    1998-01-01

    The present paper discusses why the cell assembly, i.e., an ensemble population of neurons with flexible functional connections, is a tenable view of the basic code for information processes in the brain. The main properties indicating the reality of cell-assembly coding are neurons overlaps among different assemblies and connection dynamics within and among the assemblies. The former can be detected as multiple functions of individual neurons in processing different kinds of information. Individual neurons appear to be involved in multiple information processes. The latter can be detected as changes of functional synaptic connections in processing different kinds of information. Correlations of activity among some of the recorded neurons appear to change in multiple information processes. Recent experiments have compared several different memory processes (tasks) and detected these two main properties, indicating cell-assembly coding of memory in the working brain. The first experiment compared different types of processing of identical stimuli, i.e., working memory and reference memory of auditory stimuli. The second experiment compared identical processes of different types of stimuli, i.e., discriminations of simple auditory, simple visual, and configural auditory-visual stimuli. The third experiment compared identical processes of different types of stimuli with or without temporal processing of stimuli, i.e., discriminations of elemental auditory, configural auditory-visual, and sequential auditory-visual stimuli. Some possible features of the cell-assembly coding, especially "dual coding" by individual neurons and cell assemblies, are discussed for future experimental approaches. Copyright 1998 Academic Press.

  13. Requirement for CD4 T Cell Help in Generating Functional CD8 T Cell Memory

    Science.gov (United States)

    Shedlock, Devon J.; Shen, Hao

    2003-04-01

    Although primary CD8 responses to acute infections are independent of CD4 help, it is unknown whether a similar situation applies to secondary responses. We show that depletion of CD4 cells during the recall response has minimal effect, whereas depletion during the priming phase leads to reduced responses by memory CD8 cells to reinfection. Memory CD8 cells generated in CD4+/+ mice responded normally when transferred into CD4-/- hosts, whereas memory CD8 cells generated in CD4-/- mice mounted defective recall responses in CD4+/+ adoptive hosts. These results demonstrate a previously undescribed role for CD4 help in the development of functional CD8 memory.

  14. B Cell Intrinsic Mechanisms Constraining IgE Memory

    Directory of Open Access Journals (Sweden)

    Brice Laffleur

    2017-11-01

    Full Text Available Memory B cells and long-lived plasma cells are key elements of adaptive humoral immunity. Regardless of the immunoglobulin class produced, these cells can ensure long-lasting protection but also long-lasting immunopathology, thus requiring tight regulation of their generation and survival. Among all antibody classes, this is especially true for IgE, which stands as the most potent, and can trigger dramatic inflammatory reactions even when present in minute amounts. IgE responses and memory crucially protect against parasites and toxic components of venoms, conferring selective advantages and explaining their conservation in all mammalian species despite a parallel broad spectrum of IgE-mediated immunopathology. Long-term memory of sensitization and anaphylactic responses to allergens constitute the dark side of IgE responses, which can trigger multiple acute or chronic pathologic manifestations, some punctuated with life-threatening events. This Janus face of the IgE response and memory, both necessary and potentially dangerous, thus obviously deserves the most elaborated self-control schemes.

  15. CD4+CD62L+ Central Memory T Cells Can Be Converted to Foxp3+ T Cells

    Science.gov (United States)

    Zhang, Xiaolong; Chang Li, Xian; Xiao, Xiang; Sun, Rui; Tian, Zhigang; Wei, Haiming

    2013-01-01

    The peripheral Foxp3+ Treg pool consists of naturally arising Treg (nTreg) and adaptive Treg cells (iTreg). It is well known that naive CD4+ T cells can be readily converted to Foxp3+ iTreg in vitro, and memory CD4+ T cells are resistant to conversion. In this study, we investigated the induction of Foxp3+ T cells from various CD4+ T-cell subsets in human peripheral blood. Though naive CD4+ T cells were readily converted to Foxp3+ T cells with TGF-β and IL-2 treatment in vitro, such Foxp3+ T cells did not express the memory marker CD45RO as do Foxp3+ T cells induced in the peripheral blood of Hepatitis B Virus (HBV) patients. Interestingly, a subset of human memory CD4+ T cells, defined as CD62L+ central memory T cells, could be induced by TGF-β to differentiate into Foxp3+ T cells. It is well known that Foxp3+ T cells derived from human CD4+CD25- T cells in vitro are lack suppressive functions. Our data about the suppressive functions of CD4+CD62L+ central memory T cell-derived Foxp3+ T cells support this conception, and an epigenetic analysis of these cells showed a similar methylation pattern in the FOXP3 Treg-specific demethylated region as the naive CD4+ T cell-derived Foxp3+ T cells. But further research showed that mouse CD4+ central memory T cells also could be induced to differentiate into Foxp3+ T cells, such Foxp3+ T cells could suppress the proliferation of effector T cells. Thus, our study identified CD4+CD62L+ central memory T cells as a novel potential source of iTreg. PMID:24155942

  16. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO2 thin films

    International Nuclear Information System (INIS)

    Yurchuk, Ekaterina

    2015-01-01

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO 2 ) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO 2 thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO 2 -based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  17. Direct observation of single-charge-detection capability of nanowire field-effect transistors.

    Science.gov (United States)

    Salfi, J; Savelyev, I G; Blumin, M; Nair, S V; Ruda, H E

    2010-10-01

    A single localized charge can quench the luminescence of a semiconductor nanowire, but relatively little is known about the effect of single charges on the conductance of the nanowire. In one-dimensional nanostructures embedded in a material with a low dielectric permittivity, the Coulomb interaction and excitonic binding energy are much larger than the corresponding values when embedded in a material with the same dielectric permittivity. The stronger Coulomb interaction is also predicted to limit the carrier mobility in nanowires. Here, we experimentally isolate and study the effect of individual localized electrons on carrier transport in InAs nanowire field-effect transistors, and extract the equivalent charge sensitivity. In the low carrier density regime, the electrostatic potential produced by one electron can create an insulating weak link in an otherwise conducting nanowire field-effect transistor, modulating its conductance by as much as 4,200% at 31 K. The equivalent charge sensitivity, 4 × 10(-5) e Hz(-1/2) at 25 K and 6 × 10(-5) e Hz(-1/2) at 198 K, is orders of magnitude better than conventional field-effect transistors and nanoelectromechanical systems, and is just a factor of 20-30 away from the record sensitivity for state-of-the-art single-electron transistors operating below 4 K (ref. 8). This work demonstrates the feasibility of nanowire-based single-electron memories and illustrates a physical process of potential relevance for high performance chemical sensors. The charge-state-detection capability we demonstrate also makes the nanowire field-effect transistor a promising host system for impurities (which may be introduced intentionally or unintentionally) with potentially long spin lifetimes, because such transistors offer more sensitive spin-to-charge conversion readout than schemes based on conventional field-effect transistors.

  18. A spiking neuron circuit based on a carbon nanotube transistor

    International Nuclear Information System (INIS)

    Chen, C-L; Kim, K; Truong, Q; Shen, A; Li, Z; Chen, Y

    2012-01-01

    A spiking neuron circuit based on a carbon nanotube (CNT) transistor is presented in this paper. The spiking neuron circuit has a crossbar architecture in which the transistor gates are connected to its row electrodes and the transistor sources are connected to its column electrodes. An electrochemical cell is incorporated in the gate of the transistor by sandwiching a hydrogen-doped poly(ethylene glycol)methyl ether (PEG) electrolyte between the CNT channel and the top gate electrode. An input spike applied to the gate triggers a dynamic drift of the hydrogen ions in the PEG electrolyte, resulting in a post-synaptic current (PSC) through the CNT channel. Spikes input into the rows trigger PSCs through multiple CNT transistors, and PSCs cumulate in the columns and integrate into a ‘soma’ circuit to trigger output spikes based on an integrate-and-fire mechanism. The spiking neuron circuit can potentially emulate biological neuron networks and their intelligent functions. (paper)

  19. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso; Khan, M. A.; Alshareef, Husam N.

    2014-01-01

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  20. Polymer ferroelectric field-effect memory device with SnO channel layer exhibits record hole mobility

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2014-06-10

    Here we report for the first time a hybrid p-channel polymer ferroelectric field-effect transistor memory device with record mobility. The memory device, fabricated at 200C on both plastic polyimide and glass substrates, uses ferroelectric polymer P(VDF-TrFE) as the gate dielectric and transparent p-type oxide (SnO) as the active channel layer. A record mobility of 3.3 cm 2V-1s-1, large memory window (~16 V), low read voltages (~-1 V), and excellent retention characteristics up to 5000 sec have been achieved. The mobility achieved in our devices is over 10 times higher than previously reported polymer ferroelectric field-effect transistor memory with p-type channel. This demonstration opens the door for the development of non-volatile memory devices based on dual channel for emerging transparent and flexible electronic devices.

  1. Retention of Ag-specific memory CD4+ T cells in the draining lymph node indicates lymphoid tissue resident memory populations.

    Science.gov (United States)

    Marriott, Clare L; Dutton, Emma E; Tomura, Michio; Withers, David R

    2017-05-01

    Several different memory T-cell populations have now been described based upon surface receptor expression and migratory capabilities. Here we have assessed murine endogenous memory CD4 + T cells generated within a draining lymph node and their subsequent migration to other secondary lymphoid tissues. Having established a model response targeting a specific peripheral lymph node, we temporally labelled all the cells within draining lymph node using photoconversion. Tracking of photoconverted and non-photoconverted Ag-specific CD4 + T cells revealed the rapid establishment of a circulating memory population in all lymph nodes within days of immunisation. Strikingly, a resident memory CD4 + T cell population became established in the draining lymph node and persisted for several months in the absence of detectable migration to other lymphoid tissue. These cells most closely resembled effector memory T cells, usually associated with circulation through non-lymphoid tissue, but here, these cells were retained in the draining lymph node. These data indicate that lymphoid tissue resident memory CD4 + T-cell populations are generated in peripheral lymph nodes following immunisation. © 2017 The Authors. European Journal of Immunology published by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Memory phenotype CD4 T cells undergoing rapid, nonburst-like, cytokine-driven proliferation can be distinguished from antigen-experienced memory cells.

    Directory of Open Access Journals (Sweden)

    Souheil-Antoine Younes

    2011-10-01

    Full Text Available Memory phenotype (CD44(bright, CD25(negative CD4 spleen and lymph node T cells (MP cells proliferate rapidly in normal or germ-free donors, with BrdU uptake rates of 6% to 10% per day and Ki-67 positivity of 18% to 35%. The rapid proliferation of MP cells stands in contrast to the much slower proliferation of lymphocytic choriomeningitis virus (LCMV-specific memory cells that divide at rates ranging from <1% to 2% per day over the period from 15 to 60 days after LCMV infection. Anti-MHC class II antibodies fail to inhibit the in situ proliferation of MP cells, implying a non-T-cell receptor (TCR-driven proliferation. Such proliferation is partially inhibited by anti-IL-7Rα antibody. The sequence diversity of TCRβ CDR3 gene segments is comparable among the proliferating and quiescent MP cells from conventional and germ-free mice, implying that the majority of proliferating MP cells have not recently derived from a small cohort of cells that expand through multiple continuous rounds of cell division. We propose that MP cells constitute a diverse cell population, containing a subpopulation of slowly dividing authentic antigen-primed memory cells and a majority population of rapidly proliferating cells that did not arise from naïve cells through conventional antigen-driven clonal expansion.

  3. Electrically programmable-erasable In-Ga-Zn-O thin-film transistor memory with atomic-layer-deposited Al2O3/Pt nanocrystals/Al2O3 gate stack

    Directory of Open Access Journals (Sweden)

    Shi-Bing Qian

    2015-12-01

    Full Text Available Amorphous indium-gallium-zinc oxide (a-IGZO thin-film transistor (TFT memory is very promising for transparent and flexible system-on-panel displays; however, electrical erasability has always been a severe challenge for this memory. In this article, we demonstrated successfully an electrically programmable-erasable memory with atomic-layer-deposited Al2O3/Pt nanocrystals/Al2O3 gate stack under a maximal processing temperature of 300 oC. As the programming voltage was enhanced from 14 to 19 V for a constant pulse of 0.2 ms, the threshold voltage shift increased significantly from 0.89 to 4.67 V. When the programmed device was subjected to an appropriate pulse under negative gate bias, it could return to the original state with a superior erasing efficiency. The above phenomena could be attributed to Fowler-Nordheim tunnelling of electrons from the IGZO channel to the Pt nanocrystals during programming, and inverse tunnelling of the trapped electrons during erasing. In terms of 0.2-ms programming at 16 V and 350-ms erasing at −17 V, a large memory window of 3.03 V was achieved successfully. Furthermore, the memory exhibited stable repeated programming/erasing (P/E characteristics and good data retention, i.e., for 2-ms programming at 14 V and 250-ms erasing at −14 V, a memory window of 2.08 V was still maintained after 103 P/E cycles, and a memory window of 1.1 V was retained after 105 s retention time.

  4. An ultra-low-power area-efficient non-volatile memory in a 0.18 μm single-poly CMOS process for passive RFID tags

    International Nuclear Information System (INIS)

    Jia Xiaoyun; Feng Peng; Zhang Shengguang; Wu Nanjian; Zhao Baiqin; Liu Su

    2013-01-01

    This paper presents an ultra-low-power area-efficient non-volatile memory (NVM) in a 0.18 μm single-poly standard CMOS process for passive radio frequency identification (RFID) tags. In the memory cell, a novel low-power operation method is proposed to realize bi-directional Fowler—Nordheim tunneling during write operation. Furthermore, the cell is designed with PMOS transistors and coupling capacitors to minimize its area. In order to improve its reliability, the cell consists of double floating gates to store the data, and the 1 kbit NVM was implemented in a 0.18 μm single-poly standard CMOS process. The area of the memory cell and 1 kbit memory array is 96 μm 2 and 0.12 mm 2 , respectively. The measured results indicate that the program/erase voltage ranges from 5 to 6 V The power consumption of the read/write operation is 0.19 μW/0.69 μW at a read/write rate of (268 kb/s)/(3.0 kb/s). (semiconductor integrated circuits)

  5. Real-time tracking of cell cycle progression during CD8+ effector and memory T-cell differentiation.

    Science.gov (United States)

    Kinjyo, Ichiko; Qin, Jim; Tan, Sioh-Yang; Wellard, Cameron J; Mrass, Paulus; Ritchie, William; Doi, Atsushi; Cavanagh, Lois L; Tomura, Michio; Sakaue-Sawano, Asako; Kanagawa, Osami; Miyawaki, Atsushi; Hodgkin, Philip D; Weninger, Wolfgang

    2015-02-24

    The precise pathways of memory T-cell differentiation are incompletely understood. Here we exploit transgenic mice expressing fluorescent cell cycle indicators to longitudinally track the division dynamics of individual CD8(+) T cells. During influenza virus infection in vivo, naive T cells enter a CD62L(intermediate) state of fast proliferation, which continues for at least nine generations. At the peak of the anti-viral immune response, a subpopulation of these cells markedly reduces their cycling speed and acquires a CD62L(hi) central memory cell phenotype. Construction of T-cell family division trees in vitro reveals two patterns of proliferation dynamics. While cells initially divide rapidly with moderate stochastic variations of cycling times after each generation, a slow-cycling subpopulation displaying a CD62L(hi) memory phenotype appears after eight divisions. Phenotype and cell cycle duration are inherited by the progeny of slow cyclers. We propose that memory precursors cell-intrinsically modulate their proliferative activity to diversify differentiation pathways.

  6. A semi-floating gate memory based on van der Waals heterostructures for quasi-non-volatile applications.

    Science.gov (United States)

    Liu, Chunsen; Yan, Xiao; Song, Xiongfei; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-04-09

    As conventional circuits based on field-effect transistors are approaching their physical limits due to quantum phenomena, semi-floating gate transistors have emerged as an alternative ultrafast and silicon-compatible technology. Here, we show a quasi-non-volatile memory featuring a semi-floating gate architecture with band-engineered van der Waals heterostructures. This two-dimensional semi-floating gate memory demonstrates 156 times longer refresh time with respect to that of dynamic random access memory and ultrahigh-speed writing operations on nanosecond timescales. The semi-floating gate architecture greatly enhances the writing operation performance and is approximately 10 6 times faster than other memories based on two-dimensional materials. The demonstrated characteristics suggest that the quasi-non-volatile memory has the potential to bridge the gap between volatile and non-volatile memory technologies and decrease the power consumption required for frequent refresh operations, enabling a high-speed and low-power random access memory.

  7. Distributed Shared Memory for the Cell Broadband Engine (DSMCBE)

    DEFF Research Database (Denmark)

    Larsen, Morten Nørgaard; Skovhede, Kenneth; Vinter, Brian

    2009-01-01

    in and out of non-coherent local storage blocks for each special processor element. In this paper we present a software library, namely the Distributed Shared Memory for the Cell Broadband Engine (DSMCBE). By using techniques known from distributed shared memory DSMCBE allows programmers to program the CELL...

  8. Photonic band gap materials: towards an all-optical transistor

    Science.gov (United States)

    Florescu, Marian

    2002-05-01

    The transmission of information as optical signals encoded on light waves traveling through optical fibers and optical networks is increasingly moving to shorter and shorter distance scales. In the near future, optical networking is poised to supersede conventional transmission over electric wires and electronic networks for computer-to-computer communications, chip-to-chip communications, and even on-chip communications. The ever-increasing demand for faster and more reliable devices to process the optical signals offers new opportunities in developing all-optical signal processing systems (systems in which one optical signal controls another, thereby adding "intelligence" to the optical networks). All-optical switches, two-state and many-state all-optical memories, all-optical limiters, all-optical discriminators and all-optical transistors are only a few of the many devices proposed during the last two decades. The "all-optical" label is commonly used to distinguish the devices that do not involve dissipative electronic transport and require essentially no electrical communication of information. The all-optical transistor action was first observed in the context of optical bistability [1] and consists in a strong differential gain regime, in which, for small variations in the input intensity, the output intensity has a very strong variation. This analog operation is for all-optical input what transistor action is for electrical inputs.

  9. PD-1 Blockade Expands Intratumoral Memory T Cells

    DEFF Research Database (Denmark)

    Ribas, Antoni; Shin, Daniel Sanghoon; Zaretsky, Jesse

    2016-01-01

    by multicolor flow cytometry using two computational approaches to resolve the leukocyte phenotypes at the single-cell level. There was a statistically significant increase in the frequency of T cells in patients who responded to therapy. The frequency of intratumoral B cells and monocytic myeloid......-derived suppressor cells significantly increased in patients' biopsies taken on treatment. The percentage of cells with a regulatory T-cell phenotype, monocytes, and natural killer cells did not change while on PD-1 blockade therapy. CD8+ memory T cells were the most prominent phenotype that expanded intratumorally...... on therapy. However, the frequency of CD4+ effector memory T cells significantly decreased on treatment, whereas CD4+ effector T cells significantly increased in nonresponding tumors on therapy. In peripheral blood, an unusual population of blood cells expressing CD56 was detected in two patients...

  10. A novel 2 T P-channel nano-crystal memory for low power/high speed embedded NVM applications

    International Nuclear Information System (INIS)

    Zhang Junyu; Wang Yong; Liu Jing; Zhang Manhong; Xu Zhongguang; Huo Zongliang; Liu Ming

    2012-01-01

    We introduce a novel 2 T P-channel nano-crystal memory structure for low power and high speed embedded non-volatile memory (NVM) applications. By using the band-to-band tunneling-induced hot-electron (BTBTIHE) injection scheme, both high-speed and low power programming can be achieved at the same time. Due to the use of a select transistor, the 'erased states' can be set to below 0 V, so that the periphery HV circuit (high-voltage generating and management) and read-out circuit can be simplified. Good memory cell performance has also been achieved, including a fast program/erase (P/E) speed (a 1.15 V memory window under 10 μs program pulse), an excellent data retention (only 20% charge loss for 10 years). The data shows that the device has strong potential for future embedded NVM applications. (semiconductor devices)

  11. Increased numbers of pre-existing memory CD8 T cells and decreased T-bet expression can restrain terminal differentiation of secondary effector and memory CD8 T cells1

    Science.gov (United States)

    Joshi, Nikhil S.; Cui, Weiguo; Dominguez, Claudia; Chen, Jonathan H.; Hand, Timothy W.; Kaech, Susan M.

    2011-01-01

    Memory CD8 T cells acquire TEM properties following reinfection, and may reach terminally differentiated, senescent states (“Hayflick limit”) after multiple infections. The signals controlling this process are not well understood, but we found that the degree of 2o effector and memory CD8 T cell differentiation was intimately linked to the amount of T-bet expressed upon reactivation and pre-existing memory CD8 T cell number (i.e., 1o memory CD8 T cell precursor frequency) present during secondary infection. Compared to naïve cells, memory CD8 T cells were predisposed towards terminal effector (TE) cell differentiation because they could immediately respond to IL-12 and induce T-bet, even in the absence of antigen. TE cell formation following 2o or 3o infections was dependent on increased T-bet expression because T-bet+/− cells were resistant to these phenotypic changes. Larger numbers of pre-existing memory CD8 T cells limited the duration of 2o infection and the amount of IL-12 produced, and consequently, this reduced T-bet expression and the proportion of 2o TE CD8 T cells that formed. Together, these data show that, over repeated infections, memory CD8 T cell quality and proliferative fitness is not strictly determined by the number of serial encounters with antigen or cell divisions, but is a function of the CD8 T cell differentiation state, which is genetically controlled in a T-bet-dependent manner. This differentiation state can be modulated by pre-existing memory CD8 T cell number and the intensity of inflammation during reinfection. These results have important implications for vaccinations involving prime-boost strategies. PMID:21930973

  12. A study on low-power, nanosecond operation and multilevel bipolar resistance switching in Ti/ZrO2/Pt nonvolatile memory with 1T1R architecture

    International Nuclear Information System (INIS)

    Wu, Ming-Chi; Tseng, Tseung-Yuen; Jang, Wen-Yueh; Lin, Chen-Hsi

    2012-01-01

    Low-power, bipolar resistive switching (RS) characteristics in the Ti/ZrO 2 /Pt nonvolatile memory with one transistor and one resistor (1T1R) architecture were reported. Multilevel storage behavior was observed by modulating the amplitude of the MOSFET gate voltage, in which the transistor functions as a current limiter. Furthermore, multilevel storage was also executed by controlling the reset voltage, leading the resistive random access memory (RRAM) to the multiple metastable low resistance state (LRS). The experimental results on the measured electrical properties of the various sized devices confirm that the RS mechanism of the Ti/ZrO 2 /Pt structure obeys the conducting filaments model. In application, the devices exhibit high-speed switching performances (250 ns) with suitable high/low resistance state ratio (HRS/LRS > 10). The LRS of the devices with 10 year retention ability at 80 °C, based on the Arrhenius equation, is also demonstrated in the thermal accelerating test. Furthermore, the ramping gate voltage method with fixed drain voltage is used to switch the 1T1R memory cells for upgrading the memory performances. Our experimental results suggest that the ZrO 2 -based RRAM is a prospective alternative for nonvolatile multilevel memory device applications. (paper)

  13. Notch controls the survival of memory CD4+ T cells by regulating glucose uptake.

    Science.gov (United States)

    Maekawa, Yoichi; Ishifune, Chieko; Tsukumo, Shin-ichi; Hozumi, Katsuto; Yagita, Hideo; Yasutomo, Koji

    2015-01-01

    CD4+ T cells differentiate into memory T cells that protect the host from subsequent infection. In contrast, autoreactive memory CD4+ T cells harm the body by persisting in the tissues. The underlying pathways controlling the maintenance of memory CD4+ T cells remain undefined. We show here that memory CD4+ T cell survival is impaired in the absence of the Notch signaling protein known as recombination signal binding protein for immunoglobulin κ J region (Rbpj). Treatment of mice with a Notch inhibitor reduced memory CD4+ T cell numbers and prevented the recurrent induction of experimental autoimmune encephalomyelitis. Rbpj-deficient CD4+ memory T cells exhibit reduced glucose uptake due to impaired AKT phosphorylation, resulting in low Glut1 expression. Treating mice with pyruvic acid, which bypasses glucose uptake and supplies the metabolite downstream of glucose uptake, inhibited the decrease of autoimmune memory CD4+ T cells in the absence of Notch signaling, suggesting memory CD4+ T cell survival relies on glucose metabolism. Together, these data define a central role for Notch signaling in maintaining memory CD4+ T cells through the regulation of glucose uptake.

  14. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yurchuk, Ekaterina

    2015-02-06

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO{sub 2}) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO{sub 2} thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO{sub 2}-based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  15. Modeling of charge transport in ion bipolar junction transistors.

    Science.gov (United States)

    Volkov, Anton V; Tybrandt, Klas; Berggren, Magnus; Zozoulenko, Igor V

    2014-06-17

    Spatiotemporal control of the complex chemical microenvironment is of great importance to many fields within life science. One way to facilitate such control is to construct delivery circuits, comprising arrays of dispensing outlets, for ions and charged biomolecules based on ionic transistors. This allows for addressability of ionic signals, which opens up for spatiotemporally controlled delivery in a highly complex manner. One class of ionic transistors, the ion bipolar junction transistors (IBJTs), is especially attractive for these applications because these transistors are functional at physiological conditions and have been employed to modulate the delivery of neurotransmitters to regulate signaling in neuronal cells. Further, the first integrated complementary ionic circuits were recently developed on the basis of these ionic transistors. However, a detailed understanding of the device physics of these transistors is still lacking and hampers further development of components and circuits. Here, we report on the modeling of IBJTs using Poisson's and Nernst-Planck equations and the finite element method. A two-dimensional model of the device is employed that successfully reproduces the main characteristics of the measurement data. On the basis of the detailed concentration and potential profiles provided by the model, the different modes of operation of the transistor are analyzed as well as the transitions between the different modes. The model correctly predicts the measured threshold voltage, which is explained in terms of membrane potentials. All in all, the results provide the basis for a detailed understanding of IBJT operation. This new knowledge is employed to discuss potential improvements of ion bipolar junction transistors in terms of miniaturization and device parameters.

  16. The design of a new spiking neuron using dual work function silicon nanowire transistors

    International Nuclear Information System (INIS)

    Bindal, Ahmet; Hamedi-Hagh, Sotoudeh

    2007-01-01

    A new spike neuron cell is designed using vertically grown, undoped silicon nanowire transistors. This study presents an entire design cycle from designing and optimizing vertical nanowire transistors for minimal power dissipation to realizing a neuron cell and measuring its dynamic power consumption, performance and layout area. The design cycle starts with determining individual metal gate work functions for NMOS and PMOS transistors as a function of wire radius to produce a 300 mV threshold voltage. The wire radius and effective channel length are subsequently varied to find a common body geometry for both transistors that yields smaller than 1 pA OFF current while producing maximum drive currents. A spike neuron cell is subsequently built using these transistors to measure its transient performance, power dissipation and layout area. Post-layout simulation results indicate that the neuron consumes 0.397 μW to generate a +1 V and 1.12 μW to generate a -1 V output pulse for a fan-out of five synapses at 500 MHz; the power dissipation increases by approximately 3 nW for each additional synapse at the output for generating either pulse. The neuron circuit occupies approximately 0.27 μm 2

  17. Memory control by the B cell antigen receptor.

    Science.gov (United States)

    Engels, Niklas; Wienands, Jürgen

    2018-05-01

    The generation of memory B cells (MBCs) that have undergone immunoglobulin class switching from IgM, which dominates primary antibody responses, to other immunoglobulin isoforms is a hallmark of immune memory. Hence, humoral immunological memory is characterized by the presence of serum immunoglobulins of IgG subtypes known as the γ-globulin fraction of blood plasma proteins. These antibodies reflect the antigen experience of B lymphocytes and their repeated triggering. In fact, efficient protection against a previously encountered pathogen is critically linked to the production of pathogen-specific IgG molecules even in those cases where the primary immune response required cellular immunity, for example, T cell-mediated clearance of intracellular pathogens such as viruses. Besides IgG, also IgA and IgE can provide humoral immunity depending on the microbe's nature and infection route. The molecular mechanisms underlying the preponderance of switched immunoglobulin isotypes during memory antibody responses are a matter of active and controversial debate. Here, we summarize the phenotypic characteristics of distinct MBC subpopulations and discuss the decisive roles of different B cell antigen receptor isotypes for the functional traits of class-switched B cell populations. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  18. The CD8+ memory T-cell state of readiness is actively maintained and reversible

    Science.gov (United States)

    Allam, Atef; Conze, Dietrich B.; Giardino Torchia, Maria Letizia; Munitic, Ivana; Yagita, Hideo; Sowell, Ryan T.; Marzo, Amanda L.

    2009-01-01

    The ability of the adaptive immune system to respond rapidly and robustly upon repeated antigen exposure is known as immunologic memory, and it is thought that acquisition of memory T-cell function is an irreversible differentiation event. In this study, we report that many phenotypic and functional characteristics of antigen-specific CD8 memory T cells are lost when they are deprived of contact with dendritic cells. Under these circumstances, memory T cells reverted from G1 to the G0 cell-cycle state and responded to stimulation like naive T cells, as assessed by proliferation, dependence upon costimulation, and interferon-γ production, without losing cell surface markers associated with memory. The memory state was maintained by signaling via members of the tumor necrosis factor receptor superfamily, CD27 and 4-1BB. Foxo1, a transcription factor involved in T-cell quiescence, was reduced in memory cells, and stimulation of naive CD8 cells via CD27 caused Foxo1 to be phosphorylated and emigrate from the nucleus in a phosphatidylinositol-3 kinase–dependent manner. Consistent with these results, maintenance of G1 in vivo was compromised in antigen-specific memory T cells in vesicular stomatitis virus-infected CD27-deficient mice. Therefore, sustaining the functional phenotype of T memory cells requires active signaling and maintenance. PMID:19617575

  19. Memory CD8+ T Cells: Orchestrators and Key Players of Innate Immunity?

    Directory of Open Access Journals (Sweden)

    Grégoire Lauvau

    2016-09-01

    Full Text Available Over the past decades, the dichotomy between innate and adaptive immune responses has largely dominated our understanding of immunology. Upon primary encounter with microbial pathogens, differentiation of adaptive immune cells into functional effectors usually takes several days or even longer, making them contribute to host protection only late during primary infection. However, once generated, antigen-experienced T lymphocytes can persist in the organism and constitute a pool of memory cells that mediate fast and effective protection to a recall infection with the same microbial pathogen. Herein, we challenge this classical paradigm by highlighting the "innate nature" of memory CD8+ T cells. First, within the thymus or in the periphery, naïve CD8+ T cells may acquire phenotypic and functional characteristics of memory CD8+ T cells independently of challenge with foreign antigens. Second, both the "unconventional" and the "conventional" memory cells can rapidly express protective effector functions in response to sets of inflammatory cytokines and chemokines signals, independent of cognate antigen triggering. Third, memory CD8+ T cells can act by orchestrating the recruitment, activation, and licensing of innate cells, leading to broad antimicrobial states. Thus, collectively, memory CD8+ T cells may represent important actors of innate immune defenses.

  20. Strong homeostatic TCR signals induce formation of self-tolerant virtual memory CD8 T cells.

    Science.gov (United States)

    Drobek, Ales; Moudra, Alena; Mueller, Daniel; Huranova, Martina; Horkova, Veronika; Pribikova, Michaela; Ivanek, Robert; Oberle, Susanne; Zehn, Dietmar; McCoy, Kathy D; Draber, Peter; Stepanek, Ondrej

    2018-05-11

    Virtual memory T cells are foreign antigen-inexperienced T cells that have acquired memory-like phenotype and constitute 10-20% of all peripheral CD8 + T cells in mice. Their origin, biological roles, and relationship to naïve and foreign antigen-experienced memory T cells are incompletely understood. By analyzing T-cell receptor repertoires and using retrogenic monoclonal T-cell populations, we demonstrate that the virtual memory T-cell formation is a so far unappreciated cell fate decision checkpoint. We describe two molecular mechanisms driving the formation of virtual memory T cells. First, virtual memory T cells originate exclusively from strongly self-reactive T cells. Second, the stoichiometry of the CD8 interaction with Lck regulates the size of the virtual memory T-cell compartment via modulating the self-reactivity of individual T cells. Although virtual memory T cells descend from the highly self-reactive clones and acquire a partial memory program, they are not more potent in inducing experimental autoimmune diabetes than naïve T cells. These data underline the importance of the variable level of self-reactivity in polyclonal T cells for the generation of functional T-cell diversity. © 2018 The Authors. Published under the terms of the CC BY 4.0 license.

  1. Incomplete Memories: The Natural Suppression of Tissue-Resident Memory CD8 T Cells in the Lung

    Directory of Open Access Journals (Sweden)

    Katie L. Reagin

    2018-01-01

    Full Text Available The yearly, cyclic impact of viruses like influenza on human health and the economy is due to the high rates of mutation of traditional antibody targets, which negate any preexisting humoral immunity. However, the seasonality of influenza infections can equally be attributed to an absent or defective memory CD8 T cell response since the epitopes recognized by these cells are derived from essential virus proteins that mutate infrequently. Experiments in mouse models show that protection from heterologous influenza infection is temporally limited and conferred by a population of tissue-resident memory (TRM cells residing in the lung and lung airways. TRM are elicited by a diverse set of pathogens penetrating mucosal barriers and broadly identified by extravascular staining and expression of the activation and adhesion molecules CD69 and CD103. Interestingly, lung TRM fail to express these molecules, which could limit tissue retention, resulting in airway expulsion or death with concomitant loss of heterologous protection. Here, we make the case that respiratory infections uniquely evoke a form of natural immunosuppression whereby specific cytokines and cell–cell interactions negatively impact memory cell programming and differentiation. Respiratory memory is not only short-lived but most of the memory cells in the lung parenchyma may not be bona fide TRM. Given the quantity of microbes humans inhale over a lifetime, limiting cellular residence could be a mechanism employed by the respiratory tract to preserve organismal vitality. Therefore, successful efforts to improve respiratory immunity must carefully and selectively breach these inherent tissue barriers.

  2. A hybrid nanomemristor/transistor logic circuit capable of self-programming.

    Science.gov (United States)

    Borghetti, Julien; Li, Zhiyong; Straznicky, Joseph; Li, Xuema; Ohlberg, Douglas A A; Wu, Wei; Stewart, Duncan R; Williams, R Stanley

    2009-02-10

    Memristor crossbars were fabricated at 40 nm half-pitch, using nanoimprint lithography on the same substrate with Si metal-oxide-semiconductor field effect transistor (MOS FET) arrays to form fully integrated hybrid memory resistor (memristor)/transistor circuits. The digitally configured memristor crossbars were used to perform logic functions, to serve as a routing fabric for interconnecting the FETs and as the target for storing information. As an illustrative demonstration, the compound Boolean logic operation (A AND B) OR (C AND D) was performed with kilohertz frequency inputs, using resistor-based logic in a memristor crossbar with FET inverter/amplifier outputs. By routing the output signal of a logic operation back onto a target memristor inside the array, the crossbar was conditionally configured by setting the state of a nonvolatile switch. Such conditional programming illuminates the way for a variety of self-programmed logic arrays, and for electronic synaptic computing.

  3. Material insights of HfO2-based integrated 1-transistor-1-resistor resistive random access memory devices processed by batch atomic layer deposition.

    Science.gov (United States)

    Niu, Gang; Kim, Hee-Dong; Roelofs, Robin; Perez, Eduardo; Schubert, Markus Andreas; Zaumseil, Peter; Costina, Ioan; Wenger, Christian

    2016-06-17

    With the continuous scaling of resistive random access memory (RRAM) devices, in-depth understanding of the physical mechanism and the material issues, particularly by directly studying integrated cells, become more and more important to further improve the device performances. In this work, HfO2-based integrated 1-transistor-1-resistor (1T1R) RRAM devices were processed in a standard 0.25 μm complementary-metal-oxide-semiconductor (CMOS) process line, using a batch atomic layer deposition (ALD) tool, which is particularly designed for mass production. We demonstrate a systematic study on TiN/Ti/HfO2/TiN/Si RRAM devices to correlate key material factors (nano-crystallites and carbon impurities) with the filament type resistive switching (RS) behaviours. The augmentation of the nano-crystallites density in the film increases the forming voltage of devices and its variation. Carbon residues in HfO2 films turn out to be an even more significant factor strongly impacting the RS behaviour. A relatively higher deposition temperature of 300 °C dramatically reduces the residual carbon concentration, thus leading to enhanced RS performances of devices, including lower power consumption, better endurance and higher reliability. Such thorough understanding on physical mechanism of RS and the correlation between material and device performances will facilitate the realization of high density and reliable embedded RRAM devices with low power consumption.

  4. Band-to-band tunneling field effect transistor for low power logic and memory applications: Design, fabrication and characterization

    Science.gov (United States)

    Mookerjea, Saurabh A.

    Over the past decade the microprocessor clock frequency has hit a plateau. The main reason for this has been the inability to follow constant electric field scaling, which requires the transistor supply voltage to be scaled down as the transistor dimensions are reduced. Scaling the supply voltage down reduces the dynamic power quadratically but increases the static leakage power exponentially due to non-scalability of threshold voltage of the transistor, which is required to maintain the same ON state performance. This limitation in supply voltage scaling is directly related to MOSFET's (Metal Oxide Semiconductor Field Effect Transistor) sub-threshold slope (SS) limitation of 60 mV/dec at room temperature. Thus novel device design/materials are required that would allow the transistor to switch with sub-threshold slopes steeper than 60 mV/dec at room temperature, thus facilitating supply voltage scaling. Recently, a new class of devices known as super-steep slope (SSswitching behavior of TFET is studied through mixed-mode numerical simulations. The significance of correct benchmarking methodology to estimate the effective drive current and capacitance in TFET is highlighted and compared with MOSFET. This is followed by the fabrication details of homo-junction TFET. Analysis of the electrical characteristics of homo-junction TFET gives key insight into its device operation and identifies the critical factors that impact its performance. In order to boost the ON current, the design and fabrication of hetero-junction TFET is also presented.

  5. miR-150 Regulates Memory CD8 T Cell Differentiation via c-Myb

    Directory of Open Access Journals (Sweden)

    Zeyu Chen

    2017-09-01

    Full Text Available MicroRNAs play an important role in T cell responses. However, how microRNAs regulate CD8 T cell memory remains poorly defined. Here, we found that miR-150 negatively regulates CD8 T cell memory in vivo. Genetic deletion of miR-150 disrupted the balance between memory precursor and terminal effector CD8 T cells following acute viral infection. Moreover, miR-150-deficient memory CD8 T cells were more protective upon rechallenge. A key circuit whereby miR-150 repressed memory CD8 T cell development through the transcription factor c-Myb was identified. Without miR-150, c-Myb was upregulated and anti-apoptotic targets of c-Myb, such as Bcl-2 and Bcl-xL, were also increased, suggesting a miR-150-c-Myb survival circuit during memory CD8 T cell development. Indeed, overexpression of non-repressible c-Myb rescued the memory CD8 T cell defects caused by overexpression of miR-150. Overall, these results identify a key role for miR-150 in memory CD8 T cells through a c-Myb-controlled enhanced survival circuit.

  6. Low power and reliable SRAM memory cell and array design

    CERN Document Server

    Ishibashi, Koichiro

    2011-01-01

    Success in the development of recent advanced semiconductor device technologies is due to the success of SRAM memory cells. This book addresses various issues for designing SRAM memory cells for advanced CMOS technology. To study LSI design, SRAM cell design is the best materials subject because issues about variability, leakage and reliability have to be taken into account for the design.

  7. Liver-primed memory T cells generated under noninflammatory conditions provide anti-infectious immunity.

    Science.gov (United States)

    Böttcher, Jan P; Schanz, Oliver; Wohlleber, Dirk; Abdullah, Zeinab; Debey-Pascher, Svenja; Staratschek-Jox, Andrea; Höchst, Bastian; Hegenbarth, Silke; Grell, Jessica; Limmer, Andreas; Atreya, Imke; Neurath, Markus F; Busch, Dirk H; Schmitt, Edgar; van Endert, Peter; Kolanus, Waldemar; Kurts, Christian; Schultze, Joachim L; Diehl, Linda; Knolle, Percy A

    2013-03-28

    Development of CD8(+) T cell (CTL) immunity or tolerance is linked to the conditions during T cell priming. Dendritic cells (DCs) matured during inflammation generate effector/memory T cells, whereas immature DCs cause T cell deletion/anergy. We identify a third outcome of T cell priming in absence of inflammation enabled by cross-presenting liver sinusoidal endothelial cells. Such priming generated memory T cells that were spared from deletion by immature DCs. Similar to central memory T cells, liver-primed T cells differentiated into effector CTLs upon antigen re-encounter on matured DCs even after prolonged absence of antigen. Their reactivation required combinatorial signaling through the TCR, CD28, and IL-12R and controlled bacterial and viral infections. Gene expression profiling identified liver-primed T cells as a distinct Neuropilin-1(+) memory population. Generation of liver-primed memory T cells may prevent pathogens that avoid DC maturation by innate immune escape from also escaping adaptive immunity through attrition of the T cell repertoire. Copyright © 2013 The Authors. Published by Elsevier Inc. All rights reserved.

  8. Liver-Primed Memory T Cells Generated under Noninflammatory Conditions Provide Anti-infectious Immunity

    Directory of Open Access Journals (Sweden)

    Jan P. Böttcher

    2013-03-01

    Full Text Available Development of CD8+ T cell (CTL immunity or tolerance is linked to the conditions during T cell priming. Dendritic cells (DCs matured during inflammation generate effector/memorycells, whereas immature DCs cause T cell deletion/anergy. We identify a third outcome of T cell priming in absence of inflammation enabled by cross-presenting liver sinusoidal endothelial cells. Such priming generated memorycells that were spared from deletion by immature DCs. Similar to central memorycells, liver-primed T cells differentiated into effector CTLs upon antigen re-encounter on matured DCs even after prolonged absence of antigen. Their reactivation required combinatorial signaling through the TCR, CD28, and IL-12R and controlled bacterial and viral infections. Gene expression profiling identified liver-primed T cells as a distinct Neuropilin-1+ memory population. Generation of liver-primed memorycells may prevent pathogens that avoid DC maturation by innate immune escape from also escaping adaptive immunity through attrition of the T cell repertoire.

  9. Graphene quantum dot (GQD)-induced photovoltaic and photoelectric memory elements in a pentacene/GQD field effect transistor as a probe of functional interface

    Science.gov (United States)

    Kim, Youngjun; Cho, Seongeun; Kim, Hyeran; Seo, Soonjoo; Lee, Hyun Uk; Lee, Jouhahn; Ko, Hyungduk; Chang, Mincheol; Park, Byoungnam

    2017-09-01

    Electric field-induced charge trapping and exciton dissociation were demonstrated at a penatcene/grapheme quantum dot (GQD) interface using a bottom contact bi-layer field effect transistor (FET) as an electrical nano-probe. Large threshold voltage shift in a pentacene/GQD FET in the dark arises from field-induced carrier trapping in the GQD layer or GQD-induced trap states at the pentacene/GQD interface. As the gate electric field increases, hysteresis characterized by the threshold voltage shift depending on the direction of the gate voltage scan becomes stronger due to carrier trapping associated with the presence of a GQD layer. Upon illumination, exciton dissociation and gate electric field-induced charge trapping simultaneously contribute to increase the threshold voltage window, which can potentially be exploited for photoelectric memory and/or photovoltaic devices through interface engineering.

  10. Memory CD8+ T cells protect dendritic cells from CTL killing

    NARCIS (Netherlands)

    Watchmaker, Payal B.; Urban, Julie A.; Berk, Erik; Nakamura, Yutaro; Mailliard, Robbie B.; Watkins, Simon C.; van Ham, S. Marieke; Kalinski, Pawel

    2008-01-01

    CD8(+) T cells have been shown to be capable of either suppressing or promoting immune responses. To reconcile these contrasting regulatory functions, we compared the ability of human effector and memory CD8(+) T cells to regulate survival and functions of dendritic cells (DC). We report that, in

  11. Superconducting transistor

    International Nuclear Information System (INIS)

    Gray, K.E.

    1978-01-01

    A three film superconducting tunneling device, analogous to a semiconductor transistor, is presented, including a theoretical description and experimental results showing a current gain of four. Much larger current gains are shown to be feasible. Such a development is particularly interesting because of its novelty and the striking analogies with the semiconductor junction transistor

  12. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas

  13. Persistence of memory B-cell and T-cell responses to the quadrivalent HPV vaccine in HIV-infected children.

    Science.gov (United States)

    Weinberg, Adriana; Huang, Sharon; Moscicki, Anna-Barbara; Saah, Afred; Levin, Myron J

    2018-04-24

    To determine the magnitude and persistence of quadrivalent human papillomavirus (HPV)16 and HPV18 B-cell and T-cell memory after three or four doses of quadrivalent HPV vaccine (QHPV) in HIV-infected children. Seventy-four HIV-infected children immunized with four doses and 23 with three doses of QHPV had HPV16 and HPV18 IgG B-cell and IFNγ and IL2 T-cell ELISPOT performed at 2, 3.5 and 4-5 years after the last dose. HPV16 and HPV18 T-cell responses were similar in both treatment groups, with higher responses to HPV16 vs. HPV18. These HPV T-cell responses correlated with HIV disease characteristics at the study visits. Global T-cell function declined over time as measured by nonspecific mitogenic stimulation. B-cell memory was similar across treatment groups and HPV genotypes. There was a decline in HPV-specific B-cell memory over time that reached statistical significance for HPV16 in the four-dose group. B-cell and T-cell memory did not significantly differ after either three or four doses of QHPV in HIV-infected children. The clinical consequences of decreasing global T-cell function and HPV B-cell memory over time in HIV-infected children requires further investigation.

  14. High frequency electromechanical memory cells based on telescoping carbon nanotubes.

    Science.gov (United States)

    Popov, A M; Lozovik, Y E; Kulish, A S; Bichoutskaia, E

    2010-07-01

    A new method to increase the operational frequency of electromechanical memory cells based on the telescoping motion of multi-walled carbon nanotubes through the selection of the form of the switching voltage pulse is proposed. The relative motion of the walls of carbon nanotubes can be controlled through the shape of the interwall interaction energy surface. This allows the use of the memory cells in nonvolatile or volatile regime, depending on the structure of carbon nanotube. Simulations based on ab initio and semi-empirical calculations of the interwall interaction energies are used to estimate the switching voltage and the operational frequency of volatile cells with the electrodes made of carbon nanotubes. The lifetime of nonvolatile memory cells is also predicted.

  15. Accelerating the life of transistors

    International Nuclear Information System (INIS)

    Qi Haochun; Lü Changzhi; Zhang Xiaoling; Xie Xuesong

    2013-01-01

    Choosing small and medium power switching transistors of the NPN type in a 3DK set as the study object, the test of accelerating life is conducted in constant temperature and humidity, and then the data are statistically analyzed with software developed by ourselves. According to degradations of such sensitive parameters as the reverse leakage current of transistors, the lifetime order of transistors is about more than 10 4 at 100 °C and 100% relative humidity (RH) conditions. By corrosion fracture of transistor outer leads and other failure modes, with the failure truncated testing, the average lifetime rank of transistors in different distributions is extrapolated about 10 3 . Failure mechanism analyses of degradation of electrical parameters, outer lead fracture and other reasons that affect transistor lifetime are conducted. The findings show that the impact of external stress of outer leads on transistor reliability is more serious than that of parameter degradation. (semiconductor devices)

  16. Protein energy malnutrition impairs homeostatic proliferation of memory CD8 T cells.

    Science.gov (United States)

    Iyer, Smita S; Chatraw, Janel Hart; Tan, Wendy G; Wherry, E John; Becker, Todd C; Ahmed, Rafi; Kapasi, Zoher F

    2012-01-01

    Nutrition is a critical but poorly understood determinant of immunity. There is abundant epidemiological evidence linking protein malnutrition to impaired vaccine efficacy and increased susceptibility to infections; yet, the role of dietary protein in immune memory homeostasis remains poorly understood. In this study, we show that protein-energy malnutrition induced in mice by low-protein (LP) feeding has a detrimental impact on CD8 memory. Relative to adequate protein (AP)-fed controls, LP feeding in lymphocytic choriomeningitis virus (LCMV)-immune mice resulted in a 2-fold decrease in LCMV-specific CD8 memory T cells. Adoptive transfer of memory cells, labeled with a division tracking dye, from AP mice into naive LP or AP mice demonstrated that protein-energy malnutrition caused profound defects in homeostatic proliferation. Remarkably, this defect occurred despite the lymphopenic environment in LP hosts. Whereas Ag-specific memory cells in LP and AP hosts were phenotypically similar, memory cells in LP hosts were markedly less responsive to polyinosinic-polycytidylic acid-induced acute proliferative signals. Furthermore, upon recall, memory cells in LP hosts displayed reduced proliferation and protection from challenge with LCMV-clone 13, resulting in impaired viral clearance in the liver. The findings show a metabolic requirement of dietary protein in sustaining functional CD8 memory and suggest that interventions to optimize dietary protein intake may improve vaccine efficacy in malnourished individuals.

  17. Human Infant Memory B Cell and CD4+ T Cell Responses to HibMenCY-TT Glyco-Conjugate Vaccine.

    Directory of Open Access Journals (Sweden)

    Angela Fuery

    Full Text Available Carrier-specific T cell and polysaccharide-specific B cell memory responses are not well characterised in infants following glyco-conjugate vaccination. We aimed to determine if the number of Meningococcal (Men C- and Y- specific memory B cells and; number and quality of Tetanus Toxoid (TT carrier-specific memory CD4+ T cells are associated with polysaccharide-specific IgG post HibMenCY-TT vaccination. Healthy infants received HibMenCY-TT vaccine at 2, 4 and 6 months with a booster at 12 months. Peripheral blood mononuclear cells were isolated and polysaccharide-specific memory B cells enumerated using ELISpot. TT-specific memory CD4+ T cells were detected and phenotyped based on CD154 expression and intracellular TNF-α, IL-2 and IFN-γ expression following stimulation. Functional polysaccharide-specific IgG titres were measured using the serum bactericidal activity (SBA assay. Polysaccharide-specific Men C- but not Men Y- specific memory B cell frequencies pre-boost (12 months were significantly associated with post-boost (13 months SBA titres. Regression analysis showed no association between memory B cell frequencies post-priming (at 6 or 7 months and SBA at 12 months or 13 months. TT-specific CD4+ T cells were detected at frequencies between 0.001 and 0.112 as a percentage of CD3+ T cells, but their numbers were not associated with SBA titres. There were significant negative associations between SBA titres at M13 and cytokine expression at M7 and M12.Induction of persistent polysaccharide-specific memory B cells prior to boosting is an important determinant of secondary IgG responses in infants. However, polysaccharide-specific functional IgG responses appear to be independent of the number and quality of circulating carrier-specific CD4+ T cells after priming.

  18. B-cell activating factor detected on both naïve and memory B cells in bullous pemphigoid.

    Science.gov (United States)

    Qian, Hua; Kusuhara, Masahiro; Li, Xiaoguang; Tsuruta, Daisuke; Tsuchisaka, Atsunari; Ishii, Norito; Koga, Hiroshi; Hayakawa, Taihei; Ohara, Koji; Karashima, Tadashi; Ohyama, Bungo; Ohata, Chika; Furumura, Minao; Hashimoto, Takashi

    2014-08-01

    B-cell activating factor (BAFF), an important immune regulatory cytokine, is involved in development of autoimmune diseases. Although BAFF is expressed in various cells, including dendritic cells (DCs) and monocytes, BAFF expression on B cells has not been well documented. In the present study, BAFF molecules on DCs and naïve and memory B cells in autoimmune bullous diseases, including pemphigus vulgaris, pemphigus foliaceus and bullous pemphigoid (BP), were analysed by flow cytometry. Compared with healthy controls (HC), BAFF expression on naïve and memory B cells increased significantly in BP. No difference in BAFF receptor expression in naïve and memory B cells was shown among all study groups. Furthermore, BAFF expression in both naïve and memory B cells of BP, but not HC, was detected by confocal microscopic analysis. These results implied that BAFF expressed by B cells may play a pathogenic role in autoimmune bullous diseases, particularly BP. © 2014 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  19. The CD8+ memory T-cell state of readiness is actively maintained and reversible

    OpenAIRE

    Allam, Atef; Conze, Dietrich B.; Giardino Torchia, Maria Letizia; Munitic, Ivana; Yagita, Hideo; Sowell, Ryan T.; Marzo, Amanda L.; Ashwell, Jonathan D.

    2009-01-01

    The ability of the adaptive immune system to respond rapidly and robustly upon repeated antigen exposure is known as immunologic memory, and it is thought that acquisition of memory T-cell function is an irreversible differentiation event. In this study, we report that many phenotypic and functional characteristics of antigen-specific CD8 memory T cells are lost when they are deprived of contact with dendritic cells. Under these circumstances, memory T cells reverted from G1 to the G0 cell-cy...

  20. Single-cell atomic quantum memory for light

    International Nuclear Information System (INIS)

    Opatrny, Tomas

    2006-01-01

    Recent experiments demonstrating atomic quantum memory for light [B. Julsgaard et al., Nature 432, 482 (2004)] involve two macroscopic samples of atoms, each with opposite spin polarization. It is shown here that a single atomic cell is enough for the memory function if the atoms are optically pumped with suitable linearly polarized light, and quadratic Zeeman shift and/or ac Stark shift are used to manipulate rotations of the quadratures. This should enhance the performance of our quantum memory devices since less resources are needed and losses of light in crossing different media boundaries are avoided

  1. Human memory CD8 T cell effector potential is epigenetically preserved during in vivo homeostasis.

    Science.gov (United States)

    Abdelsamed, Hossam A; Moustaki, Ardiana; Fan, Yiping; Dogra, Pranay; Ghoneim, Hazem E; Zebley, Caitlin C; Triplett, Brandon M; Sekaly, Rafick-Pierre; Youngblood, Ben

    2017-06-05

    Antigen-independent homeostasis of memory CD8 T cells is vital for sustaining long-lived T cell-mediated immunity. In this study, we report that maintenance of human memory CD8 T cell effector potential during in vitro and in vivo homeostatic proliferation is coupled to preservation of acquired DNA methylation programs. Whole-genome bisulfite sequencing of primary human naive, short-lived effector memory (T EM ), and longer-lived central memory (T CM ) and stem cell memory (T SCM ) CD8 T cells identified effector molecules with demethylated promoters and poised for expression. Effector-loci demethylation was heritably preserved during IL-7- and IL-15-mediated in vitro cell proliferation. Conversely, cytokine-driven proliferation of T CM and T SCM memory cells resulted in phenotypic conversion into T EM cells and was coupled to increased methylation of the CCR7 and Tcf7 loci. Furthermore, haploidentical donor memory CD8 T cells undergoing in vivo proliferation in lymphodepleted recipients also maintained their effector-associated demethylated status but acquired T EM -associated programs. These data demonstrate that effector-associated epigenetic programs are preserved during cytokine-driven subset interconversion of human memory CD8 T cells. © 2017 Abdelsamed et al.

  2. How Polycomb-Mediated Cell Memory Deals With a Changing Environment

    KAUST Repository

    Marasca, Federica

    2018-03-09

    Cells and tissues are continuously exposed to a changing microenvironment, hence the necessity of a flexible modulation of gene expression that in complex organism have been achieved through specialized chromatin mechanisms. Chromatin-based cell memory enables cells to maintain their identity by fixing lineage specific transcriptional programs, ensuring their faithful transmission through cell division; in particular PcG-based memory system evolved to maintain the silenced state of developmental and cell cycle genes. In evolution the complexity of this system have increased, particularly in vertebrates, indicating combinatorial and dynamic properties of Polycomb proteins, in some cases even overflowing outside the cell nucleus. Therefore, their function may not be limited to the imposition of rigid states of genetic programs, but on the ability to recognize signals and allow plastic transcriptional changes in response to different stimuli. Here, we discuss the most novel PcG mediated memory functions in facing and responding to the challenges posed by a fluctuating environment.

  3. How Polycomb-Mediated Cell Memory Deals With a Changing Environment

    KAUST Repository

    Marasca, Federica; Bodega, Beatrice; Orlando, Valerio

    2018-01-01

    Cells and tissues are continuously exposed to a changing microenvironment, hence the necessity of a flexible modulation of gene expression that in complex organism have been achieved through specialized chromatin mechanisms. Chromatin-based cell memory enables cells to maintain their identity by fixing lineage specific transcriptional programs, ensuring their faithful transmission through cell division; in particular PcG-based memory system evolved to maintain the silenced state of developmental and cell cycle genes. In evolution the complexity of this system have increased, particularly in vertebrates, indicating combinatorial and dynamic properties of Polycomb proteins, in some cases even overflowing outside the cell nucleus. Therefore, their function may not be limited to the imposition of rigid states of genetic programs, but on the ability to recognize signals and allow plastic transcriptional changes in response to different stimuli. Here, we discuss the most novel PcG mediated memory functions in facing and responding to the challenges posed by a fluctuating environment.

  4. Partial reconstitution of virus-specific memory CD8+ T cells following whole body γ-irradiation

    International Nuclear Information System (INIS)

    Grayson, Jason M.; Laniewski, Nathan G.; Holbrook, Beth C.

    2006-01-01

    CD8 + memory T cells are critical in providing immunity to viral infection. Previous studies documented that antigen-specific CD8 + memory T cells are more resistant to radiation-induced apoptosis than naive T cells. Here, we determined the number and in vivo function of memory CD8 + T cells as immune reconstitution progressed following irradiation. Immediately following irradiation, the number of memory CD8 + T cells declined 80%. As reconstitution progressed, the number of memory cells reached a zenith at 33% of pre-irradiation levels, and was maintained for 120 days post-irradiation. In vitro, memory CD8 + T cells were able to produce cytokines at all times post-irradiation, but when adoptively transferred, they were not able to expand upon rechallenge immediately following irradiation, but regained this ability as reconstitution progressed. When proliferation was examined in vitro, irradiated memory CD8 + T cells were able to respond to mitogenic growth but were unable to divide

  5. High-performance vertical organic transistors.

    Science.gov (United States)

    Kleemann, Hans; Günther, Alrun A; Leo, Karl; Lüssem, Björn

    2013-11-11

    Vertical organic thin-film transistors (VOTFTs) are promising devices to overcome the transconductance and cut-off frequency restrictions of horizontal organic thin-film transistors. The basic physical mechanisms of VOTFT operation, however, are not well understood and VOTFTs often require complex patterning techniques using self-assembly processes which impedes a future large-area production. In this contribution, high-performance vertical organic transistors comprising pentacene for p-type operation and C60 for n-type operation are presented. The static current-voltage behavior as well as the fundamental scaling laws of such transistors are studied, disclosing a remarkable transistor operation with a behavior limited by injection of charge carriers. The transistors are manufactured by photolithography, in contrast to other VOTFT concepts using self-assembled source electrodes. Fluorinated photoresist and solvent compounds allow for photolithographical patterning directly and strongly onto the organic materials, simplifying the fabrication protocol and making VOTFTs a prospective candidate for future high-performance applications of organic transistors. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Hysteresis mechanism and control in pentacene organic field-effect transistors with polymer dielectric

    Directory of Open Access Journals (Sweden)

    Wei Huang

    2013-05-01

    Full Text Available Hysteresis mechanism of pentacene organic field-effect transistors (OFETs with polyvinyl alcohol (PVA and/or polymethyl methacrylate (PMMA dielectrics is studied. Through analyzing the electrical characteristics of OFETs with various PVA/PMMA arrangements, it shows that charge, which is trapped in PVA bulk and at the interface of pentacene/PVA, is one of the origins of hysteresis. The results also show that memory window is proportional to both trap amount in PVA and charge density at the gate/PVA or PVA/pentacene interfaces. Hence, the controllable memory window of around 0 ∼ 10 V can be realized by controlling the thickness and combination of triple-layer polymer dielectrics.

  7. Phenotypic and Functional Alterations in Circulating Memory CD8 T Cells with Time after Primary Infection.

    Directory of Open Access Journals (Sweden)

    Matthew D Martin

    2015-10-01

    Full Text Available Memory CD8 T cells confer increased protection to immune hosts upon secondary viral, bacterial, and parasitic infections. The level of protection provided depends on the numbers, quality (functional ability, and location of memory CD8 T cells present at the time of infection. While primary memory CD8 T cells can be maintained for the life of the host, the full extent of phenotypic and functional changes that occur over time after initial antigen encounter remains poorly characterized. Here we show that critical properties of circulating primary memory CD8 T cells, including location, phenotype, cytokine production, maintenance, secondary proliferation, secondary memory generation potential, and mitochondrial function change with time after infection. Interestingly, phenotypic and functional alterations in the memory population are not due solely to shifts in the ratio of effector (CD62Llo and central memory (CD62Lhi cells, but also occur within defined CD62Lhi memory CD8 T cell subsets. CD62Lhi memory cells retain the ability to efficiently produce cytokines with time after infection. However, while it is was not formally tested whether changes in CD62Lhi memory CD8 T cells over time occur in a cell intrinsic manner or are due to selective death and/or survival, the gene expression profiles of CD62Lhi memory CD8 T cells change, phenotypic heterogeneity decreases, and mitochondrial function and proliferative capacity in either a lymphopenic environment or in response to antigen re-encounter increase with time. Importantly, and in accordance with their enhanced proliferative and metabolic capabilities, protection provided against chronic LCMV clone-13 infection increases over time for both circulating memory CD8 T cell populations and for CD62Lhi memory cells. Taken together, the data in this study reveal that memory CD8 T cells continue to change with time after infection and suggest that the outcome of vaccination strategies designed to elicit

  8. Low interleukin-2 concentration favors generation of early memory T cells over effector phenotypes during chimeric antigen receptor T-cell expansion.

    Science.gov (United States)

    Kaartinen, Tanja; Luostarinen, Annu; Maliniemi, Pilvi; Keto, Joni; Arvas, Mikko; Belt, Heini; Koponen, Jonna; Loskog, Angelica; Mustjoki, Satu; Porkka, Kimmo; Ylä-Herttuala, Seppo; Korhonen, Matti

    2017-06-01

    Adoptive T-cell therapy offers new options for cancer treatment. Clinical results suggest that T-cell persistence, depending on T-cell memory, improves efficacy. The use of interleukin (IL)-2 for in vitro T-cell expansion is not straightforward because it drives effector T-cell differentiation but does not promote the formation of T-cell memory. We have developed a cost-effective expansion protocol for chimeric antigen receptor (CAR) T cells with an early memory phenotype. Lymphocytes were transduced with third-generation lentiviral vectors and expanded using CD3/CD28 microbeads. The effects of altering the IL-2 supplementation (0-300 IU/mL) and length of expansion (10-20 days) on the phenotype of the T-cell products were analyzed. High IL-2 levels led to a decrease in overall generation of early memory T cells by both decreasing central memory T cells and augmenting effectors. T memory stem cells (T SCM , CD95 + CD45RO - CD45RA + CD27 + ) were present variably during T-cell expansion. However, their presence was not IL-2 dependent but was linked to expansion kinetics. CD19-CAR T cells generated in these conditions displayed in vitro antileukemic activity. In summary, production of CAR T cells without any cytokine supplementation yielded the highest proportion of early memory T cells, provided a 10-fold cell expansion and the cells were functionally potent. The number of early memory T cells in a T-cell preparation can be increased by simply reducing the amount of IL-2 and limiting the length of T-cell expansion, providing cells with potentially higher in vivo performance. These findings are significant for robust and cost-effective T-cell manufacturing. Copyright © 2017 International Society for Cellular Therapy. Published by Elsevier Inc. All rights reserved.

  9. A novel whole-cell mechanism for long-term memory enhancement.

    Directory of Open Access Journals (Sweden)

    Iris Reuveni

    Full Text Available Olfactory-discrimination learning was shown to induce a profound long-lasting enhancement in the strength of excitatory and inhibitory synapses of pyramidal neurons in the piriform cortex. Notably, such enhancement was mostly pronounced in a sub-group of neurons, entailing about a quarter of the cell population. Here we first show that the prominent enhancement in the subset of cells is due to a process in which all excitatory synapses doubled their strength and that this increase was mediated by a single process in which the AMPA channel conductance was doubled. Moreover, using a neuronal-network model, we show how such a multiplicative whole-cell synaptic strengthening in a sub-group of cells that form a memory pattern, sub-serves a profound selective enhancement of this memory. Network modeling further predicts that synaptic inhibition should be modified by complex learning in a manner that much resembles synaptic excitation. Indeed, in a subset of neurons all GABAA-receptors mediated inhibitory synapses also doubled their strength after learning. Like synaptic excitation, Synaptic inhibition is also enhanced by two-fold increase of the single channel conductance. These findings suggest that crucial learning induces a multiplicative increase in strength of all excitatory and inhibitory synapses in a subset of cells, and that such an increase can serve as a long-term whole-cell mechanism to profoundly enhance an existing Hebbian-type memory. This mechanism does not act as synaptic plasticity mechanism that underlies memory formation but rather enhances the response of already existing memory. This mechanism is cell-specific rather than synapse-specific; it modifies the channel conductance rather than the number of channels and thus has the potential to be readily induced and un-induced by whole-cell transduction mechanisms.

  10. High-throughput gene expression profiling of memory differentiation in primary human T cells

    Directory of Open Access Journals (Sweden)

    Russell Kate

    2008-08-01

    Full Text Available Abstract Background The differentiation of naive T and B cells into memory lymphocytes is essential for immunity to pathogens. Therapeutic manipulation of this cellular differentiation program could improve vaccine efficacy and the in vitro expansion of memory cells. However, chemical screens to identify compounds that induce memory differentiation have been limited by 1 the lack of reporter-gene or functional assays that can distinguish naive and memory-phenotype T cells at high throughput and 2 a suitable cell-line representative of naive T cells. Results Here, we describe a method for gene-expression based screening that allows primary naive and memory-phenotype lymphocytes to be discriminated based on complex genes signatures corresponding to these differentiation states. We used ligation-mediated amplification and a fluorescent, bead-based detection system to quantify simultaneously 55 transcripts representing naive and memory-phenotype signatures in purified populations of human T cells. The use of a multi-gene panel allowed better resolution than any constituent single gene. The method was precise, correlated well with Affymetrix microarray data, and could be easily scaled up for high-throughput. Conclusion This method provides a generic solution for high-throughput differentiation screens in primary human T cells where no single-gene or functional assay is available. This screening platform will allow the identification of small molecules, genes or soluble factors that direct memory differentiation in naive human lymphocytes.

  11. Enhanced memory architecture for massively parallel vision chip

    Science.gov (United States)

    Chen, Zhe; Yang, Jie; Liu, Liyuan; Wu, Nanjian

    2015-04-01

    Local memory architecture plays an important role in high performance massively parallel vision chip. In this paper, we propose an enhanced memory architecture with compact circuit area designed in a full-custom flow. The memory consists of separate master-stage static latches and shared slave-stage dynamic latches. We use split transmission transistors on the input data path to enhance tolerance for charge sharing and to achieve random read/write capabilities. The memory is designed in a 0.18 μm CMOS process. The area overhead of the memory achieves 16.6 μm2/bit. Simulation results show that the maximum operating frequency reaches 410 MHz and the corresponding peak dynamic power consumption for a 64-bit memory unit is 190 μW under 1.8 V supply voltage.

  12. Logarithmic current-measuring transistor circuits

    DEFF Research Database (Denmark)

    Højberg, Kristian Søe

    1967-01-01

    Describes two transistorized circuits for the logarithmic measurement of small currents suitable for nuclear reactor instrumentation. The logarithmic element is applied in the feedback path of an amplifier, and only one dual transistor is used as logarithmic diode and temperature compensating...... transistor. A simple one-amplifier circuit is compared with a two-amplifier system. The circuits presented have been developed in connexion with an amplifier using a dual m.o.s. transistor input stage with diode-protected gates....

  13. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  14. Shootthrough fault protection system for bipolar transistors in a voltage source transistor inverter

    International Nuclear Information System (INIS)

    Wirth, W.F.

    1982-01-01

    Faulted bipolar transistors in a voltage source transistor inverter are protected against shootthrough fault current, from the filter capacitor of the d-c voltage source which drives the inverter over the d-c bus, by interposing a small choke in series with the filter capacitor to limit the rate of rise of that fault current while at the same time causing the d-c bus voltage to instantly drop to essentially zero volts at the beginning of a shootthrough fault. In this way, the load lines of the faulted transistors are effectively shaped so that they do not enter the second breakdown area, thereby preventing second breakdown destruction of the transistors

  15. A nanowire magnetic memory cell based on a periodic magnetic superlattice

    International Nuclear Information System (INIS)

    Song, J-F; Bird, J P; Ochiai, Y

    2005-01-01

    We analyse the operation of a semiconductor nanowire-based memory cell. Large changes in the nanowire conductance result when the magnetization of a periodic array of nanoscale magnetic gates, which comprise the other key component of the memory cell, is switched between distinct configurations by an external magnetic field. The resulting conductance change provides the basis for a robust memory effect, which can be implemented in a semiconductor structure compatible with conventional semiconductor integrated circuits

  16. Splenectomy Associated Changes in IgM Memory B Cells in an Adult Spleen Registry Cohort

    Science.gov (United States)

    Cameron, Paul U.; Jones, Penelope; Gorniak, Malgorzata; Dunster, Kate; Paul, Eldho; Lewin, Sharon; Woolley, Ian; Spelman, Denis

    2011-01-01

    Asplenic patients have a lifelong risk of overwhelming post-splenectomy infection and have been reported to have low numbers of peripheral blood IgM memory B cells. The clinical value of quantitation of memory B cells as an indicator of splenic abnormality or risk of infection has been unclear. To assess changes in B cell sub-populations after splenectomy we studied patients recruited to a spleen registry (n = 591). A subset of 209 adult asplenic or hyposplenic subjects, and normal controls (n = 140) were tested for IgM memory B cells. We also determined a) changes in IgM memory B cells with time after splenectomy using the cross-sectional data from patients on the registry and b) the kinetics of changes in haematological markers associated with splenectomy(n = 45). Total B cells in splenectomy patients did not differ from controls, but memory B cells, IgM memory B cells and switched B cells were significantly (psplenectomy. Changes of asplenia in routine blood films including presence of Howell-Jolly bodies (HJB), occurred early (median 25 days) and splenectomy associated thrombocytosis and lymphocytosis peaked by 50 days. There was a more gradual decrease in IgM memory B cells reaching a stable level within 6 months after splenectomy. IgM memory B cells as proportion of B cells was the best discriminator between splenectomized patients and normal controls and at the optimal cut-off of 4.53, showed a true positive rate of 95% and false positive rate of 20%. In a survey of 152 registry patients stratified by IgM memory B cells around this cut-off there was no association with minor infections and no registry patients experienced OPSI during the study. Despite significant changes after splenectomy, conventional measures of IgM memory cells have limited clinical utility in this population. PMID:21829713

  17. Low-voltage operating flexible ferroelectric organic field-effect transistor nonvolatile memory with a vertical phase separation P(VDF-TrFE-CTFE)/PS dielectric

    Science.gov (United States)

    Xu, Meili; Xiang, Lanyi; Xu, Ting; Wang, Wei; Xie, Wenfa; Zhou, Dayu

    2017-10-01

    Future flexible electronic systems require memory devices combining low-power operation and mechanical bendability. However, high programming/erasing voltages, which are universally needed to switch the storage states in previously reported ferroelectric organic field-effect transistor (Fe-OFET) nonvolatile memories (NVMs), severely prevent their practical applications. In this work, we develop a route to achieve a low-voltage operating flexible Fe-OFET NVM. Utilizing vertical phase separation, an ultrathin self-organized poly(styrene) (PS) buffering layer covers the surface of the ferroelectric polymer layer by one-step spin-coating from their blending solution. The ferroelectric polymer with a low coercive field contributes to low-voltage operation in the Fe-OFET NVM. The polymer PS contributes to the improvement of mobility, attributing to screening the charge scattering and decreasing the surface roughness. As a result, a high performance flexible Fe-OFET NVM is achieved at the low P/E voltages of ±10 V, with a mobility larger than 0.2 cm2 V-1 s-1, a reliable P/E endurance over 150 cycles, stable data storage retention capability over 104 s, and excellent mechanical bending durability with a slight performance degradation after 1000 repetitive tensile bending cycles at a curvature radius of 5.5 mm.

  18. A High-Voltage Level Tolerant Transistor Circuit

    NARCIS (Netherlands)

    Annema, Anne J.; Geelen, Godefridus Johannes Gertrudis Maria

    2001-01-01

    A high-voltage level tolerant transistor circuit, comprising a plurality of cascoded transistors, including a first transistor (T1) operatively connected to a high-voltage level node (3) and a second transistor (T2) operatively connected to a low-voltage level node (2). The first transistor (T1)

  19. Diode, transistor & fet circuits manual

    CERN Document Server

    Marston, R M

    2013-01-01

    Diode, Transistor and FET Circuits Manual is a handbook of circuits based on discrete semiconductor components such as diodes, transistors, and FETS. The book also includes diagrams and practical circuits. The book describes basic and special diode characteristics, heat wave-rectifier circuits, transformers, filter capacitors, and rectifier ratings. The text also presents practical applications of associated devices, for example, zeners, varicaps, photodiodes, or LEDs, as well as it describes bipolar transistor characteristics. The transistor can be used in three basic amplifier configuration

  20. DESIGN AND ANALYSIS OF STATIC RANDOM ACCESS MEMORY BY SCHMITT TRIGGER TOPOLOGY FOR LOW VOLTAGE APPLICATIONS

    Directory of Open Access Journals (Sweden)

    RUKKUMANI V.

    2016-12-01

    Full Text Available Aggressive scaling of transistor dimensions with each technology generation has resulted an increased integration density and improved device performance at the expense of increased leakage current. The Supply voltage scaling is an effective way of reducing dynamic as well as leakage power consumption. However the sensitivity of the circuit parameters increases with reduction of the supply voltage. SRAM bit- cells utilizing minimum sized transistors are susceptible to various random process variations. The Schmitt Trigger based operation gives better readconstancy as well as superior write-ability compared to the standard bitcell configurations. The proposed Schmitt Trigger based bitcells integrate a built-in feedback mechanism make the process with high tolerance. In this paper an obsolete design of a differential sensing Static Random Access Memory (SRAM bit cells for ultralow-power and ultralow-area Schmitt trigger operation is introduced. The ST bit cells incorporate a built-in feedback mechanism, provided by separate control signal if the feedback is given by the internal nodes, achieving process variation tolerance that must be used for future nano-scaled technology nodes. In this we proposed 32nm technology for designing 10T SRAM cell using Microwind.Total power about 30% is reduced due to 32 nm technology as compared to 65 nm technlology.

  1. Atypical and classical memory B cells produce Plasmodium falciparum neutralizing antibodies

    DEFF Research Database (Denmark)

    Muellenbeck, Matthias F; Ueberheide, Beatrix; Amulic, Borko

    2013-01-01

    signs of active antibody secretion. AtM and CM were also different in their IgG gene repertoire, suggesting that they develop from different precursors. The findings provide direct evidence that natural Pf infection leads to the development of protective memory B cell antibody responses and suggest......Antibodies can protect from Plasmodium falciparum (Pf) infection and clinical malaria disease. However, in the absence of constant reexposure, serum immunoglobulin (Ig) levels rapidly decline and full protection from clinical symptoms is lost, suggesting that B cell memory is functionally impaired...... that constant immune activation rather than impaired memory function leads to the accumulation of AtM in malaria. Understanding the memory B cell response to natural Pf infection may be key to the development of a malaria vaccine that induces long-lived protection....

  2. Early appearance of germinal center–derived memory B cells and plasma cells in blood after primary immunization

    Science.gov (United States)

    Blink, Elizabeth J.; Light, Amanda; Kallies, Axel; Nutt, Stephen L.; Hodgkin, Philip D.; Tarlinton, David M.

    2005-01-01

    Immunization with a T cell–dependent antigen elicits production of specific memory B cells and antibody-secreting cells (ASCs). The kinetic and developmental relationships between these populations and the phenotypic forms they and their precursors may take remain unclear. Therefore, we examined the early stages of a primary immune response, focusing on the appearance of antigen-specific B cells in blood. Within 1 wk, antigen-specific B cells appear in the blood with either a memory phenotype or as immunoglobulin (Ig)G1 ASCs expressing blimp-1. The memory cells have mutated VH genes; respond to the chemokine CXCL13 but not CXCL12, suggesting recirculation to secondary lymphoid organs; uniformly express B220; show limited differentiation potential unless stimulated by antigen; and develop independently of blimp-1 expression. The antigen-specific IgG1 ASCs in blood show affinity maturation paralleling that of bone marrow ASCs, raising the possibility that this compartment is established directly by blood-borne ASCs. We find no evidence for a blimp-1–expressing preplasma memory compartment, suggesting germinal center output is restricted to ASCs and B220+ memory B cells, and this is sufficient to account for the process of affinity maturation. PMID:15710653

  3. Vertical organic transistors

    International Nuclear Information System (INIS)

    Lüssem, Björn; Günther, Alrun; Fischer, Axel; Kasemann, Daniel; Leo, Karl

    2015-01-01

    Organic switching devices such as field effect transistors (OFETs) are a key element of future flexible electronic devices. So far, however, a commercial breakthrough has not been achieved because these devices usually lack in switching speed (e.g. for logic applications) and current density (e.g. for display pixel driving). The limited performance is caused by a combination of comparatively low charge carrier mobilities and the large channel length caused by the need for low-cost structuring. Vertical Organic Transistors are a novel technology that has the potential to overcome these limitations of OFETs. Vertical Organic Transistors allow to scale the channel length of organic transistors into the 100 nm regime without cost intensive structuring techniques. Several different approaches have been proposed in literature, which show high output currents, low operation voltages, and comparatively high speed even without sub-μm structuring technologies. In this review, these different approaches are compared and recent progress is highlighted. (topical review)

  4. Vertical organic transistors.

    Science.gov (United States)

    Lüssem, Björn; Günther, Alrun; Fischer, Axel; Kasemann, Daniel; Leo, Karl

    2015-11-11

    Organic switching devices such as field effect transistors (OFETs) are a key element of future flexible electronic devices. So far, however, a commercial breakthrough has not been achieved because these devices usually lack in switching speed (e.g. for logic applications) and current density (e.g. for display pixel driving). The limited performance is caused by a combination of comparatively low charge carrier mobilities and the large channel length caused by the need for low-cost structuring. Vertical Organic Transistors are a novel technology that has the potential to overcome these limitations of OFETs. Vertical Organic Transistors allow to scale the channel length of organic transistors into the 100 nm regime without cost intensive structuring techniques. Several different approaches have been proposed in literature, which show high output currents, low operation voltages, and comparatively high speed even without sub-μm structuring technologies. In this review, these different approaches are compared and recent progress is highlighted.

  5. On the shape memory of red blood cells

    Science.gov (United States)

    Cordasco, Daniel; Bagchi, Prosenjit

    2017-04-01

    Red blood cells (RBCs) undergo remarkably large deformations when subjected to external forces but return to their biconcave discoid resting shape as the forces are withdrawn. In many experiments, such as when RBCs are subjected to a shear flow and undergo the tank-treading motion, the membrane elements are also displaced from their original (resting) locations along the cell surface with respect to the cell axis, in addition to the cell being deformed. A shape memory is said to exist if after the flow is stopped the RBC regains its biconcave shape and the membrane elements also return to their original locations. The shape memory of RBCs was demonstrated by Fischer ["Shape memory of human red blood cells," Biophys. J. 86, 3304-3313 (2004)] using shear flow go-and-stop experiments. Optical tweezer and micropipette based stretch-relaxation experiments do not reveal the complete shape memory because while the RBC may be deformed, the membrane elements are not significantly displaced from their original locations with respect to the cell axis. Here we present the first three-dimensional computational study predicting the complete shape memory of RBCs using shear flow go-and-stop simulations. The influence of different parameters, namely, membrane shear elasticity and bending rigidity, membrane viscosity, cytoplasmic and suspending fluid viscosity, as well as different stress-free states of the RBC is studied. For all cases, the RBCs always exhibit shape memory. The complete recovery of the RBC in shear flow go-and-stop simulations occurs over a time that is orders of magnitude longer than that for optical tweezer and micropipette based relaxations. The response is also observed to be more complex and composed of widely disparate time scales as opposed to only one time scale that characterizes the optical tweezer and micropipette based relaxations. We observe that the recovery occurs in three phases: a rapid compression of the RBC immediately after the flow is stopped

  6. Memory CD8 T cells mediate severe immunopathology following respiratory syncytial virus infection.

    Directory of Open Access Journals (Sweden)

    Megan E Schmidt

    2018-01-01

    Full Text Available Memory CD8 T cells can provide protection from re-infection by respiratory viruses such as influenza and SARS. However, the relative contribution of memory CD8 T cells in providing protection against respiratory syncytial virus (RSV infection is currently unclear. To address this knowledge gap, we utilized a prime-boost immunization approach to induce robust memory CD8 T cell responses in the absence of RSV-specific CD4 T cells and antibodies. Unexpectedly, RSV infection of mice with pre-existing CD8 T cell memory led to exacerbated weight loss, pulmonary disease, and lethal immunopathology. The exacerbated disease in immunized mice was not epitope-dependent and occurred despite a significant reduction in RSV viral titers. In addition, the lethal immunopathology was unique to the context of an RSV infection as mice were protected from a normally lethal challenge with a recombinant influenza virus expressing an RSV epitope. Memory CD8 T cells rapidly produced IFN-γ following RSV infection resulting in elevated protein levels in the lung and periphery. Neutralization of IFN-γ in the respiratory tract reduced morbidity and prevented mortality. These results demonstrate that in contrast to other respiratory viruses, RSV-specific memory CD8 T cells can induce lethal immunopathology despite mediating enhanced viral clearance.

  7. CellSs: Scheduling Techniques to Better Exploit Memory Hierarchy

    Directory of Open Access Journals (Sweden)

    Pieter Bellens

    2009-01-01

    Full Text Available Cell Superscalar's (CellSs main goal is to provide a simple, flexible and easy programming approach for the Cell Broadband Engine (Cell/B.E. that automatically exploits the inherent concurrency of the applications at a task level. The CellSs environment is based on a source-to-source compiler that translates annotated C or Fortran code and a runtime library tailored for the Cell/B.E. that takes care of the concurrent execution of the application. The first efforts for task scheduling in CellSs derived from very simple heuristics. This paper presents new scheduling techniques that have been developed for CellSs for the purpose of improving an application's performance. Additionally, the design of a new scheduling algorithm is detailed and the algorithm evaluated. The CellSs scheduler takes an extension of the memory hierarchy for Cell/B.E. into account, with a cache memory shared between the SPEs. All new scheduling practices have been evaluated showing better behavior of our system.

  8. B Cells Negatively Regulate the Establishment of CD49b(+)T-bet(+) Resting Memory T Helper Cells in the Bone Marrow.

    Science.gov (United States)

    Hojyo, Shintaro; Sarkander, Jana; Männe, Christian; Mursell, Mathias; Hanazawa, Asami; Zimmel, David; Zhu, Jinfang; Paul, William E; Fillatreau, Simon; Löhning, Max; Radbruch, Andreas; Tokoyoda, Koji

    2016-01-01

    During an immune reaction, some antigen-experienced CD4 T cells relocate from secondary lymphoid organs (SLOs) to the bone marrow (BM) in a CD49b-dependent manner and reside and rest there as professional memory CD4 T cells. However, it remains unclear how the precursors of BM memory CD4 T cells are generated in the SLOs. While several studies have so far shown that B cell depletion reduces the persistence of memory CD4 T cells in the spleen, we here show that B cell depletion enhances the establishment of memory CD4 T cells in the BM and that B cell transfer conversely suppresses it. Interestingly, the number of antigen-experienced CD4 T cells in the BM synchronizes the number of CD49b(+)T-bet(+) antigen-experienced CD4 T cells in the spleen. CD49b(+)T-bet(+) antigen-experienced CD4 T cells preferentially localize in the red pulp area of the spleen and the BM in a T-bet-independent manner. We suggest that B cells negatively control the generation of CD49b(+)T-bet(+) precursors of resting memory CD4 T cells in the spleen and may play a role in bifurcation of activated effector and resting memory CD4 T cell lineages.

  9. 1Protein Energy Malnutrition Impairs Homeostatic Proliferation of Memory CD8 T cells

    Science.gov (United States)

    Iyer, Smita S.; Chatraw, Janel Hart; Tan, Wendy G.; Wherry, E. John; Becker, Todd C.; Ahmed, Rafi; Kapasi, Zoher F.

    2011-01-01

    Nutrition is a critical but poorly understood determinant of immunity. There is abundant epidemiological evidence linking protein malnutrition to impaired vaccine efficacy and increased susceptibility to infections; yet, the role of dietary protein in immune memory homeostasis remains poorly understood. Here we show that protein energy malnutrition (PEM) induced in mice by low-protein (LP) feeding has a detrimental impact on CD8 memory. Relative to adequate-protein (AP) fed controls, LP feeding in lymphocytic choriomeningitis virus (LCMV) immune mice resulted in a 2-fold decrease in LCMV-specific CD8 memory T cells. Adoptive transfer of memory cells, labeled with a division tracking dye, from AP mice into naive LP or AP mice demonstrated that PEM caused profound defects in homeostatic proliferation. Remarkably, this defect occurred despite the lymphopenic environment in LP hosts. While antigen-specific memory cells in LP and AP hosts were phenotypically similar, memory cells in LP hosts were markedly less-responsive to poly(I:C)-induced acute proliferative signals. Furthermore, upon recall, memory cells in LP hosts displayed reduced proliferation and protection from challenge with LCMV-clone 13 resulting in impaired viral clearance in the liver. The findings show a metabolic requirement of dietary protein in sustaining functional CD8 memory and suggest that interventions to optimize dietary protein intake may improve vaccine efficacy in malnourished individuals. PMID:22116826

  10. Electrically programmable-erasable In-Ga-Zn-O thin-film transistor memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack

    Energy Technology Data Exchange (ETDEWEB)

    Qian, Shi-Bing; Zhang, Wen-Peng; Liu, Wen-Jun; Ding, Shi-Jin, E-mail: sjding@fudan.edu.cn [State Key Laboratory of ASIC and System, School of Microelectronics, Fudan University, Shanghai 200433 (China)

    2015-12-15

    Amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistor (TFT) memory is very promising for transparent and flexible system-on-panel displays; however, electrical erasability has always been a severe challenge for this memory. In this article, we demonstrated successfully an electrically programmable-erasable memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack under a maximal processing temperature of 300 {sup o}C. As the programming voltage was enhanced from 14 to 19 V for a constant pulse of 0.2 ms, the threshold voltage shift increased significantly from 0.89 to 4.67 V. When the programmed device was subjected to an appropriate pulse under negative gate bias, it could return to the original state with a superior erasing efficiency. The above phenomena could be attributed to Fowler-Nordheim tunnelling of electrons from the IGZO channel to the Pt nanocrystals during programming, and inverse tunnelling of the trapped electrons during erasing. In terms of 0.2-ms programming at 16 V and 350-ms erasing at −17 V, a large memory window of 3.03 V was achieved successfully. Furthermore, the memory exhibited stable repeated programming/erasing (P/E) characteristics and good data retention, i.e., for 2-ms programming at 14 V and 250-ms erasing at −14 V, a memory window of 2.08 V was still maintained after 10{sup 3} P/E cycles, and a memory window of 1.1 V was retained after 10{sup 5} s retention time.

  11. On theory of single-molecule transistor

    International Nuclear Information System (INIS)

    Tran Tien Phuc

    2009-01-01

    The results of the study on single-molecule transistor are mainly investigated in this paper. The structure of constructed single-molecule transistor is similar to a conventional MOSFET. The conductive channel of the transistors is a single-molecule of halogenated benzene derivatives. The chemical simulation software CAChe was used to design and implement for the essential parameter of the molecules utilized as the conductive channel. The GUI of Matlab has been built to design its graphical interface, calculate and plot the output I-V characteristic curves for the transistor. The influence of temperature, length and width of the conductive channel, and gate voltage is considered. As a result, the simulated curves are similar to the traditional MOSFET's. The operating temperature range of the transistors is wider compared with silicon semiconductors. The supply voltage for transistors is only about 1 V. The size of transistors in this research is several nanometers.

  12. Ferroelectric transistors with monolayer molybdenum disulfide and ultra-thin aluminum-doped hafnium oxide

    Science.gov (United States)

    Yap, Wui Chung; Jiang, Hao; Liu, Jialun; Xia, Qiangfei; Zhu, Wenjuan

    2017-07-01

    In this letter, we demonstrate ferroelectric memory devices with monolayer molybdenum disulfide (MoS2) as the channel material and aluminum (Al)-doped hafnium oxide (HfO2) as the ferroelectric gate dielectric. Metal-ferroelectric-metal capacitors with 16 nm thick Al-doped HfO2 are fabricated, and a remnant polarization of 3 μC/cm2 under a program/erase voltage of 5 V is observed. The capability of potential 10 years data retention was estimated using extrapolation of the experimental data. Ferroelectric transistors based on embedded ferroelectric HfO2 and MoS2 grown by chemical vapor deposition are fabricated. Clockwise hysteresis is observed at low program/erase voltages due to slow bulk traps located near the 2D/dielectric interface, while counterclockwise hysteresis is observed at high program/erase voltages due to ferroelectric polarization. In addition, the endurances of the devices are tested, and the effects associated with ferroelectric materials, such as the wake-up effect and polarization fatigue, are observed. Reliable writing/reading in MoS2/Al-doped HfO2 ferroelectric transistors over 2 × 104 cycles is achieved. This research can potentially lead to advances of two-dimensional (2D) materials in low-power logic and memory applications.

  13. Altered T cell memory and effector cell development in chronic lymphatic filarial infection that is independent of persistent parasite antigen.

    Directory of Open Access Journals (Sweden)

    Cathy Steel

    2011-04-01

    Full Text Available Chronic lymphatic filarial (LF infection is associated with suppression of parasite-specific T cell responses that persist even following elimination of infection. While several mechanisms have been implicated in mediating this T cell specific downregulation, a role for alterations in the homeostasis of T effector and memory cell populations has not been explored. Using multiparameter flow cytometry, we investigated the role of persistent filarial infection on the maintenance of T cell memory in patients from the filarial-endemic Cook Islands. Compared to filarial-uninfected endemic normals (EN, microfilaria (mf positive infected patients (Inf had a reduced CD4 central memory (T(CM compartment. In addition, Inf patients tended to have more effector memory cells (T(EM and fewer effector cells (T(EFF than did ENs giving significantly smaller T(EFF:T(EM ratios. These contracted T(CM and T(EFF populations were still evident in patients previously mf+ who had cleared their infection (CLInf. Moreover, the density of IL-7Rα, necessary for T memory cell maintenance (but decreased in T effector cells, was significantly higher on memory cells of Inf and CLInf patients, although there was no evidence for decreased IL-7 or increased soluble IL7-Rα, both possible mechanisms for signaling defects in memory cells. However, effector cells that were present in Inf and CLInf patients had lower percentages of HLA-DR suggesting impaired function. These changes in T cell populations appear to reflect chronicity of infection, as filarial-infected children, despite the presence of active infection, did not show alterations in the frequencies of these T cell phenotypes. These data indicate that filarial-infected patients have contracted T(CM compartments and a defect in effector cell development, defects that persist even following clearance of infection. The fact that these global changes in memory and effector cell compartments do not yet occur in infected children

  14. Dosimetric properties of MOS transistors

    International Nuclear Information System (INIS)

    Frank, H.; Petr, I.

    1977-01-01

    The structure of MOS transistors is described and their characteristics given. The experiments performed and data in the literature show the following dosimetric properties of MOS transistors: while for low gamma doses the transistor response to exposure is linear, it shows saturation for higher doses (exceeding 10 3 Gy in tissue). The response is independent of the energy of radiation and of the dose rate (within 10 -2 to 10 5 Gy/s). The spontaneous reduction with time of the spatial charge captured by the oxide layer (fading) is small and acceptable from the point of view of dosimetry. Curves are given of isochronous annealing of the transistors following irradiation with 137 Cs and 18 MeV electrons for different voltages during irradiation. The curves show that in MOS transistors irradiated with high-energy electrons the effect of annealing is less than in transistors irradiated with 137 Cs. In view of the requirement of using higher temperatures (approx. 400 degC) for the complete ''erasing'' of the captured charge, unsealed systems must be used for dosimetric purposes. The effect was also studied of neutron radiation, proton radiation and electron radiation on the MOS transistor structure. For MOS transistor irradiation with 14 MeV neutrons from a neutron generator the response was 4% of that for gamma radiation at the same dose equivalent. The effect of proton radiation was studied as related to the changes in MOS transistor structure during space flights. The response curve shapes are similar to those of gamma radiation curves. The effect of electron radiation on the MOS structure was studied by many authors. The experiments show that for each thickness of the SiO 2 layer an electron energy exists at which the size of the charge captured in SiO 2 is the greatest. All data show that MOS transistors are promising for radiation dosimetry. The main advantage of MOS transistors as gamma dosemeters is the ease and speed of evaluation, low sensitivity to neutron

  15. MemFlash device: floating gate transistors as memristive devices for neuromorphic computing

    Science.gov (United States)

    Riggert, C.; Ziegler, M.; Schroeder, D.; Krautschneider, W. H.; Kohlstedt, H.

    2014-10-01

    Memristive devices are promising candidates for future non-volatile memory applications and mixed-signal circuits. In the field of neuromorphic engineering these devices are especially interesting to emulate neuronal functionality. Therefore, new materials and material combinations are currently investigated, which are often not compatible with Si-technology processes. The underlying mechanisms of the device often remain unclear and are paired with low device endurance and yield. These facts define the current most challenging development tasks towards a reliable memristive device technology. In this respect, the MemFlash concept is of particular interest. A MemFlash device results from a diode configuration wiring scheme of a floating gate transistor, which enables the persistent device resistance to be varied according to the history of the charge flow through the device. In this study, we investigate the scaling conditions of the floating gate oxide thickness with respect to possible applications in the field of neuromorphic engineering. We show that MemFlash cells exhibit essential features with respect to neuromorphic applications. In particular, cells with thin floating gate oxides show a limited synaptic weight growth together with low energy dissipation. MemFlash cells present an attractive alternative for state-of-art memresitive devices. The emulation of associative learning is discussed by implementing a single MemFlash cell in an analogue circuit.

  16. MemFlash device: floating gate transistors as memristive devices for neuromorphic computing

    International Nuclear Information System (INIS)

    Riggert, C; Ziegler, M; Kohlstedt, H; Schroeder, D; Krautschneider, W H

    2014-01-01

    Memristive devices are promising candidates for future non-volatile memory applications and mixed-signal circuits. In the field of neuromorphic engineering these devices are especially interesting to emulate neuronal functionality. Therefore, new materials and material combinations are currently investigated, which are often not compatible with Si-technology processes. The underlying mechanisms of the device often remain unclear and are paired with low device endurance and yield. These facts define the current most challenging development tasks towards a reliable memristive device technology. In this respect, the MemFlash concept is of particular interest. A MemFlash device results from a diode configuration wiring scheme of a floating gate transistor, which enables the persistent device resistance to be varied according to the history of the charge flow through the device. In this study, we investigate the scaling conditions of the floating gate oxide thickness with respect to possible applications in the field of neuromorphic engineering. We show that MemFlash cells exhibit essential features with respect to neuromorphic applications. In particular, cells with thin floating gate oxides show a limited synaptic weight growth together with low energy dissipation. MemFlash cells present an attractive alternative for state-of-art memresitive devices. The emulation of associative learning is discussed by implementing a single MemFlash cell in an analogue circuit. (paper)

  17. An Associative Memory Chip for the Trigger System of the ATLAS Experiment

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00380893; The ATLAS collaboration; Liberali, Valentino; Crescioli, Francesco; Beretta, Matteo; Frontini, Luca; Annovi, Alberto; Stabile, Alberto

    2017-01-01

    The AM06 is the 6th version of a large associative memory chip designed in 65 nm CMOS tech- nology. The AM06 operates as a highly parallel ASIC processor for pattern recognition in the ATLAS experiment at CERN. It is the core of the Fast TracKer electronic system, which is tai- lored for on-line track finding in the trigger system of the ATLAS experiment. The Fast TracKer system is able to process events up to 100 MHz in real time. The AM06 is a complex chip, and it has been designed combining full-custom memory arrays, standard logic cells and IP blocks. It contains memory banks that store data organized in 18 bit words; a group of 8 words is called a pattern. The chip silicon area is 168 mm2; it contains 421 millions of transistors and it stores 217 patterns. Moreover, the associative memory is suitable also for other interdisciplinary appli- cations (i.e., general purpose image filtering and analysis). In the near future we plan to design a more powerful and flexible chip in 28 nm CMOS technology.

  18. Colour tuneable light-emitting transistor

    Energy Technology Data Exchange (ETDEWEB)

    Feldmeier, Eva J.; Melzer, Christian; Seggern, Heinz von [Electronic Materials Department, Institute of Materials Science, Technische Universitaet Darmstadt (Germany)

    2010-07-01

    In recent years the interest in ambipolar organic light-emitting field-effect transistors has increased steadily as the devices combine switching behaviour of transistors with light emission. Usually, small molecules and polymers with a band gap in the visible spectral range serve as semiconducting materials. Mandatory remain balanced injection and transport properties for both charge carrier types to provide full control of the spatial position of the recombination zone of electrons and holes in the transistor channel via the applied voltages. As will be presented here, the spatial control of the recombination zone opens new possibilities towards light-emitting devices with colour tuneable emission. In our contribution an organic light-emitting field-effect transistors is presented whose emission colour can be changed by the applied voltages. The organic top-contact field-effect transistor is based on a parallel layer stack of acenes serving as organic transport and emission layers. The transistor displays ambipolar characteristics with a narrow recombination zone within the transistor channel. During operation the recombination zone can be moved by a proper change in the drain and gate bias from one organic semiconductor layer to another one inducing a change in the emission colour. In the presented example the emission maxima can be switched from 530 nm to 580 nm.

  19. MOSFET analog memory circuit achieves long duration signal storage

    Science.gov (United States)

    1966-01-01

    Memory circuit maintains the signal voltage at the output of an analog signal amplifier when the input signal is interrupted or removed. The circuit uses MOSFET /Metal Oxide Semiconductor Field Effect Transistor/ devices as voltage-controlled switches, triggered by an external voltage-sensing device.

  20. Splenectomy associated changes in IgM memory B cells in an adult spleen registry cohort.

    Directory of Open Access Journals (Sweden)

    Paul U Cameron

    Full Text Available Asplenic patients have a lifelong risk of overwhelming post-splenectomy infection and have been reported to have low numbers of peripheral blood IgM memory B cells. The clinical value of quantitation of memory B cells as an indicator of splenic abnormality or risk of infection has been unclear. To assess changes in B cell sub-populations after splenectomy we studied patients recruited to a spleen registry (n = 591. A subset of 209 adult asplenic or hyposplenic subjects, and normal controls (n = 140 were tested for IgM memory B cells. We also determined a changes in IgM memory B cells with time after splenectomy using the cross-sectional data from patients on the registry and b the kinetics of changes in haematological markers associated with splenectomy(n = 45. Total B cells in splenectomy patients did not differ from controls, but memory B cells, IgM memory B cells and switched B cells were significantly (p<0.001 reduced. The reduction was similar for different indications for splenectomy. Changes of asplenia in routine blood films including presence of Howell-Jolly bodies (HJB, occurred early (median 25 days and splenectomy associated thrombocytosis and lymphocytosis peaked by 50 days. There was a more gradual decrease in IgM memory B cells reaching a stable level within 6 months after splenectomy. IgM memory B cells as proportion of B cells was the best discriminator between splenectomized patients and normal controls and at the optimal cut-off of 4.53, showed a true positive rate of 95% and false positive rate of 20%. In a survey of 152 registry patients stratified by IgM memory B cells around this cut-off there was no association with minor infections and no registry patients experienced OPSI during the study. Despite significant changes after splenectomy, conventional measures of IgM memory cells have limited clinical utility in this population.

  1. Dosimetric properties of MOS transistors

    International Nuclear Information System (INIS)

    Peter, I.; Frank, G.

    1977-01-01

    The performance of MOS transistors as gamma detectors has been tested. The dosimeter sensitivity has proved to be independent on the doses ranging from 10 3 to 10 6 R, and gamma energy of 137 Cs, 60 Co - sources and 5 - 18 MeV electrons. Fading of the space charge trapped by the SiO 2 layer of the transistor has appeared to be neglegible at room temperature after 400 hrs. The isochronous annealing in the temperature range of 40-260 deg C had a more substantial effect on the space charge of the transistor irradiated with 18 MeV electrons than on the 137 Cs gamma-irradiated transistors. This proved a repeated use of γ-dosemeters. MOS transistors are concluded to be promising for gamma dosimetry [ru

  2. Memristive device based on a depletion-type SONOS field effect transistor

    Science.gov (United States)

    Himmel, N.; Ziegler, M.; Mähne, H.; Thiem, S.; Winterfeld, H.; Kohlstedt, H.

    2017-06-01

    State-of-the-art SONOS (silicon-oxide-nitride-oxide-polysilicon) field effect transistors were operated in a memristive switching mode. The circuit design is a variation of the MemFlash concept and the particular properties of depletion type SONOS-transistors were taken into account. The transistor was externally wired with a resistively shunted pn-diode. Experimental current-voltage curves show analog bipolar switching characteristics within a bias voltage range of ±10 V, exhibiting a pronounced asymmetric hysteresis loop. The experimental data are confirmed by SPICE simulations. The underlying memristive mechanism is purely electronic, which eliminates an initial forming step of the as-fabricated cells. This fact, together with reasonable design flexibility, in particular to adjust the maximum R ON/R OFF ratio, makes these cells attractive for neuromorphic applications. The relative large set and reset voltage around ±10 V might be decreased by using thinner gate-oxides. The all-electric operation principle, in combination with an established silicon manufacturing process of SONOS devices at the Semiconductor Foundry X-FAB, promise reliable operation, low parameter spread and high integration density.

  3. B cells negatively regulate the establishment of CD49b+T-bet+ resting memory T helper cells in the bone marrow

    Directory of Open Access Journals (Sweden)

    Shintaro eHojyo

    2016-02-01

    Full Text Available During an immune reaction, some antigen-experienced CD4 T cells relocate from secondary lymphoid organs (SLOs to the bone marrow (BM in a CD49b-dependent manner and reside and rest there as professional memory CD4 T cells. However, it remains unclear how the precursors of BM memory CD4 T cells are generated in the SLOs. While several studies have so far shown that B cell depletion reduces the persistence of memory CD4 T cells in the spleen, we here show that B cell depletion enhances the establishment of memory CD4 T cells in the BM and that B cell transfer conversely suppresses it. Interestingly, the number of antigen-experienced CD4 T cells in the BM synchronizes the number of CD49b+T-bet+ antigen-experienced CD4 T cells in the spleen. CD49b+T-bet+ antigen-experienced CD4 T cells preferentially localize in the red pulp area of the spleen and the BM in a T-bet-independent manner. We suggest that B cells negatively control the generation of CD49b+T-bet+ precursors of resting memory CD4 T cells in the spleen and may play a role in bifurcation of activated effector and resting memory CD4 T cell lineages.

  4. Interfacial Redox Reactions Associated Ionic Transport in Oxide-Based Memories.

    Science.gov (United States)

    Younis, Adnan; Chu, Dewei; Shah, Abdul Hadi; Du, Haiwei; Li, Sean

    2017-01-18

    As an alternative to transistor-based flash memories, redox reactions mediated resistive switches are considered as the most promising next-generation nonvolatile memories that combine the advantages of a simple metal/solid electrolyte (insulator)/metal structure, high scalability, low power consumption, and fast processing. For cation-based memories, the unavailability of in-built mobile cations in many solid electrolytes/insulators (e.g., Ta 2 O 5 , SiO 2 , etc.) instigates the essential role of absorbed water in films to keep electroneutrality for redox reactions at counter electrodes. Herein, we demonstrate electrochemical characteristics (oxidation/reduction reactions) of active electrodes (Ag and Cu) at the electrode/electrolyte interface and their subsequent ions transportation in Fe 3 O 4 film by means of cyclic voltammetry measurements. By posing positive potentials on Ag/Cu active electrodes, Ag preferentially oxidized to Ag + , while Cu prefers to oxidize into Cu 2+ first, followed by Cu/Cu + oxidation. By sweeping the reverse potential, the oxidized ions can be subsequently reduced at the counter electrode. The results presented here provide a detailed understanding of the resistive switching phenomenon in Fe 3 O 4 -based memory cells. The results were further discussed on the basis of electrochemically assisted cations diffusions in the presence of absorbed surface water molecules in the film.

  5. Tethered IL-15 augments antitumor activity and promotes a stem-cell memory subset in tumor-specific T cells.

    Science.gov (United States)

    Hurton, Lenka V; Singh, Harjeet; Najjar, Amer M; Switzer, Kirsten C; Mi, Tiejuan; Maiti, Sourindra; Olivares, Simon; Rabinovich, Brian; Huls, Helen; Forget, Marie-Andrée; Datar, Vrushali; Kebriaei, Partow; Lee, Dean A; Champlin, Richard E; Cooper, Laurence J N

    2016-11-29

    Adoptive immunotherapy retargeting T cells to CD19 via a chimeric antigen receptor (CAR) is an investigational treatment capable of inducing complete tumor regression of B-cell malignancies when there is sustained survival of infused cells. T-memory stem cells (T SCM ) retain superior potential for long-lived persistence, but challenges exist in manufacturing this T-cell subset because they are rare among circulating lymphocytes. We report a clinically relevant approach to generating CAR + T cells with preserved T SCM potential using the Sleeping Beauty platform. Because IL-15 is fundamental to T-cell memory, we incorporated its costimulatory properties by coexpressing CAR with a membrane-bound chimeric IL-15 (mbIL15). The mbIL15-CAR T cells signaled through signal transducer and activator of transcription 5 to yield improved T-cell persistence independent of CAR signaling, without apparent autonomous growth or transformation, and achieved potent rejection of CD19 + leukemia. Long-lived T cells were CD45RO neg CCR7 + CD95 + , phenotypically most similar to T SCM , and possessed a memory-like transcriptional profile. Overall, these results demonstrate that CAR + T cells can develop long-term persistence with a memory stem-cell phenotype sustained by signaling through mbIL15. This observation warrants evaluation in clinical trials.

  6. Transistor-based particle detection systems and methods

    Science.gov (United States)

    Jain, Ankit; Nair, Pradeep R.; Alam, Muhammad Ashraful

    2015-06-09

    Transistor-based particle detection systems and methods may be configured to detect charged and non-charged particles. Such systems may include a supporting structure contacting a gate of a transistor and separating the gate from a dielectric of the transistor, and the transistor may have a near pull-in bias and a sub-threshold region bias to facilitate particle detection. The transistor may be configured to change current flow through the transistor in response to a change in stiffness of the gate caused by securing of a particle to the gate, and the transistor-based particle detection system may configured to detect the non-charged particle at least from the change in current flow.

  7. AM06: the Associative Memory chip for the Fast TracKer in the upgraded ATLAS detector

    CERN Document Server

    Annovi, Alberto; The ATLAS collaboration; Calderini, Giovanni; Crescioli, Francesco

    2016-01-01

    \\abstract{This paper describes the AM06 chip, which is a highly parallel processor for pattern recognition in high energy physics experiments. It contains memory banks that store data organized in 18 bit words; a group of 8 words is called ``pattern''. Each AM06 chip can store up to 2$^{17}$ patterns. The AM06 integrates serializer/deserializer IP blocks at 2 Gbit/s for input/output communication, to avoid routing congestion at the board level. The AM06 is a complex chip. It has been designed in 65 nm CMOS, combining full-custom memory arrays, standard logic cells and IP blocks. It occupies a silicon area of 168 mm$^2$ and contains 421 millions transistors. The AM06 can perform bitwise comparison at a rate of 100 kHz. Thanks to the XORAM cell and to the design optimization, the AM06 consumes about 1 fJ/bit per comparison. The AM06 has been fabricated and successfully tested with a dedicated test system.

  8. Specifically activated memory T cell subsets from cancer patients recognize and reject xenotransplanted autologous tumors

    Science.gov (United States)

    Beckhove, Philipp; Feuerer, Markus; Dolenc, Mathias; Schuetz, Florian; Choi, Carmen; Sommerfeldt, Nora; Schwendemann, Jochen; Ehlert, Katrin; Altevogt, Peter; Bastert, Gunther; Schirrmacher, Volker; Umansky, Viktor

    2004-01-01

    Bone marrow of breast cancer patients was found to contain CD8+ T cells specific for peptides derived from breast cancer–associated proteins MUC1 and Her-2/neu. Most of these cells had a central or effector memory phenotype (CD45RA–CD62L+ or CD45RA–CD62L–, respectively). To test their in vivo function, we separated bone marrow–derived CD45RA+ naive or CD45RA–CD45RO+ memory T cells, stimulated them with autologous dendritic cells pulsed with tumor lysate, and transferred them into NOD/SCID mice bearing autologous breast tumors and normal skin transplants. CD45RA– memory but not CD45RA+ naive T cells infiltrated autologous tumor but not skin tissues after the transfer. These tumor-infiltrating cells had a central or effector memory phenotype and produced perforin. Many of them expressed the P-selectin glycoprotein ligand 1 and were found around P-selectin+ tumor endothelium. Tumor infiltration included cluster formation in tumor tissue by memory T cells with cotransferred dendritic cells. It was associated with the induction of tumor cell apoptosis and significant tumor reduction. We thus demonstrate selective homing of memory T cells to human tumors and suggest that tumor rejection is based on the recognition of tumor-associated antigens on tumor cells and dendritic cells by autologous specifically activated central and effector memory T cells. PMID:15232613

  9. Electron irradiation of power transistors

    International Nuclear Information System (INIS)

    Hower, P.L.; Fiedor, R.J.

    1982-01-01

    A method for reducing storage time and gain parameters in a semiconductor transistor includes the step of subjecting the transistor to electron irradiation of a dosage determined from measurements of the parameters of a test batch of transistors. Reduction of carrier lifetime by proton bombardment and gold doping is mentioned as an alternative to electron irradiation. (author)

  10. Freeze-thaw lysates of Plasmodium falciparum-infected red blood cells induce differentiation of functionally competent regulatory T cells from memory T cells.

    Science.gov (United States)

    Finney, Olivia C; Lawrence, Emma; Gray, Alice P; Njie, Madi; Riley, Eleanor M; Walther, Michael

    2012-07-01

    In addition to naturally occurring regulatory T (nTreg) cells derived from the thymus, functionally competent Treg cells can be induced in vitro from peripheral blood lymphocytes in response to TCR stimulation with cytokine costimulation. Using these artificial stimulation conditions, both naïve as well as memory CD4(+) T cells can be converted into induced Treg (iTreg) cells, but the cellular origin of such iTreg cells in vivo or in response to more physiologic stimulation with pathogen-derived antigens is less clear. Here, we demonstrate that a freeze/thaw lysate of Plasmodium falciparum schizont extract (PfSE) can induce functionally competent Treg cells from peripheral lymphocytes in a time- and dose-dependent manner without the addition of exogenous costimulatory factors. The PfSE-mediated induction of Treg cells required the presence of nTreg cells in the starting culture. Further experiments mixing either memory or naïve T cells with antigen presenting cells and CFSE-labeled Treg cells identified CD4(+) CD45RO(+) CD25(-) memory T cells rather than Treg cells as the primary source of PfSE-induced Treg cells. Taken together, these data suggest that in the presence of nTreg cells, PfSE induces memory T cells to convert into iTreg cells that subsequently expand alongside PfSE-induced effector T cells. © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Attrition of memory CD8 T cells during sepsis requires LFA-1.

    Science.gov (United States)

    Serbanescu, Mara A; Ramonell, Kimberly M; Hadley, Annette; Margoles, Lindsay M; Mittal, Rohit; Lyons, John D; Liang, Zhe; Coopersmith, Craig M; Ford, Mandy L; McConnell, Kevin W

    2016-11-01

    CD8 T cell loss and dysfunction have been implicated in the increased susceptibility to opportunistic infections during the later immunosuppressive phase of sepsis, but CD8 T cell activation and attrition in early sepsis remain incompletely understood. With the use of a CLP model, we assessed CD8 T cell activation at 5 consecutive time points and found that activation after sepsis results in a distinct phenotype (CD69 + CD25 int CD62L HI ) independent of cognate antigen recognition and TCR engagement and likely through bystander-mediated cytokine effects. Additionally, we observed that sepsis concurrently results in the preferential depletion of a subset of memory-phenotype CD8 T cells that remain "unactivated" (i.e., fail to up-regulate activation markers) by apoptosis. Unactivated CD44 HI OT-I cells were spared from sepsis-induced attrition, as were memory-phenotype CD8 T cells of mice treated with anti-LFA-1 mAb, 1 h after CLP. Perhaps most importantly, we demonstrate that attrition of memory phenotype cells may have a pathologic significance, as elevated IL-6 levels were associated with decreased numbers of memory-phenotype CD8 T cells in septic mice, and preservation of this subset after administration of anti-LFA-1 mAb conferred improved survival at 7 d. Taken together, these data identify potentially modifiable responses of memory-phenotype CD8 T cells in early sepsis and may be particularly important in the application of immunomodulatory therapies in sepsis. © Society for Leukocyte Biology.

  12. Posttraining ablation of adult-generated olfactory granule cells degrades odor-reward memories.

    Science.gov (United States)

    Arruda-Carvalho, Maithe; Akers, Katherine G; Guskjolen, Axel; Sakaguchi, Masanori; Josselyn, Sheena A; Frankland, Paul W

    2014-11-19

    Proliferation of neural progenitor cells in the subventricular zone leads to the continuous generation of new olfactory granule cells (OGCs) throughout life. These cells synaptically integrate into olfactory bulb circuits after ∼2 weeks and transiently exhibit heightened plasticity and responses to novel odors. Although these observations suggest that adult-generated OGCs play important roles in olfactory-related memories, global suppression of olfactory neurogenesis does not typically prevent the formation of odor-reward memories, perhaps because residual OGCs can compensate. Here, we used a transgenic strategy to selectively ablate large numbers of adult-generated OGCs either before or after learning in mice. Consistent with previous studies, pretraining ablation of adult-generated OGCs did not prevent the formation of an odor-reward memory, presumably because existing OGCs can support memory formation in their absence. However, ablation of a similar cohort of adult-generated OGCs after training impaired subsequent memory expression, indicating that if these cells are available at the time of training, they play an essential role in subsequent expression of odor-reward memories. Memory impairment was associated with the loss of adult-generated OGCs that were >10 d in age and did not depend on the developmental stage in which they were generated, suggesting that, once sufficiently mature, OGCs generated during juvenility and adulthood play similar roles in the expression of odor-reward memories. Finally, ablation of adult-generated OGCs 1 month after training did not produce amnesia, indicating that adult-generated OGCs play a time-limited role in the expression of odor-reward memories. Copyright © 2014 the authors 0270-6474/14/3415793-11$15.00/0.

  13. Functional memory B cells and long-lived plasma cells are generated after a single Plasmodium chabaudi infection in mice.

    Directory of Open Access Journals (Sweden)

    Francis Maina Ndungu

    2009-12-01

    Full Text Available Antibodies have long been shown to play a critical role in naturally acquired immunity to malaria, but it has been suggested that Plasmodium-specific antibodies in humans may not be long lived. The cellular mechanisms underlying B cell and antibody responses are difficult to study in human infections; therefore, we have investigated the kinetics, duration and characteristics of the Plasmodium-specific memory B cell response in an infection of P. chabaudi in mice. Memory B cells and plasma cells specific for the C-terminal region of Merozoite Surface Protein 1 were detectable for more than eight months following primary infection. Furthermore, a classical memory response comprised predominantly of the T-cell dependent isotypes IgG2c, IgG2b and IgG1 was elicited upon rechallenge with the homologous parasite, confirming the generation of functional memory B cells. Using cyclophosphamide treatment to discriminate between long-lived and short-lived plasma cells, we demonstrated long-lived cells secreting Plasmodium-specific IgG in both bone marrow and in spleens of infected mice. The presence of these long-lived cells was independent of the presence of chronic infection, as removal of parasites with anti-malarial drugs had no impact on their numbers. Thus, in this model of malaria, both functional Plasmodium-specific memory B cells and long-lived plasma cells can be generated, suggesting that defects in generating these cell populations may not be the reason for generating short-lived antibody responses.

  14. Review on thin-film transistor technology, its applications, and possible new applications to biological cells

    Science.gov (United States)

    Tixier-Mita, Agnès; Ihida, Satoshi; Ségard, Bertrand-David; Cathcart, Grant A.; Takahashi, Takuya; Fujita, Hiroyuki; Toshiyoshi, Hiroshi

    2016-04-01

    This paper presents a review on state-of-the-art of thin-film transistor (TFT) technology and its wide range of applications, not only in liquid crystal displays (TFT-LCDs), but also in sensing devices. The history of the evolution of the technology is first given. Then the standard applications of TFT-LCDs, and X-ray detectors, followed by state-of-the-art applications in the field of chemical and biochemical sensing are presented. TFT technology allows the fabrication of dense arrays of independent and transparent microelectrodes on large glass substrates. The potential of these devices as electrical substrates for biological cell applications is then described. The possibility of using TFT array substrates as new tools for electrical experiments on biological cells has been investigated for the first time by our group. Dielectrophoresis experiments and impedance measurements on yeast cells are presented here. Their promising results open the door towards new applications of TFT technology.

  15. Evaluation of profile and functionality of memory T cells in pulmonary tuberculosis.

    Science.gov (United States)

    Tonaco, Marcela M; Moreira, Jôsimar D; Nunes, Fernanda F C; Loures, Cristina M G; Souza, Larissa R; Martins, Janaina M; Silva, Henrique R; Porto, Arthur Henrique R; Toledo, Vicente Paulo C P; Miranda, Silvana S; Guimarães, Tânia Mara P D

    2017-12-01

    The cells T CD4+ T and CD8+ can be subdivided into phenotypes naïve, T of central memory, T of effector memory and effector, according to the expression of surface molecules CD45RO and CD27. The T lymphocytes are cells of long life with capacity of rapid expansion and function, after a new antigenic exposure. In tuberculosis, it was found that specific memory T cells are present, however, gaps remain about the role of such cells in the disease immunology. In this study, the phenotypic profile was analyzed and characterized the functionality of CD4+ T lymphocytes and CD8+ T cells of memory and effector, in response to specific stimuli in vitro, in patients with active pulmonary TB, compared to individuals with latent infection with Mycobacterium tuberculosis the ones treated with pulmonary TB. It was observed that the group of patients with active pulmonary tuberculosis was the one which presented the highest proportion of cells T CD4+ of central memory IFN-ɣ+ e TNF-α+, suggesting that in TB, these T of central memory cells would have a profile of protective response, being an important target of study for the development of more effective vaccines; this group also developed lower proportion of CD8+ T effector lymphocytes than the others, a probable cause of specific and less effective response against the bacillus in these individuals; the ones treated for pulmonary tuberculosis were those who developed higher proportion of T CD4+ of memory central IL-17+ cells, indicating that the stimulation of long duration, with high antigenic load, followed by elimination of the pathogen, contribute to more significant generation of such cells; individuals with latent infection by M. tuberculosis and treated for pulmonary tuberculosis, showed greater response of CD8+ T effector lymphocytes IFN-ɣ+ than the controls, suggesting that these cells, as well as CD4+ T lymphocytes, have crucial role of protection against M. tuberculosis. These findings have contributed to a better

  16. High density submicron magnetoresistive random access memory (invited)

    Science.gov (United States)

    Tehrani, S.; Chen, E.; Durlam, M.; DeHerrera, M.; Slaughter, J. M.; Shi, J.; Kerszykowski, G.

    1999-04-01

    Various giant magnetoresistance material structures were patterned and studied for their potential as memory elements. The preferred memory element, based on pseudo-spin valve structures, was designed with two magnetic stacks (NiFeCo/CoFe) of different thickness with Cu as an interlayer. The difference in thickness results in dissimilar switching fields due to the shape anisotropy at deep submicron dimensions. It was found that a lower switching current can be achieved when the bits have a word line that wraps around the bit 1.5 times. Submicron memory elements integrated with complementary metal-oxide-semiconductor (CMOS) transistors maintained their characteristics and no degradation to the CMOS devices was observed. Selectivity between memory elements in high-density arrays was demonstrated.

  17. The point of practical use for the transistor circuit

    International Nuclear Information System (INIS)

    1996-01-01

    This is comprised of eight chapters and goes as follows; what is transistor? the first step for use of transistor such as connection between power and signal source, static characteristic of transistor and equivalent circuit of transistor, design of easy small-signal amplifier circuit, design for amplification of electric power and countermeasure for prevention of trouble, transistor concerned interface, transistor circuit around micro computer, transistor in active use of FET and power circuit and transistor. It has an appendix on transistor and design of bias of FET circuits like small signal transistor circuit and FET circuit.

  18. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  19. Physical limits of silicon transistors and circuits

    International Nuclear Information System (INIS)

    Keyes, Robert W

    2005-01-01

    A discussion on transistors and electronic computing including some history introduces semiconductor devices and the motivation for miniaturization of transistors. The changing physics of field-effect transistors and ways to mitigate the deterioration in performance caused by the changes follows. The limits of transistors are tied to the requirements of the chips that carry them and the difficulties of fabricating very small structures. Some concluding remarks about transistors and limits are presented

  20. Influence of non-adherent yeast cells on electrical characteristics of diamond-based field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Procházka, Václav, E-mail: prochazkav@fzu.cz [Faculty of Electrical Engineering, Czech Technical University in Prague, Technická 2, 16627 Prague (Czech Republic); Institute of Physics, The Czech Academy of Sciences, Cukrovarnická 10/112, 162 00 Prague (Czech Republic); Cifra, Michal [Institute of Photonics and Electronics, The Czech Academy of Sciences, Chaberská 57, 182 51 Prague (Czech Republic); Kulha, Pavel [Faculty of Electrical Engineering, Czech Technical University in Prague, Technická 2, 16627 Prague (Czech Republic); Institute of Physics, The Czech Academy of Sciences, Cukrovarnická 10/112, 162 00 Prague (Czech Republic); Ižák, Tibor [Institute of Physics, The Czech Academy of Sciences, Cukrovarnická 10/112, 162 00 Prague (Czech Republic); Rezek, Bohuslav [Faculty of Electrical Engineering, Czech Technical University in Prague, Technická 2, 16627 Prague (Czech Republic); Institute of Physics, The Czech Academy of Sciences, Cukrovarnická 10/112, 162 00 Prague (Czech Republic); Kromka, Alexander [Institute of Physics, The Czech Academy of Sciences, Cukrovarnická 10/112, 162 00 Prague (Czech Republic); Faculty of Civil Engineering, Czech Technical University in Prague, Thákurova 7, 16629 Prague (Czech Republic)

    2017-02-15

    Highlights: • Interaction of non-adherent yeast cells with H-terminated diamond described. • Effect of cell culture solutions on H-diamond SGFET (positive potential shifts). • H-diamond sensitive to metabolic activity of yeast cells (negative potential shift). - Abstract: Diamond thin films provide unique features as substrates for cell cultures and as bio-electronic sensors. Here we employ solution-gated field effect transistors (SGFET) based on nanocrystalline diamond thin films with H-terminated surface which exhibits the sub-surface p-type conductive channel. We study an influence of yeast cells (Saccharomyces cerevisiae) on electrical characteristics of the diamond SGFETs. Two different cell culture solutions (sucrose and yeast peptone dextrose–YPD) are used, with and without the cells. We have found that transfer characteristics of the SGFETs exhibit a negative shift of the gate voltage by −26 mV and −42 mV for sucrose and YPD with cells in comparison to blank solutions without the cells. This effect is attributed to a local pH change in close vicinity of the H-terminated diamond surface due to metabolic processes of the yeast cells. The pH sensitivity of the diamond-based SGFETs, the role of cell and protein adhesion on the gate surface and the role of negative surface charge of yeast cells on the SGFETs electrical characteristics are discussed as well.

  1. Influence of non-adherent yeast cells on electrical characteristics of diamond-based field-effect transistors

    International Nuclear Information System (INIS)

    Procházka, Václav; Cifra, Michal; Kulha, Pavel; Ižák, Tibor; Rezek, Bohuslav; Kromka, Alexander

    2017-01-01

    Highlights: • Interaction of non-adherent yeast cells with H-terminated diamond described. • Effect of cell culture solutions on H-diamond SGFET (positive potential shifts). • H-diamond sensitive to metabolic activity of yeast cells (negative potential shift). - Abstract: Diamond thin films provide unique features as substrates for cell cultures and as bio-electronic sensors. Here we employ solution-gated field effect transistors (SGFET) based on nanocrystalline diamond thin films with H-terminated surface which exhibits the sub-surface p-type conductive channel. We study an influence of yeast cells (Saccharomyces cerevisiae) on electrical characteristics of the diamond SGFETs. Two different cell culture solutions (sucrose and yeast peptone dextrose–YPD) are used, with and without the cells. We have found that transfer characteristics of the SGFETs exhibit a negative shift of the gate voltage by −26 mV and −42 mV for sucrose and YPD with cells in comparison to blank solutions without the cells. This effect is attributed to a local pH change in close vicinity of the H-terminated diamond surface due to metabolic processes of the yeast cells. The pH sensitivity of the diamond-based SGFETs, the role of cell and protein adhesion on the gate surface and the role of negative surface charge of yeast cells on the SGFETs electrical characteristics are discussed as well.

  2. Single-Walled Carbon-Nanotubes-Based Organic Memory Structures

    Directory of Open Access Journals (Sweden)

    Sundes Fakher

    2016-09-01

    Full Text Available The electrical behaviour of organic memory structures, based on single-walled carbon-nanotubes (SWCNTs, metal–insulator–semiconductor (MIS and thin film transistor (TFT structures, using poly(methyl methacrylate (PMMA as the gate dielectric, are reported. The drain and source electrodes were fabricated by evaporating 50 nm gold, and the gate electrode was made from 50 nm-evaporated aluminium on a clean glass substrate. Thin films of SWCNTs, embedded within the insulating layer, were used as the floating gate. SWCNTs-based memory devices exhibited clear hysteresis in their electrical characteristics (capacitance–voltage (C–V for MIS structures, as well as output and transfer characteristics for transistors. Both structures were shown to produce reliable and large memory windows by virtue of high capacity and reduced charge leakage. The hysteresis in the output and transfer characteristics, the shifts in the threshold voltage of the transfer characteristics, and the flat-band voltage shift in the MIS structures were attributed to the charging and discharging of the SWCNTs floating gate. Under an appropriate gate bias (1 s pulses, the floating gate is charged and discharged, resulting in significant threshold voltage shifts. Pulses as low as 1 V resulted in clear write and erase states.

  3. Bystander chronic infection negatively impacts development of CD8+ T cell memory

    Science.gov (United States)

    Stelekati, Erietta; Shin, Haina; Doering, Travis A.; Dolfi, Douglas V.; Ziegler, Carly G.; Beiting, Daniel P.; Dawson, Lucas; Liboon, Jennifer; Wolski, David; Ali, Mohammed-Alkhatim A.; Katsikis, Peter D.; Shen, Hao; Roos, David S.; Haining, W. Nicholas; Lauer, Georg M.; Wherry, E. John

    2014-01-01

    Summary Epidemiological evidence suggests that chronic infections impair immune responses to unrelated pathogens and vaccines. The underlying mechanisms, however, are unclear and distinguishing effects on priming versus development of immunological memory has been challenging. We investigated whether bystander chronic infections impact differentiation of memory CD8+ T cells, the hallmark of protective immunity against intracellular pathogens. Chronic bystander infections impaired development of memory CD8+ T cells in several mouse models and humans. These effects were independent of initial priming and were associated with chronic inflammatory signatures. Chronic inflammation negatively impacted the number of bystander CD8+ T cells and their memory development. Distinct underlying mechanisms of altered survival and differentiation were revealed with the latter regulated by the transcription factors T-bet and Blimp-1. Thus, exposure to prolonged bystander inflammation impairs the effector to memory transition. These data have relevance for immunity and vaccination during persisting infections and chronic inflammation. PMID:24837104

  4. Phase transition transistors based on strongly-correlated materials

    Science.gov (United States)

    Nakano, Masaki

    2013-03-01

    The field-effect transistor (FET) provides electrical switching functions through linear control of the number of charges at a channel surface by external voltage. Controlling electronic phases of condensed matters in a FET geometry has long been a central issue of physical science. In particular, FET based on a strongly correlated material, namely ``Mott transistor,'' has attracted considerable interest, because it potentially provides gigantic and diverse electronic responses due to a strong interplay between charge, spin, orbital and lattice. We have investigated electric-field effects on such materials aiming at novel physical phenomena and electronic functions originating from strong correlation effects. Here we demonstrate electrical switching of bulk state of matter over the first-order metal-insulator transition. We fabricated FETs based on VO2 with use of a recently developed electric-double-layer transistor technique, and found that the electrostatically induced carriers at a channel surface drive all preexisting localized carriers of 1022 cm-3 even inside a bulk to motion, leading to bulk carrier delocalization beyond the electrostatic screening length. This non-local switching of bulk phases is achieved with just around 1 V, and moreover, a novel non-volatile memory like character emerges in a voltage-sweep measurement. These observations are apparently distinct from those of conventional FETs based on band insulators, capturing the essential feature of collective interactions in strongly correlated materials. This work was done in collaboration with K. Shibuya, D. Okuyama, T. Hatano, S. Ono, M. Kawasaki, Y. Iwasa, and Y. Tokura. This work was supported by the Japan Society for the Promotion of Science (JSAP) through its ``Funding Program for World-Leading Innovative R&D on Science and Technology (FIRST Program).''

  5. Low standby leakage 12T SRAM cell characterisation

    Science.gov (United States)

    Yadav, Arjun; Nakhate, Sangeeta

    2016-09-01

    In this work, a low power and variability-aware static random access memory (SRAM) architecture based on a twelve-transistor (12T) cell is proposed. This cell obtains low static power dissipation due to a parallel global latch (G-latch) and storage latch (S-latch), along with a global wordline (GWL), which offer a high cell ratio and pull-up ratio for reliable read and write operations and a low cell ratio and pull-up ratio during idle mode to reduce the standby power dissipation. In the idle state, only the S-latch stores bits, while the G-latch is isolated from the S-latch and the GWL is deactivated. The leakage power consumption of the proposed SRAM cell is thereby reduced by 38.7% compared to that of the conventional six-transistor (6T) SRAM cell. This paper evaluates the impact of the chip supply voltage and surrounding temperature variations on the standby leakage power and observes considerable improvement in the power dissipation. The read/write access delay, read static noise margin (SNM) and write SNM were evaluated, and the results were compared with those of the standard 6T SRAM cell. The proposed cell, when compared with the existing cell using the Monte Carlo method, shows an appreciable improvement in the standby power dissipation and layout area.

  6. Niches for the Long-Term Maintenance of Tissue-Resident Memory T Cells

    Science.gov (United States)

    Takamura, Shiki

    2018-01-01

    Tissue-resident memory T cells (TRM cells) are a population of immune cells that reside in the lymphoid and non-lymphoid organs without recirculation through the blood. These important cells occupy and utilize unique anatomical and physiological niches that are distinct from those for other memory T cell populations, such as central memory T cells in the secondary lymphoid organs and effector memory T cells that circulate through the tissues. CD8+ TRM cells typically localize in the epithelial layers of barrier tissues where they are optimally positioned to act as sentinels to trigger antigen-specific protection against reinfection. CD4+ TRM cells typically localize below the epithelial layers, such as below the basement membrane, and cluster in lymphoid structures designed to optimize interactions with antigen-presenting cells upon reinfection. A key feature of TRM populations is their ability to be maintained in barrier tissues for prolonged periods of time. For example, skin CD8+ TRM cells displace epidermal niches originally occupied by γδ T cells, thereby enabling their stable persistence for years. It is also clear that the long-term maintenance of TRM cells in different microenvironments is dependent on multiple tissue-specific survival cues, although the specific details are poorly understood. However, not all TRM persist over the long term. Recently, we identified a new spatial niche for the maintenance of CD8+ TRM cells in the lung, which is created at the site of tissue regeneration after injury [termed repair-associated memory depots (RAMD)]. The short-lived nature of RAMD potentially explains the short lifespans of CD8+ TRM cells in this particular tissue. Clearly, a better understanding of the niche-dependent maintenance of TRM cells will be important for the development of vaccines designed to promote barrier immunity. In this review, we discuss recent advances in our understanding of the properties and nature of tissue-specific niches that

  7. AM06: the Associative Memory chip for the Fast TracKer in the upgraded ATLAS detector

    International Nuclear Information System (INIS)

    Annovi, A.; Beretta, M. M.; Calderini, G.; Crescioli, F.; Frontini, L.; Liberali, V.; Shojaii, S.R.; Stabile, A.

    2017-01-01

    This paper describes the AM06 chip, which is a highly parallel processor for pattern recognition in the ATLAS high energy physics experiment. The AM06 contains memory banks that store data organized in 18 bit words; a group of 8 words is called 'pattern'. Each AM06 chip can store up to 131 072 patterns. The AM06 is a large chip, designed in 65 nm CMOS, and it combines full-custom memory arrays, standard logic cells and serializer/deserializer IP blocks at 2 Gbit/s for input/output communication. The overall silicon area is 168 mm 2 and the chip contains about 421 million transistors. The AM06 receives the detector data for each event accepted by Level-1 trigger, up to 100 kHz, and it performs a track reconstruction based on hit information from channels of the ATLAS silicon detectors. Thanks to the design of a new associative memory cell and to the layout optimization, the AM06 consumption is only about 1 fJ/bit per comparison. The AM06 has been fabricated and successfully tested with a dedicated test system.

  8. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  9. Scalable printed electronics: an organic decoder addressing ferroelectric non-volatile memory

    Science.gov (United States)

    Ng, Tse Nga; Schwartz, David E.; Lavery, Leah L.; Whiting, Gregory L.; Russo, Beverly; Krusor, Brent; Veres, Janos; Bröms, Per; Herlogsson, Lars; Alam, Naveed; Hagel, Olle; Nilsson, Jakob; Karlsson, Christer

    2012-01-01

    Scalable circuits of organic logic and memory are realized using all-additive printing processes. A 3-bit organic complementary decoder is fabricated and used to read and write non-volatile, rewritable ferroelectric memory. The decoder-memory array is patterned by inkjet and gravure printing on flexible plastics. Simulation models for the organic transistors are developed, enabling circuit designs tolerant of the variations in printed devices. We explain the key design rules in fabrication of complex printed circuits and elucidate the performance requirements of materials and devices for reliable organic digital logic. PMID:22900143

  10. Autoreactive T effector memory differentiation mirrors β-cell function in type 1 diabetes.

    Science.gov (United States)

    Yeo, Lorraine; Woodwyk, Alyssa; Sood, Sanjana; Lorenc, Anna; Eichmann, Martin; Pujol-Autonell, Irma; Melchiotti, Rossella; Skowera, Ania; Fidanis, Efthymios; Dolton, Garry M; Tungatt, Katie; Sewell, Andrew K; Heck, Susanne; Saxena, Alka; Beam, Craig A; Peakman, Mark

    2018-05-31

    In type 1 diabetes, cytotoxic CD8 T cells with specificity for β-cell autoantigens are found in the pancreatic islets where they are implicated in the destruction of insulin-secreting β cells. In contrast, the disease relevance of β-cell-reactive CD8 T cells that are detectable in the circulation, and their relationship to β-cell function, are not known. Here, we tracked multiple, circulating β-cell-reactive CD8 T cell subsets and measured β-cell function longitudinally for two years, starting immediately after diagnosis of type 1 diabetes. We found that change in β-cell-specific effector memory CD8 T cells expressing CD57 was positively correlated with C-peptide change in subjects below 12 years of age. Autoreactive CD57+ effector memory CD8 T cells bore the signature of enhanced effector function (higher expression of granzyme B, killer specific protein 37 and CD16, and reduced expression of CD28) compared with their CD57-negative counterparts, and network association modelling indicated that the dynamics of β-cell-reactive CD57+ effector memory CD8 T cell subsets were strongly linked. Thus, coordinated changes in circulating β-cell-specific CD8 T cells within the CD57+ effector memory subset calibrate to functional insulin reserve in type 1 diabetes, providing a tool for immune monitoring and a mechanism-based target for immunotherapy.

  11. The role of natural killer T cells in dendritic cell licensing, cross-priming and memory CD8+ T cell generation

    Directory of Open Access Journals (Sweden)

    Catherine eGottschalk

    2015-07-01

    Full Text Available New vaccination strategies focus on achieving CD8+ T cell (CTL immunity rather than on induction of protective antibody responses. While the requirement of CD4+ T (Th cell help in dendritic cell (DC activation and licensing, and in CTL memory induction has been described in several disease models, CTL responses may occur in a Th cell help independent manner. Natural Killer T cells (NKT cells can substitute for Th cell help and license DC as well. NKT cells produce a broad spectrum of Th1 and Th2 cytokines, thereby inducing a similar set of costimulatory molecules and cytokines in DC. This form of licensing differs from Th cell help by inducing other chemokines: while Th cell licensed DC produce CCR5 ligands, NKT cell-licensed DC produce CCL17 which attracts CCR4+ CD8+ T cells for subsequent activation. It has recently been shown that iNKT cells do not only enhance immune responses against bacterial pathogens or parasites, but also play a role in viral infections. The inclusion of NKT cell ligands in Influenza virus vaccines enhanced memory CTL generation and protective immunity in a mouse model. This review will focus on the role of iNKT cells in the cross-talk with cross-priming DC and memory CD8+ T cell formation.

  12. Design and analysis of 2T-2M Ternary content addressable memories

    KAUST Repository

    Bahloul, M. A.; Fouda, M. E.; Naous, Rawan; Zidan, Mohammed A.; Eltawil, A. M.; Kurdahi, F.; Salama, Khaled N.

    2017-01-01

    Associate and approximate computing using resistive memory based Ternary Content Addressable Memory is becoming widely used. In this paper, a simplified model based analysis of a 2T2M-Ternary Content Addressable Memory using memristors is introduced. A comprehensive study is presented taking into consideration different circuit parameters and parasitic effects. Parameters such as the memristor Rh/Rl ratio, transistor technology, operating frequency, and memory width are taken into consideration. The proposed model is verified with SPICE showing a high degree of matching between theory and simulation. The utility of the model is established using a design example.

  13. Design and analysis of 2T-2M Ternary content addressable memories

    KAUST Repository

    Bahloul, M. A.

    2017-10-24

    Associate and approximate computing using resistive memory based Ternary Content Addressable Memory is becoming widely used. In this paper, a simplified model based analysis of a 2T2M-Ternary Content Addressable Memory using memristors is introduced. A comprehensive study is presented taking into consideration different circuit parameters and parasitic effects. Parameters such as the memristor Rh/Rl ratio, transistor technology, operating frequency, and memory width are taken into consideration. The proposed model is verified with SPICE showing a high degree of matching between theory and simulation. The utility of the model is established using a design example.

  14. Gut memories do not fade: epigenetic regulation of lasting gut homing receptor expression in CD4+ memory T cells.

    Science.gov (United States)

    Szilagyi, B A; Triebus, J; Kressler, C; de Almeida, M; Tierling, S; Durek, P; Mardahl, M; Szilagyi, A; Floess, S; Huehn, J; Syrbe, U; Walter, J; Polansky, J K; Hamann, A

    2017-11-01

    The concept of a "topographical memory" in lymphocytes implies a stable expression of homing receptors mediating trafficking of lymphocytes back to the tissue of initial activation. However, a significant plasticity of the gut-homing receptor α 4 β 7 was found in CD8 + T cells, questioning the concept. We now demonstrate that α 4 β 7 expression in murine CD4 + memory T cells is, in contrast, imprinted and remains stable in the absence of the inducing factor retinoic acid (RA) or other stimuli from mucosal environments. Repetitive rounds of RA treatment enhanced the stability of de novo induced α 4 β 7 . A novel enhancer element in the murine Itga4 locus was identified that showed, correlating to stability, selective DNA demethylation in mucosa-seeking memory cells and methylation-dependent transcriptional activity in a reporter gene assay. This implies that epigenetic mechanisms contribute to the stabilization of α 4 β 7 expression. Analogous DNA methylation patterns could be observed in the human ITGA4 locus, suggesting that its epigenetic regulation is conserved between mice and men. These data prove that mucosa-specific homing mediated by α 4 β 7 is imprinted in CD4 + memory T cells, reinstating the validity of the concept of "topographical memory" for mucosal tissues, and imply a critical role of epigenetic mechanisms.

  15. Tissue-specific B-cell dysfunction and generalized memory B-cell loss during acute SIV infection.

    Directory of Open Access Journals (Sweden)

    Sandrine Peruchon

    Full Text Available BACKGROUND: Primary HIV-infected patients display severe and irreversible damage to different blood B-cell subsets which is not restored by highly efficient anti-retroviral therapy (HAART. Because longitudinal investigations of primary HIV-infection is limited by the availability of lymphoid organs, we studied the tissue-specific B-cell dysfunctions in acutely simian immunodeficiency virus (SIV mac251-infected Cynomolgus macaques. METHODS AND FINDINGS: Experiments were performed on three groups of macaques infected for 14, 21 or 28 days and on three groups of animals treated with HAART for two-weeks either initiated at 4 h, 7 or 14 days post-infection (p.i.. We have simultaneously compared changes in B-cell phenotypes and functions and tissue organization of B-cell areas in various lymphoid organs. We showed that SIV induced a steady decline in SIgG-expressing memory (SIgD(-CD27(+ B-cells in spleen and lymph nodes during the first 4 weeks of infection, concomitant to selective homing/sequestration of B-cells to the small intestine and spleen. SIV non-specific Ig production was transiently increased before D14p.i., whereas SIV-specific Ig production was only detectable after D14p.i., coinciding with the presence of CD8(+ T-cells and IgG-expressing plasma cells within germinal centres. Transient B-cell apoptosis on D14p.i. and commitment to terminal differentiation contributed to memory B-cell loss. HAART abrogated B-cell apoptosis, homing to the small intestine and SIV-specific Ig production but had minimal effect on early Ig production, increased B-cell proportions in spleen and loss of memory B-cells. Therefore, virus-B-cell interactions and SIV-induced inflammatory cytokines may differently contribute to early B-cell dysfunction and impaired SIV/HIV-specific antibody response. CONCLUSIONS: These data establish tissue-specific impairments in B-cell trafficking and functions and a generalized and steady memory B-cell loss in secondary lymphoid

  16. Human Memory B Cells in Healthy Gingiva, Gingivitis, and Periodontitis.

    Science.gov (United States)

    Mahanonda, Rangsini; Champaiboon, Chantrakorn; Subbalekha, Keskanya; Sa-Ard-Iam, Noppadol; Rattanathammatada, Warattaya; Thawanaphong, Saranya; Rerkyen, Pimprapa; Yoshimura, Fuminobu; Nagano, Keiji; Lang, Niklaus P; Pichyangkul, Sathit

    2016-08-01

    The presence of inflammatory infiltrates with B cells, specifically plasma cells, is the hallmark of periodontitis lesions. The composition of these infiltrates in various stages of homeostasis and disease development is not well documented. Human tissue biopsies from sites with gingival health (n = 29), gingivitis (n = 8), and periodontitis (n = 21) as well as gingival tissue after treated periodontitis (n = 6) were obtained and analyzed for their composition of B cell subsets. Ag specificity, Ig secretion, and expression of receptor activator of NF-κB ligand and granzyme B were performed. Although most of the B cell subsets in healthy gingiva and gingivitis tissues were CD19(+)CD27(+)CD38(-) memory B cells, the major B cell component in periodontitis was CD19(+)CD27(+)CD38(+)CD138(+)HLA-DR(low) plasma cells, not plasmablasts. Plasma cell aggregates were observed at the base of the periodontal pocket and scattered throughout the gingiva, especially apically toward the advancing front of the lesion. High expression of CXCL12, a proliferation-inducing ligand, B cell-activating factor, IL-10, IL-6, and IL-21 molecules involved in local B cell responses was detected in both gingivitis and periodontitis tissues. Periodontitis tissue plasma cells mainly secreted IgG specific to periodontal pathogens and also expressed receptor activator of NF-κB ligand, a bone resorption cytokine. Memory B cells resided in the connective tissue subjacent to the junctional epithelium in healthy gingiva. This suggested a role of memory B cells in maintaining periodontal homeostasis. Copyright © 2016 by The American Association of Immunologists, Inc.

  17. Memory of Natural Killer Cells: A New Chance against Mycobacterium tuberculosis?

    Directory of Open Access Journals (Sweden)

    José Alberto Choreño Parra

    2017-08-01

    Full Text Available Natural killer (NK cells are lymphocytes of the innate immune system, which play an important role in the initial defense against a wide variety of pathogens, including viruses and intracellular bacteria. NK cells produce cytokines that enhance immune responses directed toward pathogens and also exert cytotoxic activity against infected cells, thereby eliminating the reservoir of infection. Their role in defense against Mycobacterium tuberculosis (Mtb has been recently studied, and there is increasing evidence that highlight the importance of NK cell function during pulmonary tuberculosis (PTB, especially in the absence of optimal T-cell responses. Additionally, in the last years, it has been observed that NK cells mediate secondary responses against antigens to which they were previously exposed, an ability classically attributed to lymphocytes of the adaptive branch of immunity. This phenomenon, called “innate memory,” could have important implications in the efforts to develop therapies and vaccines to improve the initial phases of immune reactions against different microorganisms, especially those to which there is not yet available vaccines to prevent infection, as is the case for tuberculosis. Therefore, the possibility of inducing memory-like NK cells ready to act prior to contact with Mtb or during the earliest stages of infection becomes quite interesting. However, our understanding of the mechanisms of innate memory remains incomplete. Here, we review recent literature about the mechanisms involved in the formation and maintenance of NK cell memory and the role of these cells in the immune response during tuberculosis. Finally, we discuss if the current evidence is sufficient to substantiate that NK cells exert more rapid and robust secondary responses after consecutive encounters with Mtb.

  18. Nanoneedle transistor-based sensors for the selective detection of intracellular calcium ions.

    Science.gov (United States)

    Son, Donghee; Park, Sung Young; Kim, Byeongju; Koh, Jun Tae; Kim, Tae Hyun; An, Sangmin; Jang, Doyoung; Kim, Gyu Tae; Jhe, Wonho; Hong, Seunghun

    2011-05-24

    We developed a nanoneedle transistor-based sensor (NTS) for the selective detection of calcium ions inside a living cell. In this work, a single-walled carbon nanotube-based field effect transistor (swCNT-FET) was first fabricated at the end of a glass nanopipette and functionalized with Fluo-4-AM probe dye. The selective binding of calcium ions onto the dye molecules altered the charge state of the dye molecules, resulting in the change of the source-drain current of the swCNT-FET as well as the fluorescence intensity from the dye. We demonstrated the electrical and fluorescence detection of the concentration change of intracellular calcium ions inside a HeLa cell using the NTS.

  19. Activated iNKT cells promote memory CD8+ T cell differentiation during viral infection.

    Directory of Open Access Journals (Sweden)

    Emma C Reilly

    Full Text Available α-Galactosylceramide (α-GalCer is the prototypical lipid ligand for invariant NKT cells. Recent studies have proposed that α-GalCer is an effective adjuvant in vaccination against a range of immune challenges, however its mechanism of action has not been completely elucidated. A variety of delivery methods have been examined including pulsing dendritic cells with α-GalCer to optimize the potential of α-GalCer. These methods are currently being used in a variety of clinical trials in patients with advanced cancer but cannot be used in the context of vaccine development against pathogens due to their complexity. Using a simple delivery method, we evaluated α-GalCer adjuvant properties, using the mouse model for cytomegalovirus (MCMV. We measured several key parameters of the immune response to MCMV, including inflammation, effector, and central memory CD8(+ T cell responses. We found that α-GalCer injection at the time of the infection decreases viral titers, alters the kinetics of the inflammatory response, and promotes both increased frequencies and numbers of virus-specific memory CD8(+ T cells. Overall, our data suggest that iNKT cell activation by α-GalCer promotes the development of long-term protective immunity through increased fitness of central memory CD8(+ T cells, as a consequence of reduced inflammation.

  20. Tumor cells and memory T cells converge at glycolysis: Therapeutic implications

    OpenAIRE

    Karthikeyan, Swathi; Geschwind, Jean-Francois; Ganapathy-Kanniappan, Shanmugasundaram

    2014-01-01

    In the immune system, activation of naïve T (Tn) cells into effector T cells (Teff) involves a metabolic switch to glycolysis to promote rapid proliferation and differentiation. In the October issue of The Journal of Clinical Investigation, Sukumar et al. have demonstrated that in CD8+ memory T (Tems) cells glycolytic phenotype contributes to the shortened lifespan of Tems. Conversely, inhibition of glycolysis in Tems not only extended their viability but also augmented desirable properties. ...

  1. Associative memory cells and their working principle in the brain [version 1; referees: 2 approved

    Directory of Open Access Journals (Sweden)

    Jin-Hui Wang

    2018-01-01

    Full Text Available The acquisition, integration and storage of exogenous associated signals are termed as associative learning and memory. The consequences and processes of associative thinking and logical reasoning based on these stored exogenous signals can be memorized as endogenous signals, which are essential for decision making, intention, and planning. Associative memory cells recruited in these primary and secondary associative memories are presumably the foundation for the brain to fulfill cognition events and emotional reactions in life, though the plasticity of synaptic connectivity and neuronal activity has been believed to be involved in learning and memory. Current reports indicate that associative memory cells are recruited by their mutual synapse innervations among co-activated brain regions to fulfill the integration, storage and retrieval of associated signals. The activation of these associative memory cells initiates information recall in the mind, and the successful activation of their downstream neurons endorses memory presentations through behaviors and emotion reactions. In this review, we aim to draw a comprehensive diagram for associative memory cells, working principle and modulation, as well as propose their roles in cognition, emotion and behaviors.

  2. Novel nano materials for high performance logic and memory devices

    Science.gov (United States)

    Das, Saptarshi

    mobility with the layer thickness. The non-monotonic trend suggests that in order to harvest the maximum potential of MoS2 for high performance device applications, a layer thickness in the range of 6-12 nm would be ideal. Finally using scandium contacts on 10nm thick exfoliated MoS2 flakes that are covered by a 15nm ALD grown Al2O3 film, record high mobility of 700cm2/Vs is achieved at room-temperature which is extremely encouraging for the design of high performance logic devices. The destructive nature of the readout process in Ferroelectric Random Access Memories (FeRAMs) is one of the major limiting factors for their wide scale commercialization. Utilizing Ferroelectric Field-Effect Transistor RAM (FeTRAM) instead solves the destructive read out problem, but at the expense of introducing crystalline ferroelectrics that are hard to integrate into CMOS. In order to address these challenges a novel, fully functional, CMOS compatible, One-Transistor-One-Transistor (1T1T) memory cell architecture using an organic ferroelectric -- PVDF-TrFE -- as the memory storage unit (gate oxide) and a silicon nanowire as the memory read out unit (channel material) is proposed and experimentally demonstrated. While evaluating the scaling potential of the above mentioned organic FeTRAM, it is found that the switching time and switching voltage of this organic copolymer PVDF-TrFE exhibits an unexpected scaling behavior as a function of the lateral device dimensions. The phenomenological theory, that explains this abnormal scaling trend, involves in-plane interchain and intrachain interaction of the copolymer - resulting in a power-law dependence of the switching field on the device area (ESW alpha ACH0.1) that is ultimately responsible for the decrease in the switching time and switching voltage. These findings are encouraging since they indicate that scaling the switching voltage and switching time without aggressively scaling the copolymer thickness occurs naturally while scaling the

  3. Dimensional effects and scalability of Meta-Stable Dip (MSD) memory effect for 1T-DRAM SOI MOSFETs

    Science.gov (United States)

    Hubert, A.; Bawedin, M.; Cristoloveanu, S.; Ernst, T.

    2009-12-01

    The difficult scaling of bulk Dynamic Random Access Memories (DRAMs) has led to various concepts of capacitor-less single-transistor (1T) architectures based on SOI transistor floating-body effects. Amongst them, the Meta-Stable Dip RAM (MSDRAM), which is a double-gate Fully Depleted SOI transistor, exhibits attractive performances. The Meta-Stable Dip effect results from the reduced junction leakage current and the long carrier generation lifetime in thin silicon film transistors. In this study, various devices with different gate lengths, widths and silicon film thicknesses have been systematically explored, revealing the impact of transistor dimensions on the MSD effect. These experimental results are discussed and validated by two-dimensional numerical simulations. It is found that MSD is maintained for small dimensions even in standard SOI MOSFETs, although specific optimizations are expected to enhance MSDRAM performances.

  4. Photo-assisted hysteresis of electronic transport for ZnO nanowire transistors

    Science.gov (United States)

    Du, Qianqian; Ye, Jiandong; Xu, Zhonghua; Zhu, Shunming; Tang, Kun; Gu, Shulin; Zheng, Youdou

    2018-03-01

    Recently, ZnO nanowire field effect transistors (FETs) have received renewed interest due to their extraordinary low dimensionality and high sensitivity to external chemical environments and illumination conditions. These prominent properties have promising potential in nanoscale chemical and photo-sensors. In this article, we have fabricated ZnO nanowire FETs and have found hysteresis behavior in their transfer characteristics. The mechanism and dynamics of the hysteresis phenomena have been investigated in detail by varying the sweeping rate and range of the gate bias with and without light irradiation. Significantly, light irradiation is of great importance on charge trapping by regulating adsorption and desorption of oxygen at the interface of ZnO/SiO2. Carriers excited by light irradiation can dramatically promote trapping/detrapping processes. With the assistance of light illumination, we have demonstrated a photon-assisted nonvolatile memory which employs the ZnO nanowire FET. The device exhibits reliable programming/erasing operations and a large on/off ratio. The proposed proto-type memory has thus provided a possible novel path for creating a memory functionality to other low-dimensional material systems.

  5. CD73 expression identifies a subset of IgM+ antigen-experienced cells with memory attributes that is T cell and CD40 signalling dependent.

    Science.gov (United States)

    D'Souza, Lucas; Gupta, Sneh Lata; Bal, Vineeta; Rath, Satyajit; George, Anna

    2017-12-01

    B-cell memory was long characterized as isotype-switched, somatically mutated and germinal centre (GC)-derived. However, it is now clear that the memory pool is a complex mixture that includes unswitched and unmutated cells. Further, expression of CD73, CD80 and CD273 has allowed the categorization of B-cell memory into multiple subsets, with combinatorial expression of the markers increasing with GC progression, isotype-switching and acquisition of somatic mutations. We have extended these findings to determine whether these markers can be used to identify IgM memory phenotypically as arising from T-dependent versus T-independent responses. We report that CD73 expression identifies a subset of antigen-experienced IgM + cells that share attributes of functional B-cell memory. This subset is reduced in the spleens of T-cell-deficient and CD40-deficient mice and in mixed marrow chimeras made with mutant and wild-type marrow, the proportion of CD73 + IgM memory is restored in the T-cell-deficient donor compartment but not in the CD40-deficient donor compartment, indicating that CD40 ligation is involved in its generation. We also report that CD40 signalling supports optimal expression of CD73 on splenic T cells and age-associated B cells (ABCs), but not on other immune cells such as neutrophils, marginal zone B cells, peritoneal cavity B-1 B cells and regulatory T and B cells. Our data indicate that in addition to promoting GC-associated memory generation during B-cell differentiation, CD40-signalling can influence the composition of the unswitched memory B-cell pool. They also raise the possibility that a fraction of ABCs may represent T-cell-dependent IgM memory. © 2017 John Wiley & Sons Ltd.

  6. Design, Characterization and Test of the Associative Memory Chip AM06 for the Fast TracKer System

    CERN Document Server

    Liberali, Valentino; The ATLAS collaboration

    2016-01-01

    We present the performance of the new Associative Memory (AM) chip, designed and manufactured in 65 nm CMOS technology. The AM06 is the 6th version of a highly parallel ASIC processor for pattern recognition in high energy physics experiments. The AM06 is based on the XORAM cell architecture, which has been specifically designed to reduce power consumption and control complexity. The AM06 is a large chip, which contains memory banks that store all data of interest. The basic unit is a word of 18 bit. A group of 8 words (each of them related to a detector layer) is called a “pattern”. Each AM06 chip stores 2^17 patterns. The AM06 integrates serializer and deserializer IP blocks (working up to 2.4 GHz), to avoid routing congestion at the board level. AM06 is a complex VLSI chip, designed combining full-custom memory arrays, standard logic cells and IP blocks. It occupies a silicon area of 168 mm^2 and it contains about 421 millions transistors. The AM06 chip is able to perform a synchronous bitwise comparis...

  7. The effects of centrally administered fluorocitrate via inhibiting glial cells on working memory in rats

    Institute of Scientific and Technical Information of China (English)

    2009-01-01

    Although prefrontal and hippocampal neurons are critical for spatial working memory,the function of glial cells in spatial working memory remains uncertain.In this study we investigated the function of glial cells in rats’ working memory.The glial cells of rat brain were inhibited by intracerebroventricular(icv) injection of fluorocitrate(FC).The effects of FC on the glial cells were examined by using electroencephalogram(EEG) recordings and delayed spatial alternation tasks.After icv injection of 10 μL of 0.5 nmol/L or 5 nmol/L FC,the EEG power spectrum recorded from the hippocampus increased,but the power spectrum for the prefrontal cortex did not change,and working memory was unaffected.Following an icv injection of 10 μL of 20 nmol/L FC,the EEG power spectra in both the prefrontal cortex and the hippocampus increased,and working memory improved.The icv injection of 10 μL of 50 nmol/L FC,the EEG power spectra in both the prefrontal cortex and in the hippocampus decreased,and working memory was impaired.These results suggest that spatial working memory is affected by centrally administered FC,but only if there are changes in the EEG power spectrum in the prefrontal cortex.Presumably,the prefrontal glial cells relate to the working memory.

  8. Power transistor module for high current applications

    International Nuclear Information System (INIS)

    Cilyo, F.F.

    1975-01-01

    One of the parts needed for the control system of the 400-GeV accelerator at Fermilab was a power transistor with a safe operating area of 1800A at 50V, dc current gain of 100,000 and 20 kHz bandwidth. Since the commercially available discrete devices and power hybrid packages did not meet these requirements, a power transistor module was developed which performed satisfactorily. By connecting 13 power transistors in parallel, with due consideration for network and heat dissipation problems, and by driving these 13 with another power transistor, a super power transistor is made, having an equivalent current, power, and safe operating area capability of 13 transistors. For higher capabilities, additional modules can be conveniently added. (auth)

  9. VHDL-based programming environment for Floating-Gate analog memory cell

    Directory of Open Access Journals (Sweden)

    Carlos Alberto dos Reis Filho

    2005-02-01

    Full Text Available An implementation in CMOS technology of a Floating-Gate Analog Memory Cell and Programming Environment is presented. A digital closed-loop control compares a reference value set by user and the memory output and after cycling, the memory output is updated and the new value stored. The circuit can be used as analog trimming for VLSI applications where mechanical trimming associated with postprocessing chip is prohibitive due to high costs.

  10. Capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator

    International Nuclear Information System (INIS)

    Kim, Tae-Hyun; Park, Jea-Gun

    2013-01-01

    We investigated the combined effect of the strained Si channel and hole confinement on the memory margin enhancement for a capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator (ε-Si SGOI). The memory margin for the ε-Si SGOI capacitor-less memory cell was higher than that of the memory cell fabricated on an unstrained Si-on-insulator (SOI) and increased with increasing Ge concentration of the relaxed SiGe layer; i.e. the memory margin for the ε-Si SGOI capacitor-less memory cell (138.6 µA) at a 32 at% Ge concentration was 3.3 times higher than the SOI capacitor-less memory cell (43 µA). (paper)

  11. Analysing organic transistors based on interface approximation

    International Nuclear Information System (INIS)

    Akiyama, Yuto; Mori, Takehiko

    2014-01-01

    Temperature-dependent characteristics of organic transistors are analysed thoroughly using interface approximation. In contrast to amorphous silicon transistors, it is characteristic of organic transistors that the accumulation layer is concentrated on the first monolayer, and it is appropriate to consider interface charge rather than band bending. On the basis of this model, observed characteristics of hexamethylenetetrathiafulvalene (HMTTF) and dibenzotetrathiafulvalene (DBTTF) transistors with various surface treatments are analysed, and the trap distribution is extracted. In turn, starting from a simple exponential distribution, we can reproduce the temperature-dependent transistor characteristics as well as the gate voltage dependence of the activation energy, so we can investigate various aspects of organic transistors self-consistently under the interface approximation. Small deviation from such an ideal transistor operation is discussed assuming the presence of an energetically discrete trap level, which leads to a hump in the transfer characteristics. The contact resistance is estimated by measuring the transfer characteristics up to the linear region

  12. Impact of Process Technologies on ELDRS of Bipolar Transistors

    International Nuclear Information System (INIS)

    Lu Wu; Ren Diyuan; Guo Qi; Yu Xuefeng; Zheng Yuzhan

    2010-01-01

    Radiation effects under different dose rates and annealing behaviors of domestic bipolar transistors, with same manufacture technology, were investigated.These transistors include NPN transistors of various emitter area, and LPNP transistors with different doping concentrations in emitter. It is shown that different types of transistors have different radiation responses. The results of NPN transistors show that more degradation occurs at less emitter area. Yet, the results of LPNP transistors demonstrate that transistors with lightly doped emitter are more sensitive to radiation, compared with heavily doped emitter. Finally,the mechanisms of the difference between various radiation responses were analyzed. (authors)

  13. Differential gene expression by integrin β7+ and β7- memory T helper cells

    Directory of Open Access Journals (Sweden)

    Yang Yee

    2004-07-01

    Full Text Available Abstract Background The cell adhesion molecule integrin α4β7 helps direct the migration of blood lymphocytes to the intestine and associated lymphoid tissues. We hypothesized that β7+ and β7- blood memory T helper cells differ in their expression of genes that play a role in the adhesion or migration of T cells. Results RNA was prepared from β7+ and β7- CD4+ CD45RA- blood T cells from nine normal human subjects and analyzed using oligonucleotide microarrays. Of 21357 genes represented on the arrays, 16 were more highly expressed in β7+ cells and 18 were more highly expressed in β7- cells (≥1.5 fold difference and adjusted P + memory/effector T cells than on β7- cells. Conclusions Memory/effector T cells that express integrin β7 have a distinct pattern of expression of a set of gene transcripts. Several of these molecules can affect cell adhesion or chemotaxis and are therefore likely to modulate the complex multistep process that regulates trafficking of CD4+ memory T cell subsets with different homing behaviors.

  14. Distributed amplifier using Josephson vortex flow transistors

    International Nuclear Information System (INIS)

    McGinnis, D.P.; Beyer, J.B.; Nordman, J.E.

    1986-01-01

    A wide-band traveling wave amplifier using vortex flow transistors is proposed. A vortex flow transistor is a long Josephson junction used as a current controlled voltage source. The dual nature of this device to the field effect transistor is exploited. A circuit model of this device is proposed and a distributed amplifier utilizing 50 vortex flow transistors is predicted to have useful gain to 100 GHz

  15. Modulation of Autoimmune T-Cell Memory by Stem Cell Educator Therapy: Phase 1/2 Clinical Trial.

    Science.gov (United States)

    Delgado, Elias; Perez-Basterrechea, Marcos; Suarez-Alvarez, Beatriz; Zhou, Huimin; Revuelta, Eva Martinez; Garcia-Gala, Jose Maria; Perez, Silvia; Alvarez-Viejo, Maria; Menendez, Edelmiro; Lopez-Larrea, Carlos; Tang, Ruifeng; Zhu, Zhenlong; Hu, Wei; Moss, Thomas; Guindi, Edward; Otero, Jesus; Zhao, Yong

    2015-12-01

    Type 1 diabetes (T1D) is a T cell-mediated autoimmune disease that causes a deficit of pancreatic islet β cells. The complexities of overcoming autoimmunity in T1D have contributed to the challenges the research community faces when devising successful treatments with conventional immune therapies. Overcoming autoimmune T cell memory represents one of the key hurdles. In this open-label, phase 1/phase 2 study, Caucasian T1D patients (N = 15) received two treatments with the Stem Cell Educator (SCE) therapy, an approach that uses human multipotent cord blood-derived multipotent stem cells (CB-SCs). SCE therapy involves a closed-loop system that briefly treats the patient's lymphocytes with CB-SCs in vitro and returns the "educated" lymphocytes (but not the CB-SCs) into the patient's blood circulation. This study is registered with ClinicalTrials.gov, NCT01350219. Clinical data demonstrated that SCE therapy was well tolerated in all subjects. The percentage of naïve CD4(+) T cells was significantly increased at 26 weeks and maintained through the final follow-up at 56 weeks. The percentage of CD4(+) central memory T cells (TCM) was markedly and constantly increased at 18 weeks. Both CD4(+) effector memory T cells (TEM) and CD8(+) TEM cells were considerably decreased at 18 weeks and 26 weeks respectively. Additional clinical data demonstrated the modulation of C-C chemokine receptor 7 (CCR7) expressions on naïve T, TCM, and TEM cells. Following two treatments with SCE therapy, islet β-cell function was improved and maintained in individuals with residual β-cell function, but not in those without residual β-cell function. Current clinical data demonstrated the safety and efficacy of SCE therapy in immune modulation. SCE therapy provides lasting reversal of autoimmune memory that could improve islet β-cell function in Caucasian subjects. Obra Social "La Caixa", Instituto de Salud Carlos III, Red de Investigación Renal, European Union FEDER Funds, Principado de

  16. Antigen modulation of the immune response. III. Evaluation of the hypothetical short-lived memory cell

    International Nuclear Information System (INIS)

    Feldbush, T.L.; Lande, I.; Bryan, B.; O'Neill, E.

    1974-01-01

    The putative short-lived memory cells, whose existence has been suggested by the results of secondary adoptive transfer experiments, were investigated. On the basis of the following evidences we have concluded that the short-lived memory cell is probably an artifact of the adoptive transfer technique: when immune thoracic duct lymphocytes, known to consist predominantly of long-lived memory cells, were transferred to irradiated recipients and challenged at various times after transfer, approximately 80 to 90 percent of the initial response was absent by Day 14 challenge; preirradiating adoptive recipients with increasing dose of x-irradiation tended to lengthen the observed half life of memory cells; single or multiple treatments of immune donors with 0.3 mg Vinblastin before transfer resulted in neither a depression of the initial secondary response nor an alteration in the rate of decline of the memory potential; reconstitution of irradiated hosts with normal spleen cells one day before transfer of memory cells and challenge resulted in inhibition of the adoptive secondary response; and the transfer of memory cells to antigen free intermediate hosts, in which they were allowed to reside for one day or fourteen days before transfer to irradiated recipients, resulted in only a slight decline in their capacity to respond. We propose that the rapid decline of memory potential in adoptive recipients challenged at various times after transfer is due to modulating effects by the hosts as it recovers from irradiation. These effects may be the result of cell crowding or the loss of irradiation-produced stimulatory factors. The relevance of these findings to adoptive transfer systems in general and the secondary response of intact animals is discussed

  17. Photosensitive graphene transistors.

    Science.gov (United States)

    Li, Jinhua; Niu, Liyong; Zheng, Zijian; Yan, Feng

    2014-08-20

    High performance photodetectors play important roles in the development of innovative technologies in many fields, including medicine, display and imaging, military, optical communication, environment monitoring, security check, scientific research and industrial processing control. Graphene, the most fascinating two-dimensional material, has demonstrated promising applications in various types of photodetectors from terahertz to ultraviolet, due to its ultrahigh carrier mobility and light absorption in broad wavelength range. Graphene field effect transistors are recognized as a type of excellent transducers for photodetection thanks to the inherent amplification function of the transistors, the feasibility of miniaturization and the unique properties of graphene. In this review, we will introduce the applications of graphene transistors as photodetectors in different wavelength ranges including terahertz, infrared, visible, and ultraviolet, focusing on the device design, physics and photosensitive performance. Since the device properties are closely related to the quality of graphene, the devices based on graphene prepared with different methods will be addressed separately with a view to demonstrating more clearly their advantages and shortcomings in practical applications. It is expected that highly sensitive photodetectors based on graphene transistors will find important applications in many emerging areas especially flexible, wearable, printable or transparent electronics and high frequency communications. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Flexible and twistable non-volatile memory cell array with all-organic one diode-one resistor architecture.

    Science.gov (United States)

    Ji, Yongsung; Zeigler, David F; Lee, Dong Su; Choi, Hyejung; Jen, Alex K-Y; Ko, Heung Cho; Kim, Tae-Wook

    2013-01-01

    Flexible organic memory devices are one of the integral components for future flexible organic electronics. However, high-density all-organic memory cell arrays on malleable substrates without cross-talk have not been demonstrated because of difficulties in their fabrication and relatively poor performances to date. Here we demonstrate the first flexible all-organic 64-bit memory cell array possessing one diode-one resistor architectures. Our all-organic one diode-one resistor cell exhibits excellent rewritable switching characteristics, even during and after harsh physical stresses. The write-read-erase-read output sequence of the cells perfectly correspond to the external pulse signal regardless of substrate deformation. The one diode-one resistor cell array is clearly addressed at the specified cells and encoded letters based on the standard ASCII character code. Our study on integrated organic memory cell arrays suggests that the all-organic one diode-one resistor cell architecture is suitable for high-density flexible organic memory applications in the future.

  19. Material Engineering for Phase Change Memory

    Science.gov (United States)

    Cabrera, David M.

    As semiconductor devices continue to scale downward, and portable consumer electronics become more prevalent there is a need to develop memory technology that will scale with devices and use less energy, while maintaining performance. One of the leading prototypical memories that is being investigated is phase change memory. Phase change memory (PCM) is a non-volatile memory composed of 1 transistor and 1 resistor. The resistive structure includes a memory material alloy which can change between amorphous and crystalline states repeatedly using current/voltage pulses of different lengths and magnitudes. The most widely studied PCM materials are chalcogenides - Germanium-Antimony-Tellerium (GST) with Ge2Sb2Te3 and Germanium-Tellerium (GeTe) being some of the most popular stochiometries. As these cells are scaled downward, the current/voltage needed to switch these materials becomes comparable to the voltage needed to sense the cell's state. The International Roadmap for Semiconductors aims to raise the threshold field of these devices from 66.6 V/mum to be at least 375 V/mum for the year 2024. These cells are also prone to resistance drift between states, leading to bit corruption and memory loss. Phase change material properties are known to influence PCM device performance such as crystallization temperature having an effect on data retention and litetime, while resistivity values in the amorphous and crystalline phases have an effect on the current/voltage needed to write/erase the cell. Addition of dopants is also known to modify the phase change material parameters. The materials G2S2T5, GeTe, with dopants - nitrogen, silicon, titanium, and aluminum oxide and undoped Gallium-Antimonide (GaSb) are studied for these desired characteristics. Thin films of these compositions are deposited via physical vapor deposition at IBM Watson Research Center. Crystallization temperatures are investigated using time resolved x-ray diffraction at Brookhaven National Laboratory

  20. Characterization of the metabolic phenotype of rapamycin-treated CD8+ T cells with augmented ability to generate long-lasting memory cells.

    Directory of Open Access Journals (Sweden)

    Shan He

    Full Text Available BACKGROUND: Cellular metabolism plays a critical role in regulating T cell responses and the development of memory T cells with long-term protections. However, the metabolic phenotype of antigen-activated T cells that are responsible for the generation of long-lived memory cells has not been characterized. DESIGN AND METHODS: Using lymphocytic choriomeningitis virus (LCMV peptide gp33-specific CD8(+ T cells derived from T cell receptor transgenic mice, we characterized the metabolic phenotype of proliferating T cells that were activated and expanded in vitro in the presence or absence of rapamycin, and determined the capability of these rapamycin-treated T cells to generate long-lived memory cells in vivo. RESULTS: Antigen-activated CD8(+ T cells treated with rapamycin gave rise to 5-fold more long-lived memory T cells in vivo than untreated control T cells. In contrast to that control T cells only increased glycolysis, rapamycin-treated T cells upregulated both glycolysis and oxidative phosphorylation (OXPHOS. These rapamycin-treated T cells had greater ability than control T cells to survive withdrawal of either glucose or growth factors. Inhibition of OXPHOS by oligomycin significantly reduced the ability of rapamycin-treated T cells to survive growth factor withdrawal. This effect of OXPHOS inhibition was accompanied with mitochondrial hyperpolarization and elevation of reactive oxygen species that are known to be toxic to cells. CONCLUSIONS: Our findings indicate that these rapamycin-treated T cells may represent a unique cell model for identifying nutrients and signals critical to regulating metabolism in both effector and memory T cells, and for the development of new methods to improve the efficacy of adoptive T cell cancer therapy.

  1. Memristor-based ternary content addressable memory (mTCAM) for data-intensive computing

    International Nuclear Information System (INIS)

    Zheng, Le; Shin, Sangho; Steve Kang, Sung-Mo

    2014-01-01

    A memristor-based ternary content addressable memory (mTCAM) is presented. Each mTCAM cell, consisting of five transistors and two memristors to store and search for ternary data, is capable of remarkable nonvolatility and higher storage density than conventional CMOS-based TCAMs. Each memristor in the cell can be programmed individually such that high impedance is always present between searchlines to reduce static energy consumption. A unique two-step write scheme offers reliable and energy-efficient write operations. The search voltage is designed to ensure optimum sensing margins with the presence of variations in memristor devices. Simulations of the proposed mTCAM demonstrate functionalities in write and search modes, as well as a search delay of 2 ns and a search of 0.99 fJ/bit/search for a word width of 128 bits. (paper)

  2. The Complete Semiconductor Transistor and Its Incomplete Forms

    International Nuclear Information System (INIS)

    Jie Binbin; Sah, C.-T.

    2009-01-01

    This paper describes the definition of the complete transistor. For semiconductor devices, the complete transistor is always bipolar, namely, its electrical characteristics contain both electron and hole currents controlled by their spatial charge distributions. Partially complete or incomplete transistors, via coined names or/and designed physical geometries, included the 1949 Shockley p/n junction transistor (later called Bipolar Junction Transistor, BJT), the 1952 Shockley unipolar 'field-effect' transistor (FET, later called the p/n Junction Gate FET or JGFET), as well as the field-effect transistors introduced by later investigators. Similarities between the surface-channel MOS-gate FET (MOSFET) and the volume-channel BJT are illustrated. The bipolar currents, identified by us in a recent nanometer FET with 2-MOS-gates on thin and nearly pure silicon base, led us to the recognition of the physical makeup and electrical current and charge compositions of a complete transistor and its extension to other three or more terminal signal processing devices, and also the importance of the terminal contacts.

  3. Nano-scaled chalcogenide-based memories

    International Nuclear Information System (INIS)

    Redaelli, Andrea; Pirovano, Agostino

    2011-01-01

    Today phase change memory (PCM) technology has reached product maturity at 90 and 65 nm nodes, while the 45 nm node is under development and is expected to enter in the market soon. The continuous decrease of the cell size with scaling leads to an effective active area as small as 150 nm 2 and an active volume involved in the phase transformation of about 10 4 nm 3 , thus entering definitively into the nanotechnology world. At this extremely reduced dimension, the reliability of the device must be carefully investigated. In this work we show that the cycling performance of the device is well maintained, not being a problem for either the bipolar transistor or the storage element. The phase transition from the amorphous to the crystalline state is, of course, one of the most interesting phenomena, impacting cell retention capability and device performance. The stochastic nature of nano-nuclei percolation in the amorphous matrix is shown as an important ingredient in the retention of PCM devices. The related dispersion in crystallization times is analyzed through a crystallization Monte Carlo model and a physical insight into nucleation and growth mechanisms is provided.

  4. Curtailed T-cell activation curbs effector differentiation and generates CD8+ T cells with a naturally-occurring memory stem cell phenotype.

    Science.gov (United States)

    Zanon, Veronica; Pilipow, Karolina; Scamardella, Eloise; De Paoli, Federica; De Simone, Gabriele; Price, David A; Martinez Usatorre, Amaia; Romero, Pedro; Mavilio, Domenico; Roberto, Alessandra; Lugli, Enrico

    2017-09-01

    Human T memory stem (T SCM ) cells with superior persistence capacity and effector functions are emerging as important players in the maintenance of long-lived T-cell memory and are thus considered an attractive population to be used in adoptive transfer-based immunotherapy of cancer. However, the molecular signals regulating their generation remain poorly defined. Here we show that curtailed T-cell receptor stimulation curbs human effector CD8 + T-cell differentiation and allows the generation of CD45RO - CD45RA + CCR7 + CD27 + CD95 + -phenotype cells from highly purified naïve T-cell precursors, resembling naturally-occurring human T SCM . These cells proliferate extensively in vitro and in vivo, express low amounts of effector-associated genes and transcription factors and undergo considerable self-renewal in response to IL-15 while retaining effector differentiation potential. Such a phenotype is associated with a lower number of mitochondria compared to highly-activated effector T cells committed to terminal differentiation. These results shed light on the molecular signals that are required to generate long-lived memory T cells with potential application in adoptive cell transfer immunotherapy. © 2017 The Authors. European Journal of Immunology published by WILEY-VCH Verlag GmbH & Co.KGaA, Weinheim.

  5. Autoimmune Memory T Helper 17 Cell Function and Expansion Are Dependent on Interleukin-23

    Directory of Open Access Journals (Sweden)

    Christopher J. Haines

    2013-05-01

    Full Text Available Interleukin-23 (IL-23 is essential for the differentiation of pathogenic effector T helper 17 (Th17 cells, but its role in memory Th17 cell responses is unclear. Using the experimental autoimmune encephalomyelitis (EAE model, we report that memory Th17 cells rapidly expanded in response to rechallenge and migrated to the CNS in high numbers, resulting in earlier onset and increased severity of clinical disease. Memory Th17 cells were generated from IL-17+ and RORγt+ precursors, and the stability of the Th17 cell phenotype depended on the amount of time allowed for the primary response. IL-23 was required for this enhanced recall response. IL-23 receptor blockade did not directly impact IL-17 production, but did impair the subsequent proliferation and generation of effectors coexpressing the Th1 cell-specific transcription factor T-bet. In addition, many genes required for cell-cycle progression were downregulated in Th17 cells that lacked IL-23 signaling, showing that a major mechanism for IL-23 in primary and memory Th17 cell responses operates via regulation of proliferation-associated pathways.

  6. Simian immunodeficiency virus infection induces severe loss of intestinal central memory T cells which impairs CD4+ T-cell restoration during antiretroviral therapy.

    Science.gov (United States)

    Verhoeven, D; Sankaran, S; Dandekar, S

    2007-08-01

    Simian immunodeficiency virus (SIV) infection leads to severe loss of intestinal CD4(+) T cells and, as compared to peripheral blood, restoration of these cells is slow during antiretroviral therapy (ART). Mechanisms for this delay have not been examined in context of which specific CD4(+) memory subsets or lost and fail to regenerate during ART. Fifteen rhesus macaques were infected with SIV, five of which received ART (FTC/PMPA) for 30 weeks. Viral loads were measured by real-time PCR. Flow cytometric analysis determined changes in T-cell subsets and their proliferative state. Changes in proliferative CD4(+) memory subsets during infection accelerated their depletion. This reduced the central memory CD4(+) T-cell pool and contributed to slow CD4(+) T-cell restoration during ART. There was a lack of restoration of the CD4(+) central memory and effector memory T-cell subsets in gut-associated lymphoid tissue during ART, which may contribute to the altered intestinal T-cell homeostasis in SIV infection.

  7. MicroRNA-21 preserves the fibrotic mechanical memory of mesenchymal stem cells.

    Science.gov (United States)

    Li, Chen Xi; Talele, Nilesh P; Boo, Stellar; Koehler, Anne; Knee-Walden, Ericka; Balestrini, Jenna L; Speight, Pam; Kapus, Andras; Hinz, Boris

    2017-03-01

    Expansion on stiff culture substrates activates pro-fibrotic cell programs that are retained by mechanical memory. Here, we show that priming on physiologically soft silicone substrates suppresses fibrogenesis and desensitizes mesenchymal stem cells (MSCs) against subsequent mechanical activation in vitro and in vivo, and identify the microRNA miR-21 as a long-term memory keeper of the fibrogenic program in MSCs. During stiff priming, miR-21 levels were gradually increased by continued regulation through the acutely mechanosensitive myocardin-related transcription factor-A (MRTF-A/MLK-1) and remained high over 2 weeks after removal of the mechanical stimulus. Knocking down miR-21 once by the end of the stiff-priming period was sufficient to erase the mechanical memory and sensitize MSCs to subsequent exposure to soft substrates. Soft priming and erasing mechanical memory following cell culture expansion protects MSCs from fibrogenesis in the host wound environment and increases the chances for success of MSC therapy in tissue-repair applications.

  8. Design and Simulation of a Quaternary Memory Cell based on a Physical Memristor

    DEFF Research Database (Denmark)

    Nannarelli, Alberto; Taylor, Jonathan

    2016-01-01

    Memristors were theorized more than fifty years ago, but only recently physical devices with memristor’s behavior have been fabricated and shipped. In this work, we experiment on one of these physical memristors by designing a memristorbased memory cell, implementing the cell, and testing it. Our...... experiments demonstrate that the memristor technology is not yet mature for practical applications, but, nevertheless, when production will provide reliable and dependable devices, memristorbased memory systems may replace CMOS memories with some advantages....

  9. Changes in B Cell Populations and Merozoite Surface Protein-1-Specific Memory B Cell Responses after Prolonged Absence of Detectable P. falciparum Infection.

    Directory of Open Access Journals (Sweden)

    Cyrus Ayieko

    Full Text Available Clinical immunity to malaria declines in the absence of repeated parasite exposure. However, little is known about how B cell populations and antigen-specific memory B cells change in the absence of P. falciparum infection. A successful indoor residual insecticide spraying campaign in a highland area of western Kenya, led to an absence of blood-stage P. falciparum infection between March 2007 and April 2008. We assessed memory B cell responses in 45 adults at the beginning (April 2008 and end (April 2009 of a subsequent 12-month period during which none of the adults had evidence of asymptomatic parasitemia or clinical disease. Antibodies and memory B cells to the 42-kDa portion of the merozoite surface protein-1 (MSP-142 were measured using ELISA and ELISPOT assays, respectively. B cell populations were characterized by flow cytometry. From 2008 to 2009, the prevalence of MSP-142-specific memory B cells (45% vs. 55%, respectively, P = 0.32 or antibodies (91% vs. 82%, respectively, P = 0.32 did not differ significantly, although specific individuals did change from positive to negative and vice versa, particularly for memory B cells, suggesting possible low-level undetected parasitemia may have occurred in some individuals. The magnitude of MSP-142-specific memory B cells and levels of antibodies to MSP-142 also did not differ from 2008 to 2009 (P>0.10 for both. However, from 2008 to 2009 the proportions of both class-switched atypical (CD19+IgD-CD27-CD21-IgM- and class-switched activated (CD19+IgD-CD27+CD21-IgM- memory B cells decreased (both P<0.001. In contrast, class-switched resting classical memory B cells (CD19+IgD-CD27+CD21+IgM- increased (P<0.001. In this area of seasonal malaria transmission, a one- year absence of detectable P. falciparum infection was not associated with changes in the prevalence or level of MSP-142 specific memory B cells, but was associated with major changes in overall memory B cell subsets.

  10. Design of ternary clocked adiabatic static random access memory

    International Nuclear Information System (INIS)

    Wang Pengjun; Mei Fengna

    2011-01-01

    Based on multi-valued logic, adiabatic circuits and the structure of ternary static random access memory (SRAM), a design scheme of a novel ternary clocked adiabatic SRAM is presented. The scheme adopts bootstrapped NMOS transistors, and an address decoder, a storage cell and a sense amplifier are charged and discharged in the adiabatic way, so the charges stored in the large switch capacitance of word lines, bit lines and the address decoder can be effectively restored to achieve energy recovery during reading and writing of ternary signals. The PSPICE simulation results indicate that the ternary clocked adiabatic SRAM has a correct logic function and low power consumption. Compared with ternary conventional SRAM, the average power consumption of the ternary adiabatic SRAM saves up to 68% in the same conditions. (semiconductor integrated circuits)

  11. Design of ternary clocked adiabatic static random access memory

    Science.gov (United States)

    Pengjun, Wang; Fengna, Mei

    2011-10-01

    Based on multi-valued logic, adiabatic circuits and the structure of ternary static random access memory (SRAM), a design scheme of a novel ternary clocked adiabatic SRAM is presented. The scheme adopts bootstrapped NMOS transistors, and an address decoder, a storage cell and a sense amplifier are charged and discharged in the adiabatic way, so the charges stored in the large switch capacitance of word lines, bit lines and the address decoder can be effectively restored to achieve energy recovery during reading and writing of ternary signals. The PSPICE simulation results indicate that the ternary clocked adiabatic SRAM has a correct logic function and low power consumption. Compared with ternary conventional SRAM, the average power consumption of the ternary adiabatic SRAM saves up to 68% in the same conditions.

  12. Different Subsets of T Cells, Memory, Effector Functions, and CAR-T Immunotherapy.

    Science.gov (United States)

    Golubovskaya, Vita; Wu, Lijun

    2016-03-15

    This review is focused on different subsets of T cells: CD4 and CD8, memory and effector functions, and their role in CAR-T therapy--a cellular adoptive immunotherapy with T cells expressing chimeric antigen receptor. The CAR-T cells recognize tumor antigens and induce cytotoxic activities against tumor cells. Recently, differences in T cell functions and the role of memory and effector T cells were shown to be important in CAR-T cell immunotherapy. The CD4⁺ subsets (Th1, Th2, Th9, Th17, Th22, Treg, and Tfh) and CD8⁺ memory and effector subsets differ in extra-cellular (CD25, CD45RO, CD45RA, CCR-7, L-Selectin [CD62L], etc.); intracellular markers (FOXP3); epigenetic and genetic programs; and metabolic pathways (catabolic or anabolic); and these differences can be modulated to improve CAR-T therapy. In addition, CD4⁺ Treg cells suppress the efficacy of CAR-T cell therapy, and different approaches to overcome this suppression are discussed in this review. Thus, next-generation CAR-T immunotherapy can be improved, based on our knowledge of T cell subsets functions, differentiation, proliferation, and signaling pathways to generate more active CAR-T cells against tumors.

  13. Different Subsets of T Cells, Memory, Effector Functions, and CAR-T Immunotherapy

    Directory of Open Access Journals (Sweden)

    Vita Golubovskaya

    2016-03-01

    Full Text Available This review is focused on different subsets of T cells: CD4 and CD8, memory and effector functions, and their role in CAR-T therapy––a cellular adoptive immunotherapy with T cells expressing chimeric antigen receptor. The CAR-T cells recognize tumor antigens and induce cytotoxic activities against tumor cells. Recently, differences in T cell functions and the role of memory and effector T cells were shown to be important in CAR-T cell immunotherapy. The CD4+ subsets (Th1, Th2, Th9, Th17, Th22, Treg, and Tfh and CD8+ memory and effector subsets differ in extra-cellular (CD25, CD45RO, CD45RA, CCR-7, L-Selectin [CD62L], etc.; intracellular markers (FOXP3; epigenetic and genetic programs; and metabolic pathways (catabolic or anabolic; and these differences can be modulated to improve CAR-T therapy. In addition, CD4+ Treg cells suppress the efficacy of CAR-T cell therapy, and different approaches to overcome this suppression are discussed in this review. Thus, next-generation CAR-T immunotherapy can be improved, based on our knowledge of T cell subsets functions, differentiation, proliferation, and signaling pathways to generate more active CAR-T cells against tumors.

  14. Modeling of bias-induced changes of organic field-effect transistor characteristics

    NARCIS (Netherlands)

    Sharma, A.

    2011-01-01

    Organic semiconductors offer exciting possibilities in developing new types of solar cells, photodetectors, light emitting diodes and field-effect transistors. Important advantages of organic semiconducting materials over their inorganic counterparts are their chemical tunability, their low weight,

  15. Ventromedial prefrontal cortex pyramidal cells have a temporal dynamic role in recall and extinction of cocaine-associated memory.

    Science.gov (United States)

    Van den Oever, Michel C; Rotaru, Diana C; Heinsbroek, Jasper A; Gouwenberg, Yvonne; Deisseroth, Karl; Stuber, Garret D; Mansvelder, Huibert D; Smit, August B

    2013-11-13

    In addicts, associative memories related to the rewarding effects of drugs of abuse can evoke powerful craving and drug seeking urges, but effective treatment to suppress these memories is not available. Detailed insight into the neural circuitry that mediates expression of drug-associated memory is therefore of crucial importance. Substantial evidence from rodent models of addictive behavior points to the involvement of the ventromedial prefrontal cortex (vmPFC) in conditioned drug seeking, but specific knowledge of the temporal role of vmPFC pyramidal cells is lacking. To this end, we used an optogenetics approach to probe the involvement of vmPFC pyramidal cells in expression of a recent and remote conditioned cocaine memory. In mice, we expressed Channelrhodopsin-2 (ChR2) or Halorhodopsin (eNpHR3.0) in pyramidal cells of the vmPFC and studied the effect of activation or inhibition of these cells during expression of a cocaine-contextual memory on days 1-2 (recent) and ∼3 weeks (remote) after conditioning. Whereas optical activation of pyramidal cells facilitated extinction of remote memory, without affecting recent memory, inhibition of pyramidal cells acutely impaired recall of recent cocaine memory, without affecting recall of remote memory. In addition, we found that silencing pyramidal cells blocked extinction learning at the remote memory time-point. We provide causal evidence of a critical time-dependent switch in the contribution of vmPFC pyramidal cells to recall and extinction of cocaine-associated memory, indicating that the circuitry that controls expression of cocaine memories reorganizes over time.

  16. 75 GHz InP DHBT power amplifier based on two-stacked transistors

    DEFF Research Database (Denmark)

    Squartecchia, Michele; Midili, Virginio; Johansen, Tom Keinicke

    2017-01-01

    In this paper we present the design and measurements of a two-stage 75-GHz InP Double Heterojunction Bipolar Transistor (DHBT) power amplifier (PA). An optimized two-stacked transistor power cell has been designed, which represents the building block in the power stage as well as in the driver st......, the power amplifier exhibits a small signal gain of G = 12.6 dB, output power at 1-dB compression of Pout, 1dB = 18.6 dBm and a saturated output power of Psat > 21.4 dBm....

  17. Telomere length dynamics in human memory T cells specific for viruses causing acute or latent infections.

    Science.gov (United States)

    O'Bryan, Joel M; Woda, Marcia; Co, Mary; Mathew, Anuja; Rothman, Alan L

    2013-08-26

    Declining telomere length (TL) is associated with T cell senescence. While TL in naïve and memory T cells declines with increasing age, there is limited data on TL dynamics in virus-specific memory CD4+ T cells in healthy adults. We combined BrdU-labeling of virus-stimulated T cells followed with flow cytometry-fluorescent in situ hybridization for TL determination. We analyzed TL in T cells specific for several virus infections: non-recurring acute (vaccinia virus, VACV), recurring-acute (influenza A virus, IAV), and reactivating viruses (varicella-zoster virus, VZV, and cytomegalovirus, CMV) in 10 healthy subjects. Additionally, five subjects provided multiple blood samples separated by up to 10 years. VACV- and CMV-specific T cells had longer average TL than IAV-specific CD4+ T cells. Although most virus-specific cells were CD45RA-, we observed a minor population of BrdU+ CD45RA+ T cells characterized by long telomeres. Longitudinal analysis demonstrated a slow decline in average TL in virus-specific T cells. However, in one subject, VZV reactivation led to an increase in average TL in VZV-specific memory T cells, suggesting a conversion of longer TL cells from the naïve T cell repertoire. TLs in memory CD4+ T cells in otherwise healthy adults are heterogeneous and follow distinct virus-specific kinetics. These findings suggests that the distribution of TL and the creation and maintenance of long TL memory T cells could be important for the persistence of long-lived T cell memory.

  18. Magnetization Dynamics in Two Novel Current-Driven Spintronic Memory Cell Structures

    KAUST Repository

    Velazquez-Rizo, Martin

    2017-07-01

    In this work, two new spintronic memory cell structures are proposed. The first cell uses the diffusion of polarized spins into ferromagnets with perpendicular anisotropy to tilt their magnetization followed by their dipolar coupling to a fixed magnet (Bhowmik et al., 2014). The possibility of setting the magnetization to both stable magnetization states in a controlled manner using a similar concept remains unknown, but the proposed structure poses to be a solution to this difficulty. The second cell proposed takes advantage of the multiple stable magnetic states that exist in ferromagnets with configurational anisotropy and also uses spin torques to manipulate its magnetization. It utilizes a square-shaped ferromagnet whose stable magnetization has preferred directions along the diagonals of the square, giving four stable magnetic states allowing to use the structure as a multi-bit memory cell. Both devices use spin currents generated in heavy metals by the Spin Hall effect present in these materials. Among the advantages of the structures proposed are their inherent non-volatility and the fact that there is no need for applying external magnetic fields during their operation, which drastically improves the energy efficiency of the devices. Computational simulations using the Object Oriented Micromagnetic Framework (OOMMF) software package were performed to study the dynamics of the magnetization process in both structures and predict their behavior. Besides, we fabricated a 4-terminal memory cell with configurational anisotropy similar to the device proposed, and found four stable resistive states on the structure, proving the feasibility of this technology for implementation of high-density, non-volatile memory cells.

  19. Distribution of Peripheral Memory T Follicular Helper Cells in Patients with Schistosomiasis Japonica.

    Directory of Open Access Journals (Sweden)

    Xiaojun Chen

    Full Text Available Schistosomiasis is a helminthic disease that affects more than 200 million people. An effective vaccine would be a major step towards eliminating the disease. Studies suggest that T follicular helper (Tfh cells provide help to B cells to generate the long-term humoral immunity, which would be a crucial component of successful vaccines. Thus, understanding the biological characteristics of Tfh cells in patients with schistosomiasis, which has never been explored, is essential for vaccine design.In this study, we investigated the biological characteristics of peripheral memory Tfh cells in schistosomiasis patients by flow cytometry. Our data showed that the frequencies of total and activated peripheral memory Tfh cells in patients were significantly increased during Schistosoma japonicum infection. Moreover, Tfh2 cells, which were reported to be a specific subpopulation to facilitate the generation of protective antibodies, were increased more greatly than other subpopulations of total peripheral memory Tfh cells in patients with schistosomiasis japonica. More importantly, our result showed significant correlations of the percentage of Tfh2 cells with both the frequency of plasma cells and the level of IgG antibody. In addition, our results showed that the percentage of T follicular regulatory (Tfr cells was also increased in patients with schistosomiasis.Our report is the first characterization of peripheral memory Tfh cells in schistosomasis patients, which not only provides potential targets to improve immune response to vaccination, but also is important for the development of vaccination strategies to control schistosomiasis.

  20. Leakage characterization of top select transistor for program disturbance optimization in 3D NAND flash

    Science.gov (United States)

    Zhang, Yu; Jin, Lei; Jiang, Dandan; Zou, Xingqi; Zhao, Zhiguo; Gao, Jing; Zeng, Ming; Zhou, Wenbin; Tang, Zhaoyun; Huo, Zongliang

    2018-03-01

    In order to optimize program disturbance characteristics effectively, a characterization approach that measures top select transistor (TSG) leakage from bit-line is proposed to quantify TSG leakage under program inhibit condition in 3D NAND flash memory. Based on this approach, the effect of Vth modulation of two-cell TSG on leakage is evaluated. By checking the dependence of leakage and corresponding program disturbance on upper and lower TSG Vth, this approach is validated. The optimal Vth pattern with high upper TSG Vth and low lower TSG Vth has been suggested for low leakage current and high boosted channel potential. It is found that upper TSG plays dominant role in preventing drain induced barrier lowering (DIBL) leakage from boosted channel to bit-line, while lower TSG assists to further suppress TSG leakage by providing smooth potential drop from dummy WL to edge of TSG, consequently suppressing trap assisted band-to-band tunneling current (BTBT) between dummy WL and TSG.

  1. Skin-resident memory CD4+ T cells enhance protection against Leishmania major infection.

    Science.gov (United States)

    Glennie, Nelson D; Yeramilli, Venkata A; Beiting, Daniel P; Volk, Susan W; Weaver, Casey T; Scott, Phillip

    2015-08-24

    Leishmaniasis causes a significant disease burden worldwide. Although Leishmania-infected patients become refractory to reinfection after disease resolution, effective immune protection has not yet been achieved by human vaccines. Although circulating Leishmania-specific T cells are known to play a critical role in immunity, the role of memory T cells present in peripheral tissues has not been explored. Here, we identify a population of skin-resident Leishmania-specific memory CD4+ T cells. These cells produce IFN-γ and remain resident in the skin when transplanted by skin graft onto naive mice. They function to recruit circulating T cells to the skin in a CXCR3-dependent manner, resulting in better control of the parasites. Our findings are the first to demonstrate that CD4+ TRM cells form in response to a parasitic infection, and indicate that optimal protective immunity to Leishmania, and thus the success of a vaccine, may depend on generating both circulating and skin-resident memory T cells. © 2015 Glennie et al.

  2. Induction and Maintenance of CX3CR1-Intermediate Peripheral Memory CD8+ T Cells by Persistent Viruses and Vaccines

    Directory of Open Access Journals (Sweden)

    Claire Louse Gordon

    2018-04-01

    Full Text Available Summary: The induction and maintenance of T cell memory is critical to the success of vaccines. A recently described subset of memory CD8+ T cells defined by intermediate expression of the chemokine receptor CX3CR1 was shown to have self-renewal, proliferative, and tissue-surveillance properties relevant to vaccine-induced memory. We tracked these cells when memory is sustained at high levels: memory inflation induced by cytomegalovirus (CMV and adenovirus-vectored vaccines. In mice, both CMV and vaccine-induced inflationary T cells showed sustained high levels of CX3R1int cells exhibiting an effector-memory phenotype, characteristic of inflationary pools, in early memory. In humans, CX3CR1int CD8+ T cells were strongly induced following adenovirus-vectored vaccination for hepatitis C virus (HCV (ChAd3-NSmut and during natural CMV infection and were associated with a memory phenotype similar to that in mice. These data indicate that CX3CR1int cells form an important component of the memory pool in response to persistent viruses and vaccines in both mice and humans. : Gordon et al. demonstrate that CX3CR1int peripheral memorycells are a substantial component of memory inflation induced by persistent CMVs and adenoviral vaccination. They are characterized by sustained proliferation and an effector-memory phenotype linked to these expanded CD8+ T cell memory responses. Core phenotypic features are shared by humans and mice. Keywords: cytomegalovirus, T cells, memory, adenovirus, vaccination, CX3CR1, memory inflation, mouse, human

  3. Writing to and reading from a nano-scale crossbar memory based on memristors

    International Nuclear Information System (INIS)

    Vontobel, Pascal O; Robinett, Warren; Kuekes, Philip J; Stewart, Duncan R; Straznicky, Joseph; Stanley Williams, R

    2009-01-01

    We present a design study for a nano-scale crossbar memory system that uses memristors with symmetrical but highly nonlinear current-voltage characteristics as memory elements. The memory is non-volatile since the memristors retain their state when un-powered. In order to address the nano-wires that make up this nano-scale crossbar, we use two coded demultiplexers implemented using mixed-scale crossbars (in which CMOS-wires cross nano-wires and in which the crosspoint junctions have one-time configurable memristors). This memory system does not utilize the kind of devices (diodes or transistors) that are normally used to isolate the memory cell being written to and read from in conventional memories. Instead, special techniques are introduced to perform the writing and the reading operation reliably by taking advantage of the nonlinearity of the type of memristors used. After discussing both writing and reading strategies for our memory system in general, we focus on a 64 x 64 memory array and present simulation results that show the feasibility of these writing and reading procedures. Besides simulating the case where all device parameters assume exactly their nominal value, we also simulate the much more realistic case where the device parameters stray around their nominal value: we observe a degradation in margins, but writing and reading is still feasible. These simulation results are based on a device model for memristors derived from measurements of fabricated devices in nano-scale crossbars using Pt and Ti nano-wires and using oxygen-depleted TiO 2 as the switching material.

  4. Report on the results of research and development under a consignment from NEDO on deca-nano quantum integrating transistor substrate technologies; 1997 nendo sangyo kagaku gijutsu kenkyu kaihatsu jigyo Shin energy Sangyo Gijutsu Sogo Kaihatsu Kiko itaku. Deca-nano ryoshi shusekika soshi kiban gijutsu no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Researches have been conducted on deca-nano quantum integrating transistor substrate technologies, and developments were made on a three-dimensional device simulator which can be used in deca-nano domains, and a circuit simulator to have quantifying function transistors coexist with silicon semiconductor integrated circuits. The researches were intended to develop a simulator capable of analyzing properties of very small silicon and compound semiconductor devices in deca-nano domains. The researches discussed the applicability of conventional simulators, calculated quantum levels in a three-dimensional hetero structure, and resulted in development of an electron wave propagation simulator in optional two-dimensional shapes, a quantum Monte Carlo simulator, and a three-dimensional semiconductor device simulator with quantum correction. On the other hand, in order to estimate characteristics of a hybrid circuit in which single electron transistors coexist with conventional transistors such as CMOS transistors, a single electron hybrid circuit simulator was developed. The development indicated that a CMOS-SET fused memory is promising as a future LSI memory. 22 refs., 116 figs., 3 tabs.

  5. Pregnancy persistently affects memory T cell populations

    NARCIS (Netherlands)

    Kieffer, Tom E. C.; Faas, Marijke M.; Scherjon, Sicco A.; Prins, Jelmer R.

    Pregnancy is an immune challenge to the maternal immune system. The effects of pregnancy on maternal immunity and particularly on memory T cells during and after pregnancy are not fully known. This observational study aims to show the short term and the long term effects of pregnancy on the

  6. De novo alloreactive memory CD8+ T cells develop following allogeneic challenge when CNI immunosuppression is delayed.

    Science.gov (United States)

    Hart-Matyas, M; Gareau, A J; Hirsch, G M; Lee, T D G

    2015-01-01

    Allospecific memory T cells are a recognized threat to the maintenance of solid-organ transplants. Limited information exists regarding the development of alloreactive memory T cells when post-transplant immunosuppression is present. The clinical practice of delaying calcineurin inhibitor (CNI) initiation post-transplant may permit the development of a de novo allospecific memory population. We investigated the development of de novo allospecific memory CD8+ T cells following the introduction of CNI immunosuppression in a murine model using allogeneic cell priming. Recipient mice alloprimed with splenocytes from fully mismatched donors received cyclosporine (CyA), initiated at 0, 2, 6, or 10days post-prime. Splenocytes from recipients were analyzed by flow cytometry or enzyme-linked immunosorbent assay for evidence of memory cell formation. Memory and effector CD8+ T cell development was prevented when CyA was initiated at 0day or 2days post-prime (p0.05). Delaying CyA up to 6days or later post-prime permits the development of functional de novo allospecific memory CD8+ T cells. The development of this potentially detrimental T cell population in patients could be prevented by starting CNI immunosuppression early post-transplant. Copyright © 2014 Elsevier B.V. All rights reserved.

  7. Rapid allergen-induced interleukin-17 and interferon-γ secretion by skin-resident memory CD8(+) T cells

    DEFF Research Database (Denmark)

    Schmidt, Jonas D; Ahlström, Malin G; Johansen, Jeanne D

    2017-01-01

    , the mechanisms whereby TRM cells induce rapid recall responses need further investigation. OBJECTIVES: To study whether contact allergens induce local and/or global memory, and to determine the mechanisms involved in memory responses in the skin. METHODS: To address these questions, we analysed responses......BACKGROUND: Skin-resident memory T (TRM ) cells are associated with immunological memory in the skin. Whether immunological memory responses to allergens in the skin are solely localized to previously allergen-exposed sites or are present globally in the skin is not clear. Furthermore......, long-lasting local memory and a weaker, temporary global immunological memory response to the allergen that is mediated by IL-17A-producing and IFN-γ-producing CD8(+) TRM cells....

  8. Reconfigurable Complementary Logic Circuits with Ambipolar Organic Transistors.

    Science.gov (United States)

    Yoo, Hocheon; Ghittorelli, Matteo; Smits, Edsger C P; Gelinck, Gerwin H; Lee, Han-Koo; Torricelli, Fabrizio; Kim, Jae-Joon

    2016-10-20

    Ambipolar organic electronics offer great potential for simple and low-cost fabrication of complementary logic circuits on large-area and mechanically flexible substrates. Ambipolar transistors are ideal candidates for the simple and low-cost development of complementary logic circuits since they can operate as n-type and p-type transistors. Nevertheless, the experimental demonstration of ambipolar organic complementary circuits is limited to inverters. The control of the transistor polarity is crucial for proper circuit operation. Novel gating techniques enable to control the transistor polarity but result in dramatically reduced performances. Here we show high-performance non-planar ambipolar organic transistors with electrical control of the polarity and orders of magnitude higher performances with respect to state-of-art split-gate ambipolar transistors. Electrically reconfigurable complementary logic gates based on ambipolar organic transistors are experimentally demonstrated, thus opening up new opportunities for ambipolar organic complementary electronics.

  9. Ultra-high gain diffusion-driven organic transistor

    Science.gov (United States)

    Torricelli, Fabrizio; Colalongo, Luigi; Raiteri, Daniele; Kovács-Vajna, Zsolt Miklós; Cantatore, Eugenio

    2016-01-01

    Emerging large-area technologies based on organic transistors are enabling the fabrication of low-cost flexible circuits, smart sensors and biomedical devices. High-gain transistors are essential for the development of large-scale circuit integration, high-sensitivity sensors and signal amplification in sensing systems. Unfortunately, organic field-effect transistors show limited gain, usually of the order of tens, because of the large contact resistance and channel-length modulation. Here we show a new organic field-effect transistor architecture with a gain larger than 700. This is the highest gain ever reported for organic field-effect transistors. In the proposed organic field-effect transistor, the charge injection and extraction at the metal–semiconductor contacts are driven by the charge diffusion. The ideal conditions of ohmic contacts with negligible contact resistance and flat current saturation are demonstrated. The approach is general and can be extended to any thin-film technology opening unprecedented opportunities for the development of high-performance flexible electronics. PMID:26829567

  10. An enhanced close-in phase noise LC-VCO using parasitic V-NPN transistors in a CMOS process

    International Nuclear Information System (INIS)

    Gao Peijun; Min Hao; Oh, N J

    2009-01-01

    A differential LC voltage controlled oscillator (VCO) employing parasitic vertical-NPN (V-NPN) transistors as a negative g m -cell is presented to improve the close-in phase noise. The V-NPN transistors have lower flicker noise compared to MOS transistors. DC and AC characteristics of the V-NPN transistors are measured to facilitate the VCO design. The proposed VCO is implemented in a 0.18 μm CMOS RF/mixed signal process, and the measurement results show the close-in phase noise is improved by 3.5-9.1 dB from 100 Hz to 10 kHz offset compared to that of a similar CMOS VCO. The proposed VCO consumes only 0.41 mA from a 1.5 V power supply. (semiconductor integrated circuits)

  11. High-Performance Vertical Organic Electrochemical Transistors.

    Science.gov (United States)

    Donahue, Mary J; Williamson, Adam; Strakosas, Xenofon; Friedlein, Jacob T; McLeod, Robert R; Gleskova, Helena; Malliaras, George G

    2018-02-01

    Organic electrochemical transistors (OECTs) are promising transducers for biointerfacing due to their high transconductance, biocompatibility, and availability in a variety of form factors. Most OECTs reported to date, however, utilize rather large channels, limiting the transistor performance and resulting in a low transistor density. This is typically a consequence of limitations associated with traditional fabrication methods and with 2D substrates. Here, the fabrication and characterization of OECTs with vertically stacked contacts, which overcome these limitations, is reported. The resulting vertical transistors exhibit a reduced footprint, increased intrinsic transconductance of up to 57 mS, and a geometry-normalized transconductance of 814 S m -1 . The fabrication process is straightforward and compatible with sensitive organic materials, and allows exceptional control over the transistor channel length. This novel 3D fabrication method is particularly suited for applications where high density is needed, such as in implantable devices. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Pro-apoptotic protein Noxa regulates memory T cell population size and protects against lethal immunopathology

    NARCIS (Netherlands)

    Wensveen, Felix M.; Klarenbeek, Paul L.; van Gisbergen, Klaas P. J. M.; Pascutti, Maria F.; Derks, Ingrid A. M.; van Schaik, Barbera D. C.; ten Brinke, Anja; de Vries, Niek; Cekinovic, Durdica; Jonjic, Stipan; van Lier, René A. W.; Eldering, Eric

    2013-01-01

    Memory T cells form a highly specific defense layer against reinfection with previously encountered pathogens. In addition, memory T cells provide protection against pathogens that are similar, but not identical to the original infectious agent. This is because each T cell response harbors multiple

  13. SPATIAL MEMORY IMPAIRMENT AND HIPPOCAMPAL CELL LOSS INDUCED BY OKADAIC ACID (EXPERIMENTAL STUDY).

    Science.gov (United States)

    Chighladze, M; Dashniani, M; Beselia, G; Kruashvili, L; Naneishvili, T

    2016-01-01

    In the present study, we evaluated and compared effect of intracerebroventricular (ICV) and intrahippocampal bilateral microinjection of okadaic acid (OA) on spatial memory function assessed in one day water maze paradigm and hippocampal structure in rats. Rats were divided in following groups: Control(icv) - rats injected with ICV and aCSF; Control(hipp) - rats injected intrahippocampally with aCSF; OAicv - rats injected with ICV and OA; OAhipp - rats injected intrahippocampally with OA. Nissl staining of hippocampal sections showed that the pyramidal cell loss in OAhipp group is significantly higher than that in the OAicv. The results of behavioral experiments showed that ICV or intrahippocampal bilateral microinjection of OA did not affect learning process and short-term spatial memory but induced impairment in spatial long-term memory assessed in probe test performance 24 h after training. OA-induced spatial memory impairment may be attributed to the hippocampal cell death. Based on these results OA induced memory deficit and hippocampal cell loss in rat may be considered as a potential animal model for preclinical evaluation of antidementic drug activity.

  14. Protecting and rescuing the effectors: roles of differentiation and survival in the control of memory T cell development

    Directory of Open Access Journals (Sweden)

    Sema eKurtulus

    2013-01-01

    Full Text Available Vaccines, arguably the single most important intervention in improving human health, have exploited the phenomenon of immunological memory. The elicitation of memory T cells is often an essential part of successful long-lived protective immunity. Our understanding of T cell memory has been greatly aided by the development of TCR Tg mice and MHC tetrameric staining reagents that have allowed the precise tracking of antigen-specific T cell responses. Indeed, following acute infection or immunization, naïve T cells undergo a massive expansion culminating in the generation of a robust effector T cell population. This peak effector response is relatively short-lived and, while most effector T cells die by apoptosis, some remain and develop into memory cells. Although the molecular mechanisms underlying this cell fate decision remain incompletely defined, substantial progress has been made, particularly with regards to CD8+ T cells. For example, the effector CD8+ T cells generated during a response are heterogeneous, consisting of cells with more or less potential to develop into full-fledged memory cells. Development of CD8+ T cell memory is regulated by the transcriptional programs that control the differentiation and survival of effector T cells. While the type of antigenic stimulation and level of inflammation control effector CD8+ T cell differentiation, availability of cytokines and their ability to control expression and function of Bcl-2 family members governs their survival. These distinct differentiation and survival programs may allow for finer therapeutic intervention to control both the quality and quantity of CD8+ T cell memory. Effector to memory transition of CD4+ T cells is less well characterized than CD8+ T cells, emerging details will be discussed. This review will focus on the recent progress made in our understanding of the mechanisms underlying the development of T cell memory with an emphasis on factors controlling survival of

  15. NK Cell-Mediated Regulation of Protective Memory Responses against Intracellular Ehrlichial Pathogens.

    Directory of Open Access Journals (Sweden)

    Samar Habib

    Full Text Available Ehrlichiae are gram-negative obligate intracellular bacteria that cause potentially fatal human monocytic ehrlichiosis. We previously showed that natural killer (NK cells play a critical role in host defense against Ehrlichia during primary infection. However, the contribution of NK cells to the memory response against Ehrlichia remains elusive. Primary infection of C57BL/6 mice with Ehrlichia muris provides long-term protection against a second challenge with the highly virulent Ixodes ovatus Ehrlichia (IOE, which ordinarily causes fatal disease in naïve mice. Here, we show that the depletion of NK cells in E. muris-primed mice abrogates the protective memory response against IOE. Approximately, 80% of NK cell-depleted E. muris-primed mice succumbed to lethal IOE infection on days 8-10 after IOE infection, similar to naïve mice infected with the same dose of IOE. The lack of a recall response in NK cell-depleted mice correlated with an increased bacterial burden, extensive liver injury, decreased frequency of Ehrlichia-specific IFN-γ-producing memory CD4+ and CD8+ T-cells, and a low titer of Ehrlichia-specific antibodies. Intraperitoneal infection of mice with E. muris resulted in the production of IL-15, IL-12, and IFN-γ as well as an expansion of activated NKG2D+ NK cells. The adoptive transfer of purified E. muris-primed hepatic and splenic NK cells into Rag2-/-Il2rg-/- recipient mice provided protective immunity against challenge with E. muris. Together, these data suggest that E. muris-induced memory-like NK cells, which contribute to the protective, recall response against Ehrlichia.

  16. High transconductance organic electrochemical transistors

    Science.gov (United States)

    Khodagholy, Dion; Rivnay, Jonathan; Sessolo, Michele; Gurfinkel, Moshe; Leleux, Pierre; Jimison, Leslie H.; Stavrinidou, Eleni; Herve, Thierry; Sanaur, Sébastien; Owens, Róisín M.; Malliaras, George G.

    2013-07-01

    The development of transistors with high gain is essential for applications ranging from switching elements and drivers to transducers for chemical and biological sensing. Organic transistors have become well-established based on their distinct advantages, including ease of fabrication, synthetic freedom for chemical functionalization, and the ability to take on unique form factors. These devices, however, are largely viewed as belonging to the low-end of the performance spectrum. Here we present organic electrochemical transistors with a transconductance in the mS range, outperforming transistors from both traditional and emerging semiconductors. The transconductance of these devices remains fairly constant from DC up to a frequency of the order of 1 kHz, a value determined by the process of ion transport between the electrolyte and the channel. These devices, which continue to work even after being crumpled, are predicted to be highly relevant as transducers in biosensing applications.

  17. High transconductance organic electrochemical transistors

    Science.gov (United States)

    Khodagholy, Dion; Rivnay, Jonathan; Sessolo, Michele; Gurfinkel, Moshe; Leleux, Pierre; Jimison, Leslie H.; Stavrinidou, Eleni; Herve, Thierry; Sanaur, Sébastien; Owens, Róisín M.; Malliaras, George G.

    2013-01-01

    The development of transistors with high gain is essential for applications ranging from switching elements and drivers to transducers for chemical and biological sensing. Organic transistors have become well-established based on their distinct advantages, including ease of fabrication, synthetic freedom for chemical functionalization, and the ability to take on unique form factors. These devices, however, are largely viewed as belonging to the low-end of the performance spectrum. Here we present organic electrochemical transistors with a transconductance in the mS range, outperforming transistors from both traditional and emerging semiconductors. The transconductance of these devices remains fairly constant from DC up to a frequency of the order of 1 kHz, a value determined by the process of ion transport between the electrolyte and the channel. These devices, which continue to work even after being crumpled, are predicted to be highly relevant as transducers in biosensing applications. PMID:23851620

  18. Diet-induced obesity does not impact the generation and maintenance of primary memory CD8 T cells.

    Science.gov (United States)

    Khan, Shaniya H; Hemann, Emily A; Legge, Kevin L; Norian, Lyse A; Badovinac, Vladimir P

    2014-12-15

    The extent to which obesity compromises the differentiation and maintenance of protective memory CD8 T cell responses and renders obese individuals susceptible to infection remains unknown. In this study, we show that diet-induced obesity did not impact the maintenance of pre-existing memory CD8 T cells, including acquisition of a long-term memory phenotype (i.e., CD27(hi), CD62L(hi), KLRG1(lo)) and function (i.e., cytokine production, secondary expansion, and memory CD8 T cell-mediated protection). Additionally, obesity did not influence the differentiation and maintenance of newly evoked memory CD8 T cell responses in inbred and outbred hosts generated in response to different types of systemic (LCMV, L. monocytogenes) and/or localized (influenza virus) infections. Interestingly, the rate of naive-to-memory CD8 T cell differentiation after a peptide-coated dendritic cell immunization was similar in lean and obese hosts, suggesting that obesity-associated inflammation, unlike pathogen- or adjuvant-induced inflammation, did not influence the development of endogenous memory CD8 T cell responses. Therefore, our studies reveal that the obese environment does not influence the development or maintenance of memory CD8 T cell responses that are either primed before or after obesity is established, a surprising notion with important implications for future studies aiming to elucidate the role obesity plays in host susceptibility to infections. Copyright © 2014 by The American Association of Immunologists, Inc.

  19. Programmable automated transistor test system

    International Nuclear Information System (INIS)

    Truong, L.V.; Sundberg, G.R.

    1986-01-01

    The paper describes a programmable automated transistor test system (PATTS) and its utilization to evaluate bipolar transistors and Darlingtons, and such MOSFET and special types as can be accommodated with the PATTS base-drive. An application of a pulsed power technique at low duty cycles in a non-destructive test is used to examine the dynamic switching characteristic curves of power transistors. Data collection, manipulation, storage, and output are operator interactive but are guided and controlled by the system software. In addition a library of test data is established on disks, tapes, and hard copies for future reference

  20. Diet-induced obesity in mice reduces the maintenance of influenza-specific CD8+ memory T cells.

    Science.gov (United States)

    Karlsson, Erik A; Sheridan, Patricia A; Beck, Melinda A

    2010-09-01

    Obesity has been associated with increasing the risk for type 2 diabetes and heart disease, but its influence on the immune response to viral infection is understudied. Memory T cells generated during a primary influenza infection are important for protection against subsequent influenza exposures. Previously, we have demonstrated that diet-induced obese (DIO) mice have increased morbidity and mortality following secondary influenza infection compared with lean mice. To determine whether the problem resided in a failure to maintain functional, influenza-specific CD8(+) memory T cells, male DIO and lean mice were infected with influenza X-31. At 84 d postinfection, DIO mice had a 10% reduction in memory T cell numbers. This reduction may have resulted from significantly reduced memory T cell expression of interleukin 2 receptor beta (IL-2R beta, CD122), but not IL-7 receptor alpha (CD127), which are both required for memory cell maintenance. Peripheral leptin resistance in the DIO mice may be a contributing factor to the impairment. Indeed, leptin receptor mRNA expression was significantly reduced in the lungs of obese mice, whereas suppressor of cytokine signaling (Socs)1 and Socs3 mRNA expression were increased. It is imperative to understand how the obese state alters memory T cells, because impairment in maintenance of functional memory responses has important implications for vaccine efficacy in an obese population.

  1. Ability of spleen cells from tumor bearing mice to transfer immunologic memory

    Energy Technology Data Exchange (ETDEWEB)

    Plavsic, B.; Jurin, M. (Zagreb Univ. (Yugoslavia)); Ugarkovic, B. (Institut Rudjer Boskovic, Zagreb (Yugoslavia))

    1983-01-01

    The ability of splenocytes from tumorous mice to transfer immunologic memory was tested. Three syngeneic experimental tumors from highly inbred strains were used; fibrosarcoma, lymphoma and Lewis lung carcinoma. Splenocytes from tumorous mice were collected after rejection of allogeneic skin which had been grafted at different stages of the tumor disease, and injected into lethally irradiated syngeneic recipients. These secondary hosts were grafted with the same allogeneic skin graft as their donors and the ability of cells transplanted from tumorous donors to transfer memory to allograft was tested. Tumorous mice seemed to have more memory cells (T lymphocytes) in their spleens than the controls.

  2. Accessory signals in T-T cell interactions between antigen- and alloantigen-specific, human memory T cells generated in vitro

    DEFF Research Database (Denmark)

    Odum, N; Ryder, L P; Georgsen, J

    1990-01-01

    The potential of activated HLA class II-positive T cells as antigen-/alloantigen-presenting cells remains controversial. In our model system we use in vitro-primed, HLA class II-specific T cells of the memory T-cell phenotype, CD4+, CD29+ (4B4+), and CD45RO+ (UCHL-1). We have previously shown......), or a calcium ionophore (A23187) enabled Ta to elicit alloantigen-specific memory T-cell responses and to present purified protein derivative (PPD) to PPD-specific T-cell lines. The addition of irradiated, Epstein-Barr virus-transformed B-cell lines (EBV-LCL) (but not their supernatants) had a similar but less...

  3. Universal power transistor base drive control unit

    Science.gov (United States)

    Gale, Allan R.; Gritter, David J.

    1988-01-01

    A saturation condition regulator system for a power transistor which achieves the regulation objectives of a Baker clamp but without dumping excess base drive current into the transistor output circuit. The base drive current of the transistor is sensed and used through an active feedback circuit to produce an error signal which modulates the base drive current through a linearly operating FET. The collector base voltage of the power transistor is independently monitored to develop a second error signal which is also used to regulate base drive current. The current-sensitive circuit operates as a limiter. In addition, a fail-safe timing circuit is disclosed which automatically resets to a turn OFF condition in the event the transistor does not turn ON within a predetermined time after the input signal transition.

  4. Engrampigenetics: Epigenetics of engram memory cells.

    Science.gov (United States)

    Ripoli, Cristian

    2017-05-15

    For long time, the epidemiology of late-onset sporadic Alzheimer's disease (AD) risk factors has centered on adult life-style. Recent studies have, instead, focused on the role of early life experiences in progression of such disease especially in the context of prenatal and postnatal life. Although no single unfavorable environmental event has been shown to be neither necessary nor sufficient for AD development, it is possible that the sum of several environmentally induced effects, over time, contribute to its pathophysiology through epigenetic mechanisms. Indeed, epigenetic changes are influenced by environmental factors and have been proposed to play a role in multifactorial pathologies such as AD. At the same time, recent findings suggest that epigenetic mechanisms are one method that neurons use to translate transient stimuli into stable memories. Thus, the characteristics of epigenetics being a critical link between the environment and genes and playing a crucial role in memory formation make candidate epigenetic mechanisms a natural substrate for AD research. Indeed, independent groups have reported several epigenetically dysregulated genes in AD models; however, the role of epigenetic mechanisms in AD has remained elusive owing to contradictory results. Here, I propose that restricting the analysis of epigenetic changes specifically to subpopulations of neurons (namely, engram memory cells) might be helpful in understanding the role of the epigenetic process in the memory-related specific epigenetic code and might constitute a new template for therapeutic interventions against AD. Copyright © 2016. Published by Elsevier B.V.

  5. AMPKα1: a glucose sensor that controls CD8 T-cell memory.

    Science.gov (United States)

    Rolf, Julia; Zarrouk, Marouan; Finlay, David K; Foretz, Marc; Viollet, Benoit; Cantrell, Doreen A

    2013-04-01

    The adenosine monophosphate-activated protein kinase (AMPK) is activated by antigen receptor signals and energy stress in T cells. In many cell types, AMPK can maintain energy homeostasis and can enforce quiescence to limit energy demands. We consequently evaluated the importance of AMPK for controlling the transition of metabolically active effector CD8 T lymphocytes to the metabolically quiescent catabolic memory T cells during the contraction phase of the immune response. We show that AMPKα1 activates rapidly in response to the metabolic stress caused by glucose deprivation of CD8 cytotoxic T lymphocytes (CTLs). Moreover, AMPKα1 restrains mammalian target of rapamycin complex 1 activity under conditions of glucose stress. AMPKα1 activity is dispensable for proliferation and differentiation of CTLs. However, AMPKα1 is required for in vivo survival of CTLs following withdrawal of immune stimulation. AMPKα1(null) T cells also show a striking defect in their ability to generate memory CD8 T-cell responses during Listeria monocytogenes infection. These results show that AMPKα1 monitors energy stress in CTLs and controls CD8 T-cell memory. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Methyltransferases mediate cell memory of a genotoxic insult.

    Science.gov (United States)

    Rugo, R E; Mutamba, J T; Mohan, K N; Yee, T; Chaillet, J R; Greenberger, J S; Engelward, B P

    2011-02-10

    Characterization of the direct effects of DNA-damaging agents shows how DNA lesions lead to specific mutations. Yet, serum from Hiroshima survivors, Chernobyl liquidators and radiotherapy patients can induce a clastogenic effect on naive cells, showing indirect induction of genomic instability that persists years after exposure. Such indirect effects are not restricted to ionizing radiation, as chemical genotoxins also induce heritable and transmissible genomic instability phenotypes. Although such indirect induction of genomic instability is well described, the underlying mechanism has remained enigmatic. Here, we show that mouse embryonic stem cells exposed to γ-radiation bear the effects of the insult for weeks. Specifically, conditioned media from the progeny of exposed cells can induce DNA damage and homologous recombination in naive cells. Notably, cells exposed to conditioned media also elicit a genome-destabilizing effect on their neighbouring cells, thus demonstrating transmission of genomic instability. Moreover, we show that the underlying basis for the memory of an insult is completely dependent on two of the major DNA cytosine methyltransferases, Dnmt1 and Dnmt3a. Targeted disruption of these genes in exposed cells completely eliminates transmission of genomic instability. Furthermore, transient inactivation of Dnmt1, using a tet-suppressible allele, clears the memory of the insult, thus protecting neighbouring cells from indirect induction of genomic instability. We have thus demonstrated that a single exposure can lead to long-term, genome-destabilizing effects that spread from cell to cell, and we provide a specific molecular mechanism for these persistent bystander effects. Collectively, our results impact the current understanding of risks from toxin exposures and suggest modes of intervention for suppressing genomic instability in people exposed to carcinogenic genotoxins.

  7. Low-background transistors for application in nuclear electronics

    International Nuclear Information System (INIS)

    Krasnokutskij, R.N.; Kurchaninov, L.L.; Fedyakin, N.N.; Shuvalov, R.S.

    1988-01-01

    Investigations of silicon transistors were carried out to determine transistors with low value of base distributed resistance (R). Measurement results for R and current amplification coefficient β are presented for bipolar transistor several types. Correlations between R and β were studied. KT 399A, 2T640A and KT3117B transistors are found to be most adequate ones as a base for low-background amplifier development

  8. Oct1 and OCA-B are selectively required for CD4 memory T cell function.

    Science.gov (United States)

    Shakya, Arvind; Goren, Alon; Shalek, Alex; German, Cody N; Snook, Jeremy; Kuchroo, Vijay K; Yosef, Nir; Chan, Raymond C; Regev, Aviv; Williams, Matthew A; Tantin, Dean

    2015-11-16

    Epigenetic changes are crucial for the generation of immunological memory. Failure to generate or maintain these changes will result in poor memory responses. Similarly, augmenting or stabilizing the correct epigenetic states offers a potential method of enhancing memory. Yet the transcription factors that regulate these processes are poorly defined. We find that the transcription factor Oct1 and its cofactor OCA-B are selectively required for the in vivo generation of CD4(+) memory T cells. More importantly, the memory cells that are formed do not respond properly to antigen reencounter. In vitro, both proteins are required to maintain a poised state at the Il2 target locus in resting but previously stimulated CD4(+) T cells. OCA-B is also required for the robust reexpression of multiple other genes including Ifng. ChIPseq identifies ∼50 differentially expressed direct Oct1 and OCA-B targets. We identify an underlying mechanism involving OCA-B recruitment of the histone lysine demethylase Jmjd1a to targets such as Il2, Ifng, and Zbtb32. The findings pinpoint Oct1 and OCA-B as central mediators of CD4(+) T cell memory. © 2015 Shakya et al.

  9. Thin-film-transistor array: an exploratory attempt for high throughput cell manipulation using electrowetting principle

    Science.gov (United States)

    Shaik, F. Azam; Cathcart, G.; Ihida, S.; Lereau-Bernier, M.; Leclerc, E.; Sakai, Y.; Toshiyoshi, H.; Tixier-Mita, A.

    2017-05-01

    In lab-on-a-chip (LoC) devices, microfluidic displacement of liquids is a key component. electrowetting on dielectric (EWOD) is a technique to move fluids, with the advantage of not requiring channels, pumps or valves. Fluids are discretized into droplets on microelectrodes and moved by applying an electric field via the electrodes to manipulate the contact angle. Micro-objects, such as biological cells, can be transported inside of these droplets. However, the design of conventional microelectrodes, made by standard micro-fabrication techniques, fixes the path of the droplets, and limits the reconfigurability of paths and thus limits the parallel processing of droplets. In that respect, thin film transistor (TFT) technology presents a great opportunity as it allows infinitely reconfigurable paths, with high parallelizability. We propose here to investigate the possibility of using TFT array devices for high throughput cell manipulation using EWOD. A COMSOL based 2D simulation coupled with a MATLAB algorithm was used to simulate the contact angle modulation, displacement and mixing of droplets. These simulations were confirmed by experimental results. The EWOD technique was applied to a droplet of culture medium containing HepG2 carcinoma cells and demonstrated no negative effects on the viability of the cells. This confirms the possibility of applying EWOD techniques to cellular applications, such as parallel cell analysis.

  10. Thin-film-transistor array: an exploratory attempt for high throughput cell manipulation using electrowetting principle

    International Nuclear Information System (INIS)

    Shaik, F Azam; Cathcart, G; Toshiyoshi, H; Tixier-Mita, A; Ihida, S; Sakai, Y; Lereau-Bernier, M; Leclerc, E

    2017-01-01

    In lab-on-a-chip (LoC) devices, microfluidic displacement of liquids is a key component. electrowetting on dielectric (EWOD) is a technique to move fluids, with the advantage of not requiring channels, pumps or valves. Fluids are discretized into droplets on microelectrodes and moved by applying an electric field via the electrodes to manipulate the contact angle. Micro-objects, such as biological cells, can be transported inside of these droplets. However, the design of conventional microelectrodes, made by standard micro-fabrication techniques, fixes the path of the droplets, and limits the reconfigurability of paths and thus limits the parallel processing of droplets. In that respect, thin film transistor (TFT) technology presents a great opportunity as it allows infinitely reconfigurable paths, with high parallelizability. We propose here to investigate the possibility of using TFT array devices for high throughput cell manipulation using EWOD. A COMSOL based 2D simulation coupled with a MATLAB algorithm was used to simulate the contact angle modulation, displacement and mixing of droplets. These simulations were confirmed by experimental results. The EWOD technique was applied to a droplet of culture medium containing HepG2 carcinoma cells and demonstrated no negative effects on the viability of the cells. This confirms the possibility of applying EWOD techniques to cellular applications, such as parallel cell analysis. (paper)

  11. Dual role of miR-21 in CD4+ T-cells: activation-induced miR-21 supports survival of memory T-cells and regulates CCR7 expression in naive T-cells.

    Directory of Open Access Journals (Sweden)

    Katarzyna Smigielska-Czepiel

    Full Text Available Immune cell-type specific miRNA expression patterns have been described but the detailed role of single miRNAs in the function of T-cells remains largely unknown. We investigated the role of miR-21 in the function of primary human CD4+ T-cells. MiR-21 is substantially expressed in T-cells with a memory phenotype, and is robustly upregulated upon αCD3/CD28 activation of both naive and memory T-cells. By inhibiting the endogenous miR-21 function in activated naive and memory T-cells, we showed that miR-21 regulates fundamentally different aspects of T-cell biology, depending on the differentiation status of the T-cell. Stable inhibition of miR-21 function in activated memory T-cells led to growth disadvantage and apoptosis, indicating that the survival of memory T-cells depends on miR-21 function. In contrast, stable inhibition of miR-21 function in activated naive T-cells did not result in growth disadvantage, but led to a significant induction of CCR7 protein expression. Direct interaction between CCR7 and miR-21 was confirmed in a dual luciferase reporter assay. Our data provide evidence for a dual role of miR-21 in CD4+ T cells; Regulation of T-cell survival is confined to activated memory T-cells, while modulation of potential homing properties, through downregulation of CCR7 protein expression, is observed in activated naive T-cells.

  12. Skin vaccination with live virus vectored microneedle arrays induce long lived CD8(+) T cell memory.

    Science.gov (United States)

    Becker, Pablo D; Hervouet, Catherine; Mason, Gavin M; Kwon, Sung-Yun; Klavinskis, Linda S

    2015-09-08

    A simple dissolvable microneedle array (MA) platform has emerged as a promising technology for vaccine delivery, due to needle-free injection with a formulation that preserves the immunogenicity of live viral vectored vaccines dried in the MA matrix. While recent studies have focused largely on design parameters optimized to induce primary CD8(+) T cell responses, the hallmark of a vaccine is synonymous with engendering long-lasting memory. Here, we address the capacity of dried MA vaccination to programme phenotypic markers indicative of effector/memory CD8(+) T cell subsets and also responsiveness to recall antigen benchmarked against conventional intradermal (ID) injection. We show that despite a slightly lower frequency of dividing T cell receptor transgenic CD8(+) T cells in secondary lymphoid tissue at an early time point, the absolute number of CD8(+) T cells expressing an effector memory (CD62L(-)CD127(+)) and central memory (CD62L(+)CD127(+)) phenotype during peak expansion were comparable after MA and ID vaccination with a recombinant human adenovirus type 5 vector (AdHu5) encoding HIV-1 gag. Similarly, both vaccination routes generated CD8(+) memory T cell subsets detected in draining LNs for at least two years post-vaccination capable of responding to secondary antigen. These data suggest that CD8(+) T cell effector/memory generation and long-term memory is largely unaffected by physical differences in vaccine delivery to the skin via dried MA or ID suspension. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Radiation damage in flash memory cells

    International Nuclear Information System (INIS)

    Claeys, C.; Ohyama, H.; Simoen, E.; Nakabayashi, M.; Kobayashi, K.

    2002-01-01

    Results are presented of a study on the effects of total ionization dose and displacement damage, induced by high-energy electrons, protons and alphas, on the performance degradation of flash memory cells integrated in a microcomputer. A conventional stacked-gate n-channel flash memory cell using a 0.8 μm n-polysilicon gate technology is employed. Irradiations by 1-MeV electrons and 20-MeV protons and alpha particles were done at room temperature. The impact of the fluence on the input characteristics, threshold voltage shift and drain and gate leakage was investigated. The threshold voltage change for proton and alpha irradiations is about three orders of magnitude larger than that for electrons. The performance degradation is mainly caused by the total ionization dose (TID) damage in the tunnel oxide and in the interpoly dielectric layer and by the creation of interface traps at the Si-SiO 2 interface. The impact of the irradiation temperature on the device degradation was studied for electrons and gammas, pointing out that irradiation at room temperature is mostly the worst case. Finally, attention is given to the impact of isochronal and isothermal annealing on the recovery of the degradation introduced after room temperature proton and electron irradiation

  14. A Fast Dynamic 64-bit Comparator with Small Transistor Count

    Directory of Open Access Journals (Sweden)

    Chua-Chin Wang

    2002-01-01

    Full Text Available In this paper, we propose a 64-bit fast dynamic CMOS comparator with small transistor count. Major features of the proposed comparator are the rearrangement and re-ordering of transistors in the evaluation block of a dynamic cell, and the insertion of a weak n feedback inverter, which helps the pull-down operation to ground. The simulation results given by pre-layout tools, e.g. HSPICE, and post-layout tools, e.g. TimeMill, reveal that the delay is around 2.5 ns while the operating clock rate reaches 100 MHz. A physical chip is fabricated to verify the correctness of our design by using UMC (United Microelectronics Company 0.5 μm (2P2M technology.

  15. Planar-Processed Polymer Transistors.

    Science.gov (United States)

    Xu, Yong; Sun, Huabin; Shin, Eul-Yong; Lin, Yen-Fu; Li, Wenwu; Noh, Yong-Young

    2016-10-01

    Planar-processed polymer transistors are proposed where the effective charge injection and the split unipolar charge transport are all on the top surface of the polymer film, showing ideal device characteristics with unparalleled performance. This technique provides a great solution to the problem of fabrication limitations, the ambiguous operating principle, and the performance improvements in practical applications of conjugated-polymer transistors. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Basic matrix algebra and transistor circuits

    CERN Document Server

    Zelinger, G

    1963-01-01

    Basic Matrix Algebra and Transistor Circuits deals with mastering the techniques of matrix algebra for application in transistors. This book attempts to unify fundamental subjects, such as matrix algebra, four-terminal network theory, transistor equivalent circuits, and pertinent design matters. Part I of this book focuses on basic matrix algebra of four-terminal networks, with descriptions of the different systems of matrices. This part also discusses both simple and complex network configurations and their associated transmission. This discussion is followed by the alternative methods of de

  17. Graphene-based flexible and stretchable thin film transistors.

    Science.gov (United States)

    Yan, Chao; Cho, Jeong Ho; Ahn, Jong-Hyun

    2012-08-21

    Graphene has been attracting wide attention owing to its superb electronic, thermal and mechanical properties. These properties allow great applications in the next generation of optoelectronics, where flexibility and stretchability are essential. In this context, the recent development of graphene growth/transfer and its applications in field-effect transistors are involved. In particular, we provide a detailed review on the state-of-the-art of graphene-based flexible and stretchable thin film transistors. We address the principles of fabricating high-speed graphene analog transistors and the key issues of producing an array of graphene-based transistors on flexible and stretchable substrates. It provides a platform for future work to focus on understanding and realizing high-performance graphene-based transistors.

  18. The Bipolar Field-Effect Transistor: XIII. Physical Realizations of the Transistor and Circuits (One-Two-MOS-Gates on Thin-Thick Pure-Impure Base)

    International Nuclear Information System (INIS)

    Sah, C.-T.; Jie Binbin

    2009-01-01

    This paper reports the physical realization of the Bipolar Field-Effect Transistor (BiFET) and its one-transistor basic building block circuits. Examples are given for the one and two MOS gates on thin and thick, pure and impure base, with electron and hole contacts, and the corresponding theoretical current-voltage characteristics previously computed by us, without generation-recombination-trapping-tunneling of electrons and holes. These examples include the one-MOS-gate on semi-infinite thick impure base transistor (the bulk transistor) and the impurethin-base Silicon-on-Insulator (SOI) transistor and the two-MOS-gates on thin base transistors (the FinFET and the Thin Film Transistor TFT). Figures are given with the cross-section views containing the electron and hole concentration and current density distributions and trajectories and the corresponding DC current-voltage characteristics.

  19. Transplanted Bone Marrow Mesenchymal Stem Cells Improve Memory in Rat Models of Alzheimer's Disease

    Directory of Open Access Journals (Sweden)

    Parvin Babaei

    2012-01-01

    Full Text Available The present study aims to evaluate the effect of bone marrow mesenchymal stem cells (MSCs grafts on cognition deficit in chemically and age-induced Alzheimer's models of rats. In the first experiments aged animals (30 months were tested in Morris water maze (MWM and divided into two groups: impaired memory and unimpaired memory. Impaired groups were divided into two groups and cannulated bilaterally at the CA1 of the hippocampus for delivery of mesenchymal stem cells (500×103/ and PBS (phosphate buffer saline. In the second experiment, Ibotenic acid (Ibo was injected bilaterally into the nucleus basalis magnocellularis (NBM of young rats (3 months and animals were tested in MWM. Then, animals with memory impairment received the following treatments: MSCs (500×103/ and PBS. Two months after the treatments, cognitive recovery was assessed by MWM in relearning paradigm in both experiments. Results showed that MSCs treatment significantly increased learning ability and memory in both age- and Ibo-induced memory impairment. Adult bone marrow mesenchymal stem cells show promise in treating cognitive decline associated with aging and NBM lesions.

  20. DESTINY: A Comprehensive Tool with 3D and Multi-Level Cell Memory Modeling Capability

    Directory of Open Access Journals (Sweden)

    Sparsh Mittal

    2017-09-01

    Full Text Available To enable the design of large capacity memory structures, novel memory technologies such as non-volatile memory (NVM and novel fabrication approaches, e.g., 3D stacking and multi-level cell (MLC design have been explored. The existing modeling tools, however, cover only a few memory technologies, technology nodes and fabrication approaches. We present DESTINY, a tool for modeling 2D/3D memories designed using SRAM, resistive RAM (ReRAM, spin transfer torque RAM (STT-RAM, phase change RAM (PCM and embedded DRAM (eDRAM and 2D memories designed using spin orbit torque RAM (SOT-RAM, domain wall memory (DWM and Flash memory. In addition to single-level cell (SLC designs for all of these memories, DESTINY also supports modeling MLC designs for NVMs. We have extensively validated DESTINY against commercial and research prototypes of these memories. DESTINY is very useful for performing design-space exploration across several dimensions, such as optimizing for a target (e.g., latency, area or energy-delay product for a given memory technology, choosing the suitable memory technology or fabrication method (i.e., 2D v/s 3D for a given optimization target, etc. We believe that DESTINY will boost studies of next-generation memory architectures used in systems ranging from mobile devices to extreme-scale supercomputers. The latest source-code of DESTINY is available from the following git repository: https://bitbucket.org/sparshmittal/destinyv2.

  1. Highly Crumpled All-Carbon Transistors for Brain Activity Recording.

    Science.gov (United States)

    Yang, Long; Zhao, Yan; Xu, Wenjing; Shi, Enzheng; Wei, Wenjing; Li, Xinming; Cao, Anyuan; Cao, Yanping; Fang, Ying

    2017-01-11

    Neural probes based on graphene field-effect transistors have been demonstrated. Yet, the minimum detectable signal of graphene transistor-based probes is inversely proportional to the square root of the active graphene area. This fundamentally limits the scaling of graphene transistor-based neural probes for improved spatial resolution in brain activity recording. Here, we address this challenge using highly crumpled all-carbon transistors formed by compressing down to 16% of its initial area. All-carbon transistors, chemically synthesized by seamless integration of graphene channels and hybrid graphene/carbon nanotube electrodes, maintained structural integrity and stable electronic properties under large mechanical deformation, whereas stress-induced cracking and junction failure occurred in conventional graphene/metal transistors. Flexible, highly crumpled all-carbon transistors were further verified for in vivo recording of brain activity in rats. These results highlight the importance of advanced material and device design concepts to make improvements in neuroelectronics.

  2. Antigen-Encoding Bone Marrow Terminates Islet-Directed Memory CD8+ T-Cell Responses to Alleviate Islet Transplant Rejection

    DEFF Research Database (Denmark)

    Coleman, Miranda; Jessup, Claire F.; Bridge, Jennifer A.

    2016-01-01

    in islet transplantation, and this will extend to application of personalized approaches using stem cell–derived replacement β-cells. New approaches are required to limit memory autoimmune attack of transplanted islets or replacement β-cells. Here, we show that transfer of bone marrow encoding cognate......Islet-specific memory T cells arise early in type 1 diabetes (T1D), persist for long periods, perpetuate disease, and are rapidly reactivated by islet transplantation. As memory T cells are poorly controlled by “conventional” therapies, memory T cell–mediated attack is a substantial challenge......-cell responses, and this can alleviate destruction of antigen-expressing islets. This addresses a key challenge facing islet transplantation and, importantly, the clinical application of personalized β-cell replacement therapies using patient-derived stem cells....

  3. High Accuracy Transistor Compact Model Calibrations

    Energy Technology Data Exchange (ETDEWEB)

    Hembree, Charles E. [Sandia National Laboratories (SNL-NM), Albuquerque, NM (United States); Mar, Alan [Sandia National Laboratories (SNL-NM), Albuquerque, NM (United States); Robertson, Perry J. [Sandia National Laboratories (SNL-NM), Albuquerque, NM (United States)

    2015-09-01

    Typically, transistors are modeled by the application of calibrated nominal and range models. These models consists of differing parameter values that describe the location and the upper and lower limits of a distribution of some transistor characteristic such as current capacity. Correspond- ingly, when using this approach, high degrees of accuracy of the transistor models are not expected since the set of models is a surrogate for a statistical description of the devices. The use of these types of models describes expected performances considering the extremes of process or transistor deviations. In contrast, circuits that have very stringent accuracy requirements require modeling techniques with higher accuracy. Since these accurate models have low error in transistor descriptions, these models can be used to describe part to part variations as well as an accurate description of a single circuit instance. Thus, models that meet these stipulations also enable the calculation of quantifi- cation of margins with respect to a functional threshold and uncertainties in these margins. Given this need, new model high accuracy calibration techniques for bipolar junction transis- tors have been developed and are described in this report.

  4. Magnetization Dynamics in Two Novel Current-Driven Spintronic Memory Cell Structures

    KAUST Repository

    Velazquez-Rizo, Martin

    2017-01-01

    In this work, two new spintronic memory cell structures are proposed. The first cell uses the diffusion of polarized spins into ferromagnets with perpendicular anisotropy to tilt their magnetization followed by their dipolar coupling to a fixed

  5. Tumor cells and memory T cells converge at glycolysis

    Science.gov (United States)

    Karthikeyan, Swathi; Geschwind, Jean-Francois; Ganapathy-Kanniappan, Shanmugasundaram

    2014-01-01

    In the immune system, activation of naïve T (Tn) cells into effector T cells (Teff) involves a metabolic switch to glycolysis to promote rapid proliferation and differentiation. In the October issue of The Journal of Clinical Investigation, Sukumar et al. have demonstrated that in CD8+ memory T (Tems) cells glycolytic phenotype contributes to the shortened lifespan of Tems. Conversely, inhibition of glycolysis in Tems not only extended their viability but also augmented desirable properties. Notably, they also demonstrate that glycolytic inhibition during the ex vivo clonal expansion of tumor-specific Tems enhanced their antitumor function. Overall, the data suggest that an antiglycolytic strategy targeting the Tems could enhance antitumor immune response. On the other hand, cancer cells have long been known to exhibit metabolic reprogramming which involves a shift toward glycolysis (the conversion of glucose into lactate) to facilitate uninterrupted growth. Interestingly, antiglycolytic treatment of cancer cells has been known to trigger antitumor immune response as well. Taken together, it is probable that a strategy involving concurrent inhibition of glycolysis in tumor cells and Tems could promote a dual attack on cancer by inducing an effective antitumor immune response and an immunogenic chemotherapy. PMID:24556820

  6. Therapeutic limitations in tumor-specific CD8+ memory T cell engraftment

    International Nuclear Information System (INIS)

    Bathe, Oliver F; Dalyot-Herman, Nava; Malek, Thomas R

    2003-01-01

    Adoptive immunotherapy with cytotoxic T lymphocytes (CTL) represents an alternative approach to treating solid tumors. Ideally, this would confer long-term protection against tumor. We previously demonstrated that in vitro-generated tumor-specific CTL from the ovalbumin (OVA)-specific OT-I T cell receptor transgenic mouse persisted long after adoptive transfer as memory T cells. When recipient mice were challenged with the OVA-expressing E.G7 thymoma, tumor growth was delayed and sometimes prevented. The reasons for therapeutic failures were not clear. OT-I CTL were adoptively transferred to C57BL/6 mice 21 – 28 days prior to tumor challenge. At this time, the donor cells had the phenotypical and functional characteristics of memory CD8+ T cells. Recipients which developed tumor despite adoptive immunotherapy were analyzed to evaluate the reason(s) for therapeutic failure. Dose-response studies demonstrated that the degree of tumor protection was directly proportional to the number of OT-I CTL adoptively transferred. At a low dose of OT-I CTL, therapeutic failure was attributed to insufficient numbers of OT-I T cells that persisted in vivo, rather than mechanisms that actively suppressed or anergized the OT-I T cells. In recipients of high numbers of OT-I CTL, the E.G7 tumor that developed was shown to be resistant to fresh OT-I CTL when examined ex vivo. Furthermore, these same tumor cells no longer secreted a detectable level of OVA. In this case, resistance to immunotherapy was secondary to selection of clones of E.G7 that expressed a lower level of tumor antigen. Memory engraftment with tumor-specific CTL provides long-term protection against tumor. However, there are several limitations to this immunotherapeutic strategy, especially when targeting a single antigen. This study illustrates the importance of administering large numbers of effectors to engraft sufficiently efficacious immunologic memory. It also demonstrates the importance of targeting several

  7. High-temperature performance of MoS{sub 2} thin-film transistors: Direct current and pulse current-voltage characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, C.; Samnakay, R.; Balandin, A. A., E-mail: balandin@ee.ucr.edu [Nano-Device Laboratory (NDL), Department of Electrical Engineering, Bourns College of Engineering, University of California—Riverside, Riverside, California 92521 (United States); Phonon Optimized Engineered Materials (POEM) Center, Materials Science and Engineering Program, University of California—Riverside, Riverside, California 92521 (United States); Rumyantsev, S. L. [Department of Electrical, Computer, and Systems Engineering, Center for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States); Ioffe Physical-Technical Institute, St. Petersburg 194021 (Russian Federation); Shur, M. S. [Department of Electrical, Computer, and Systems Engineering, Center for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2015-02-14

    We report on fabrication of MoS{sub 2} thin-film transistors (TFTs) and experimental investigations of their high-temperature current-voltage characteristics. The measurements show that MoS{sub 2} devices remain functional to temperatures of at least as high as 500 K. The temperature increase results in decreased threshold voltage and mobility. The comparison of the direct current (DC) and pulse measurements shows that the direct current sub-linear and super-linear output characteristics of MoS{sub 2} thin-films devices result from the Joule heating and the interplay of the threshold voltage and mobility temperature dependences. At temperatures above 450 K, a kink in the drain current occurs at zero gate voltage irrespective of the threshold voltage value. This intriguing phenomenon, referred to as a “memory step,” was attributed to the slow relaxation processes in thin films similar to those in graphene and electron glasses. The fabricated MoS{sub 2} thin-film transistors demonstrated stable operation after two months of aging. The obtained results suggest new applications for MoS{sub 2} thin-film transistors in extreme-temperature electronics and sensors.

  8. Ultrasmall transistor-based light sources

    DEFF Research Database (Denmark)

    With Jensen, Per Baunegaard; Tavares, Luciana; Kjelstrup-Hansen, Jakob

    Dette projekt fokuserer på at udvikle transistor baserede nanofiber lyskilder med det overordnede mål at udvikle effektive og nano skalerede flerfarvede lyskilder integreret on-chip.......Dette projekt fokuserer på at udvikle transistor baserede nanofiber lyskilder med det overordnede mål at udvikle effektive og nano skalerede flerfarvede lyskilder integreret on-chip....

  9. A room-temperature non-volatile CNT-based molecular memory cell

    Science.gov (United States)

    Ye, Senbin; Jing, Qingshen; Han, Ray P. S.

    2013-04-01

    Recent experiments with a carbon nanotube (CNT) system confirmed that the innertube can oscillate back-and-forth even under a room-temperature excitation. This demonstration of relative motion suggests that it is now feasible to build a CNT-based molecular memory cell (MC), and the key to bring the concept to reality is the precision control of the moving tube for sustained and reliable read/write (RW) operations. Here, we show that by using a 2-section outertube design, we are able to suitably recalibrate the system energetics and obtain the designed performance characteristics of a MC. Further, the resulting energy modification enables the MC to operate as a non-volatile memory element at room temperatures. Our paper explores a fundamental understanding of a MC and its response at the molecular level to roadmap a novel approach in memory technologies that can be harnessed to overcome the miniaturization limit and memory volatility in memory technologies.

  10. Persistent expansion of CD4(+) effector memory T cells in Wegener's granulomatosis

    NARCIS (Netherlands)

    Abdulahad, W. H.; van der Geld, Y. M.; Stegeman, C. A.; Kallenberg, C. G. M.

    In order to test the hypothesis that Wegener's granulomatosis (WG) is associated with an ongoing immune effector response, even in remission, we examined the distribution of peripheral naive and memory T-lymphocytes in this disease, and analyzed the function-related phenotypes of the memory T-cell

  11. Explicit memory creation during sleep demonstrates a causal role of place cells in navigation.

    Science.gov (United States)

    de Lavilléon, Gaetan; Lacroix, Marie Masako; Rondi-Reig, Laure; Benchenane, Karim

    2015-04-01

    Hippocampal place cells assemblies are believed to support the cognitive map, and their reactivations during sleep are thought to be involved in spatial memory consolidation. By triggering intracranial rewarding stimulations by place cell spikes during sleep, we induced an explicit memory trace, leading to a goal-directed behavior toward the place field. This demonstrates that place cells' activity during sleep still conveys relevant spatial information and that this activity is functionally significant for navigation.

  12. Circulating CXCR5+CD4+ T Follicular-Like Helper Cell and Memory B Cell Responses to Human Papillomavirus Vaccines

    Science.gov (United States)

    Matsui, Ken; Adelsberger, Joseph W.; Kemp, Troy J.; Baseler, Michael W.; Ledgerwood, Julie E.; Pinto, Ligia A.

    2015-01-01

    Through the interaction of T follicular helper (Tfh) cells and B cells, efficacious vaccines can generate high-affinity, pathogen-neutralizing antibodies, and memory B cells. Using CXCR5, CXCR3, CCR6, CCR7, PD1, and ICOS as markers, Tfh-like cells can be identified in the circulation and be classified into three functionally distinct subsets that are PD1+ICOS+, PD1+ ICOS-, or PD1-ICOS-. We used these markers to identify different subsets of CXCR5+CD4+ Tfh-like cells in response to highly immunogenic and efficacious vaccines for human papillomaviruses (HPV): Cervarix and Gardasil. In this small study, we used PBMC samples from 11 Gardasil recipients, and 8 Cervarix recipients from the Vaccine Research Center 902 Study to examine the induction of circulating Tfh-like cells and IgD-CD38HiCD27+ memory B cells by flow cytometry. PD1+ICOS+ CXCR3+CCR6-CXCR5+CD4+ (Tfh1-like) cells were induced and peaked on Day (D) 7 post-first vaccination, but not as much on D7 post-third vaccination. We also observed a trend toward increase in PD1+ICOS+ CXCR3-CCR6-CXCR5+CD4+ (Tfh2-like) cells for both vaccines, and PD1+ICOS+ CXCR3-CCR6+CXCR5+CD4+ (Tfh17-like) subset was induced by Cervarix post-first vaccination. There were also minimal changes in the other cellular subsets. In addition, Cervarix recipients had more memory B cells post-first vaccination than did Gardasil recipients at D14 and D30. We found frequencies of memory B cells at D30 correlated with anti-HPV16 and 18 antibody titers from D30, and the induction levels of memory B cells at D30 and PD1+ICOS+Tfh1-like cells at D7 post-first vaccination correlated for Cervarix. Our study showed that induction of circulating CXCR5+CD4+ Tfh-like subsets can be detected following immunization with HPV vaccines, and potentially be useful as a marker of immunogenicity of vaccines. However, further investigations should be extended to different cohorts with larger sample size to better understand the functions of these T cells, as well as

  13. Gamma radiation effects on hydrogen-terminated nanocrystalline diamond bio-transistors

    Czech Academy of Sciences Publication Activity Database

    Krátká, Marie; Babchenko, Oleg; Ukraintsev, Egor; Vachelová, Jana; Davídková, Marie; Vandrovcová, Marta; Kromka, Alexander; Rezek, Bohuslav

    2016-01-01

    Roč. 63, Mar (2016), 186-191 ISSN 0925-9635 R&D Projects: GA ČR(CZ) GBP108/12/G108 Institutional support: RVO:68378271 ; RVO:61389005 ; RVO:67985823 Keywords : diamond thin films * field effect transistors * proteins * cells * gamma irradiation * atomic force microscope * biosensors Subject RIV: BO - Biophysics Impact factor: 2.561, year: 2016

  14. Molecular materials for organic field-effect transistors

    International Nuclear Information System (INIS)

    Mori, T

    2008-01-01

    Organic field-effect transistors are important applications of thin films of molecular materials. A variety of materials have been explored for improving the performance of organic transistors. The materials are conventionally classified as p-channel and n-channel, but not only the performance but also even the carrier polarity is greatly dependent on the combinations of organic semiconductors and electrode materials. In this review, particular emphasis is laid on multi-sulfur compounds such as tetrathiafulvalenes and metal dithiolates. These compounds are components of highly conducting materials such as organic superconductors, but are also used in organic transistors. The charge-transfer complexes are used in organic transistors as active layers as well as electrodes. (topical review)

  15. A PD-SOI based DTI-LOCOS combined cross isolation technique for minimizing TID radiation induced leakage in high density memory

    International Nuclear Information System (INIS)

    Qiao Fengying; Pan Liyang; Wu Dong; Liu Lifang; Xu Jun

    2014-01-01

    In order to minimize leakage current increase under total ionizing dose (TID) radiation in high density memory circuits, a new isolation technique, combining deep trench isolation (DTI) between the wells, local oxidation of silicon (LOCOS) isolation between the devices within the well, and a P-diffused area in order to limit leakage at the isolation edge is implemented in partly-depleted silicon-on-insulator (PD-SOI) technology. This radiation hardening technique can minimize the layout area by more than 60%, and allows flexible placement of the body contact. Radiation hardened transistors and 256 Kb flash memory chips are designed and fabricated in a 0.6 μm PD-SOI process. Experiments show that no obvious increase in leakage current is observed for single transistors under 1 Mrad(Si) radiation, and that the 256 Kb memory chip still functions well after a TID of 100 krad(Si), with only 50% increase of the active power consumption in read mode. (semiconductor devices)

  16. Memory Device and Nanofabrication Techniques Using Electrically Configurable Materials

    Science.gov (United States)

    Ascenso Simões, Bruno

    Development of novel nanofabrication techniques and single-walled carbon nanotubes field configurable transistor (SWCNT-FCT) memory devices using electrically configurable materials is presented. A novel lithographic technique, electric lithography (EL), that uses electric field for pattern generation has been demonstrated. It can be used for patterning of biomolecules on a polymer surface and patterning of resist as well. Using electrical resist composed of a polymer having Boc protected amine group and iodonium salt, Boc group on the surface of polymer was modified to free amine by applying an electric field. On the modified surface of the polymer, Streptavidin pattern was fabricated with a sub-micron scale. Also patterning of polymer resin composed of epoxy monomers and diaryl iodonium salt by EL has been demonstrated. Reaction mechanism for electric resist configuration is believed to be induced by an acid generation via electrochemical reduction in the resist. We show a novel field configurable transistor (FCT) based on single-walled carbon nanotube network field-effect transistors in which poly (ethylene glycol) crosslinked by electron-beam is incorporated into the gate. The device conductance can be configured to arbitrary states reversibly and repeatedly by applying external gate voltages. Raman spectroscopy revealed that evolution of the ratio of D- to G-band intensity in the SWCNTs of the FCT progressively increases as the device is configured to lower conductance states. Electron transport studies at low temperatures showed a strong temperature dependence of the resistance. Band gap widening of CNTs up to ˜ 4 eV has been observed by examining the differential conductance-gate voltage-bias voltage relationship. The switching mechanism of the FCT is attributed a structural transformation of CNTs via reversible hydrogenation and dehydrogenations induced by gate voltages, which tunes the CNT bandgap continuously and reversibly to non-volatile analog values

  17. Electric-field-controlled interface dipole modulation for Si-based memory devices.

    Science.gov (United States)

    Miyata, Noriyuki

    2018-05-31

    Various nonvolatile memory devices have been investigated to replace Si-based flash memories or emulate synaptic plasticity for next-generation neuromorphic computing. A crucial criterion to achieve low-cost high-density memory chips is material compatibility with conventional Si technologies. In this paper, we propose and demonstrate a new memory concept, interface dipole modulation (IDM) memory. IDM can be integrated as a Si field-effect transistor (FET) based memory device. The first demonstration of this concept employed a HfO 2 /Si MOS capacitor where the interface monolayer (ML) TiO 2 functions as a dipole modulator. However, this configuration is unsuitable for Si-FET-based devices due to its large interface state density (D it ). Consequently, we propose, a multi-stacked amorphous HfO 2 /1-ML TiO 2 /SiO 2 IDM structure to realize a low D it and a wide memory window. Herein we describe the quasi-static and pulse response characteristics of multi-stacked IDM MOS capacitors and demonstrate flash-type and analog memory operations of an IDM FET device.

  18. Multi-bits memory cell using degenerated magnetic states in a synthetic antiferromagnetic reference layer

    International Nuclear Information System (INIS)

    Fukushima, Akio; Yakushiji, Kay; Konoto, Makoto; Kubota, Hitoshi; Imamura, Hiroshi; Yuasa, Shinji

    2016-01-01

    We newly developed a magnetic memory cell having multi-bit function. The memory cell composed of a perpendicularly magnetized magnetic tunnel junction (MB-pMTJ) and a synthetic antiferromagnetic reference layer. The multi-bit function is realized by combining the freedom of states of the magnetic free layer and that in the antiferromagnetically coupled reference layer. The structure of the reference layer is (FeB/Ta/[Co/Pt]_3)/Ru/([Co/Pt]_6); the top and the bottom layers are coupled through Ru layer where the reference layer has two degrees of freedom of a head-to-head and a bottom-to-bottom magnetic configuration. A four-state memory cell is realized by combination of both degrees of freedom. The states in the reference layer however is hardly detected by the total resistance of MB-pMTJ, because the magnetoresistance effect in the reference layer is negligibly small. That implies that the resistance values for the different states in the reference layer are degenerated. On the other hand, the two different states in the reference layer bring different stray fields to the free layer, which generate two different minor loop with different switching fields. Therefore, the magnetic states in the reference layer can be differentiated by the two-step reading, before and after applying the appropriately pulsed magnetic field which can identify the initial state in the reference layer. This method is similar to distinguishing different magnetic states in an in-plane magnetized spin-valve element. We demonstrated that four different states in the MB-pMTJ can be distinguished by the two-step read-out. The important feature of the two-step reading is a practically large operation margins (large resistance change in reading) which is equal to that of a single MTJ. Even though the two-step reading is a destructive method by which 50% of the magnetic state is changed, this MB-pMTJ is promising for high density non-volatile memory cell with a minor cost of operation speed

  19. Photon-gated spin transistor

    OpenAIRE

    Li, Fan; Song, Cheng; Cui, Bin; Peng, Jingjing; Gu, Youdi; Wang, Guangyue; Pan, Feng

    2017-01-01

    Spin-polarized field-effect transistor (spin-FET), where a dielectric layer is generally employed for the electrical gating as the traditional FET, stands out as a seminal spintronic device under the miniaturization trend of electronics. It would be fundamentally transformative if optical gating was used for spin-FET. We report a new type of spin-polarized field-effect transistor (spin-FET) with optical gating, which is fabricated by partial exposure of the (La,Sr)MnO3 channel to light-emitti...

  20. Fucosyltransferase Induction during Influenza Virus Infection Is Required for the Generation of Functional Memory CD4+ T Cells

    Science.gov (United States)

    Carrette, Florent; Henriquez, Monique L.; Fujita, Yu

    2018-01-01

    T cells mediating influenza viral control are instructed in lymphoid and nonlymphoid tissues to differentiate into memory T cells that confer protective immunity. The mechanisms by which influenza virus–specific memory CD4+ T cells arise have been attributed to changes in transcription factors, cytokines and cytokine receptors, and metabolic programming. The molecules involved in these biosynthetic pathways, including proteins and lipids, are modified to varying degrees of glycosylation, fucosylation, sialation, and sulfation, which can alter their function. It is currently unknown how the glycome enzymatic machinery regulates CD4+ T cell effector and memory differentiation. In a murine model of influenza virus infection, we found that fucosyltransferase enzymatic activity was induced in effector and memory CD4+ T cells. Using CD4+ T cells deficient in the Fut4/7 enzymes that are expressed only in hematopoietic cells, we found decreased frequencies of effector cells with reduced expression of T-bet and NKG2A/C/E in the lungs during primary infection. Furthermore, Fut4/7−/− effector CD4+ T cells had reduced survival with no difference in proliferation or capacity for effector function. Although Fut4/7−/− CD4+ T cells seeded the memory pool after primary infection, they failed to form tissue-resident cells, were dysfunctional, and were unable to re-expand after secondary infection. Our findings highlight an important regulatory axis mediated by cell-intrinsic fucosyltransferase activity in CD4+ T cell effectors that ensure the development of functional memory CD4+ T cells. PMID:29491007

  1. Organic Thin-Film Transistor (OTFT-Based Sensors

    Directory of Open Access Journals (Sweden)

    Daniel Elkington

    2014-04-01

    Full Text Available Organic thin film transistors have been a popular research topic in recent decades and have found applications from flexible displays to disposable sensors. In this review, we present an overview of some notable articles reporting sensing applications for organic transistors with a focus on the most recent publications. In particular, we concentrate on three main types of organic transistor-based sensors: biosensors, pressure sensors and “e-nose”/vapour sensors.

  2. Design of a Negative Differential Resistance Circuit Element Using Single-Electron Transistors

    Science.gov (United States)

    Dixon, D. C.; Heij, C. P.; Hadley, P.; Mooij, J. E.

    1998-03-01

    Electronic circuit elements displaying negative differential resistance (NDR), such as tunnel diodes, have a wide variety of device applications, including oscillators, amplifiers, logic, and memory. We present a two-terminal device using two single-electron transistors (SET's) that demonstrates an NDR profile tuneable with gate voltages. If the capacitive coupling between the SET's is sufficiently larger than the junction capacitances, the device exhibits multiply-peaked NDR, allowing its use as a multi-valued digital element. We will also report recent experimental progress in measurements of such a device, fabricated using standard Al tunnel junctions, but with an additional overlap capacitor to allow the required inter-SET coupling.

  3. Doped organic transistors operating in the inversion and depletion regime

    Science.gov (United States)

    Lüssem, Björn; Tietze, Max L.; Kleemann, Hans; Hoßbach, Christoph; Bartha, Johann W.; Zakhidov, Alexander; Leo, Karl

    2013-01-01

    The inversion field-effect transistor is the basic device of modern microelectronics and is nowadays used more than a billion times on every state-of-the-art computer chip. In the future, this rigid technology will be complemented by flexible electronics produced at extremely low cost. Organic field-effect transistors have the potential to be the basic device for flexible electronics, but still need much improvement. In particular, despite more than 20 years of research, organic inversion mode transistors have not been reported so far. Here we discuss the first realization of organic inversion transistors and the optimization of organic depletion transistors by our organic doping technology. We show that the transistor parameters—in particular, the threshold voltage and the ON/OFF ratio—can be controlled by the doping concentration and the thickness of the transistor channel. Injection of minority carriers into the doped transistor channel is achieved by doped contacts, which allows forming an inversion layer. PMID:24225722

  4. Cancer immunotherapy and immunological memory.

    Science.gov (United States)

    Murata, Kenji; Tsukahara, Tomohide; Torigoe, Toshihiko

    2016-01-01

    Human immunological memory is the key distinguishing hallmark of the adaptive immune system and plays an important role in the prevention of morbidity and the severity of infection. The differentiation system of T cell memory has been clarified using mouse models. However, the human T cell memory system has great diversity induced by natural antigens derived from many pathogens and tumor cells throughout life, and profoundly differs from the mouse memory system constructed using artificial antigens and transgenic T cells. We believe that only human studies can elucidate the human immune system. The importance of immunological memory in cancer immunotherapy has been pointed out, and the trafficking properties and long-lasting anti-tumor capacity of memory T cells play a crucial role in the control of malignant tumors. Adoptive cell transfer of less differentiated T cells has consistently demonstrated superior anti-tumor capacity relative to more differentiated T cells. Therefore, a human T cell population with the characteristics of stem cell memory is thought to be attractive for peptide vaccination and adoptive cell transfer. A novel human memory T cell population that we have identified is closer to the naive state than previous memory T cells in the T cell differentiation lineage, and has the characteristics of stem-like chemoresistance. Here we introduce this novel population and describe the fundamentals of immunological memory in cancer immunotherapy.

  5. Differences between naive and memory T cell phenotype in Malawian and UK adolescents: a role for Cytomegalovirus?

    Directory of Open Access Journals (Sweden)

    Wallace Diana

    2008-10-01

    Full Text Available Abstract Background Differences in degree of environmental exposure to antigens in early life have been hypothesized to lead to differences in immune status in individuals from different populations, which may have implications for immune responses in later years. Methods Venous blood from HIV-negative adolescents and blood from the umbilical cords of babies, born to HIV-negative women, post-delivery was collected and analysed using flow cytometry. T cell phenotype was determined from peripheral blood lymphocytes and cytomegalovirus (CMV seropositivity was assessed by ELISA in adolescents. Results HIV-negative Malawian adolescents were shown to have a lower percentage of naïve T cells (CD45RO-CD62Lhi CD11alo, a higher proportion of memory T cells and a higher percentage of CD28- memory (CD28-CD45RO+ T cells compared to age-matched UK adolescents. Malawian adolescents also had a lower percentage of central memory (CD45RA-CCR7+ T cells and a higher percentage of stable memory (CD45RA+CCR7- T cells than UK adolescents. All of the adolescents tested in Malawi were seropositive for CMV (59/59, compared to 21/58 (36% of UK adolescents. CMV seropositivity in the UK was associated with a reduced percentage of naïve T cells and an increased percentage of CD28- memory T cells in the periphery. No differences in the proportions of naïve and memory T cell populations were observed in cord blood samples from the two sites. Conclusion It is likely that these differences between Malawian and UK adolescents reflect a greater natural exposure to various infections, including CMV, in the African environment and may imply differences in the ability of these populations to induce and maintain immunological memory to vaccines and natural infections.

  6. Understanding the slow depletion of memory CD4+ T cells in HIV infection.

    Directory of Open Access Journals (Sweden)

    Andrew Yates

    2007-05-01

    Full Text Available The asymptomatic phase of HIV infection is characterised by a slow decline of peripheral blood CD4(+ T cells. Why this decline is slow is not understood. One potential explanation is that the low average rate of homeostatic proliferation or immune activation dictates the pace of a "runaway" decline of memory CD4(+ T cells, in which activation drives infection, higher viral loads, more recruitment of cells into an activated state, and further infection events. We explore this hypothesis using mathematical models.Using simple mathematical models of the dynamics of T cell homeostasis and proliferation, we find that this mechanism fails to explain the time scale of CD4(+ memory T cell loss. Instead it predicts the rapid attainment of a stable set point, so other mechanisms must be invoked to explain the slow decline in CD4(+ cells.A runaway cycle in which elevated CD4(+ T cell activation and proliferation drive HIV production and vice versa cannot explain the pace of depletion during chronic HIV infection. We summarize some alternative mechanisms by which the CD4(+ memory T cell homeostatic set point might slowly diminish. While none are mutually exclusive, the phenomenon of viral rebound, in which interruption of antiretroviral therapy causes a rapid return to pretreatment viral load and T cell counts, supports the model of virus adaptation as a major force driving depletion.

  7. Implementation of Self-Bias Transistor on Voting Logic

    International Nuclear Information System (INIS)

    Harzawardi Hasim; Syirrazie Che Soh

    2014-01-01

    Study in the eld of digital integrated circuit (IC) already become common to the modern industrial. Day by day we have been introduced with new gadget that was developed based on transistor. This paper will study the implementation of self-bias transistor on voting logic. The self-bias transistor will connected both on pull-up network and pull-down network. On previous research, study on comparison of total number of transistors, time propagation delay, and frequency between NAND and NOR gate of voting logic. It's show, with the same number of transistor, NAND gate achieve high frequency and low time propagation delay compare to NOR gate. We extend this analysis by comparing the total number of transistor, time propagation delay, frequency and power dissipation between common NAND gate with self-bias NAND gate. Extensive LTSpice simulations were performed using IBM 90 nm CMOS(Complementary Metal Oxide Semiconductor) process technology. The result show self-bias voting NAND gate consumes 54 % less power dissipation, 43% slow frequency and 43 % high time propagation delay compare to common voting NAND gate. (author)

  8. Oseltamivir Prophylaxis Reduces Inflammation and Facilitates Establishment of Cross-Strain Protective T Cell Memory to Influenza Viruses.

    Directory of Open Access Journals (Sweden)

    Nicola L Bird

    Full Text Available CD8(+ T cells directed against conserved viral regions elicit broad immunity against distinct influenza viruses, promote rapid virus elimination and enhanced host recovery. The influenza neuraminidase inhibitor, oseltamivir, is prescribed for therapy and prophylaxis, although it remains unclear how the drug impacts disease severity and establishment of effector and memory CD8(+ T cell immunity. We dissected the effects of oseltamivir on viral replication, inflammation, acute CD8(+ T cell responses and the establishment of immunological CD8(+ T cell memory. In mice, ferrets and humans, the effect of osteltamivir on viral titre was relatively modest. However, prophylactic oseltamivir treatment in mice markedly reduced morbidity, innate responses, inflammation and, ultimately, the magnitude of effector CD8(+ T cell responses. Importantly, functional memory CD8(+ T cells established during the drug-reduced effector phase were capable of mounting robust recall responses. Moreover, influenza-specific memory CD4(+ T cells could be also recalled after the secondary challenge, while the antibody levels were unaffected. This provides evidence that long-term memory T cells can be generated during an oseltamivir-interrupted infection. The anti-inflammatory effect of oseltamivir was verified in H1N1-infected patients. Thus, in the case of an unpredicted influenza pandemic, while prophylactic oseltamivir treatment can reduce disease severity, the capacity to generate memory CD8(+ T cells specific for the newly emerged virus is uncompromised. This could prove especially important for any new influenza pandemic which often occurs in separate waves.

  9. NAND flash memory technologies

    CERN Document Server

    Aritome, Seiichi

    2016-01-01

    This book discusses basic and advanced NAND flash memory technologies, including the principle of NAND flash, memory cell technologies, multi-bits cell technologies, scaling challenges of memory cell, reliability, and 3-dimensional cell as the future technology. Chapter 1 describes the background and early history of NAND flash. The basic device structures and operations are described in Chapter 2. Next, the author discusses the memory cell technologies focused on scaling in Chapter 3, and introduces the advanced operations for multi-level cells in Chapter 4. The physical limitations for scaling are examined in Chapter 5, and Chapter 6 describes the reliability of NAND flash memory. Chapter 7 examines 3-dimensional (3D) NAND flash memory cells and discusses the pros and cons in structure, process, operations, scalability, and performance. In Chapter 8, challenges of 3D NAND flash memory are dis ussed. Finally, in Chapter 9, the author summarizes and describes the prospect of technologies and market for the fu...

  10. CMOS-based carbon nanotube pass-transistor logic integrated circuits

    Science.gov (United States)

    Ding, Li; Zhang, Zhiyong; Liang, Shibo; Pei, Tian; Wang, Sheng; Li, Yan; Zhou, Weiwei; Liu, Jie; Peng, Lian-Mao

    2012-01-01

    Field-effect transistors based on carbon nanotubes have been shown to be faster and less energy consuming than their silicon counterparts. However, ensuring these advantages are maintained for integrated circuits is a challenge. Here we demonstrate that a significant reduction in the use of field-effect transistors can be achieved by constructing carbon nanotube-based integrated circuits based on a pass-transistor logic configuration, rather than a complementary metal-oxide semiconductor configuration. Logic gates are constructed on individual carbon nanotubes via a doping-free approach and with a single power supply at voltages as low as 0.4 V. The pass-transistor logic configurarion provides a significant simplification of the carbon nanotube-based circuit design, a higher potential circuit speed and a significant reduction in power consumption. In particular, a full adder, which requires a total of 28 field-effect transistors to construct in the usual complementary metal-oxide semiconductor circuit, uses only three pairs of n- and p-field-effect transistors in the pass-transistor logic configuration. PMID:22334080

  11. Efficient simulation of power MOS transistors

    NARCIS (Netherlands)

    Ugryumova, M.; Schilders, W.H.A.

    2011-01-01

    In this report we present a few industrial problems related to modeling of MOS transistors. We suggest an efficient algorithm for computing output current at the top ports of power MOS transistors for given voltage excitations. The suggested algorithm exploits the connection between the resistor and

  12. Early programming and late-acting checkpoints governing the development of CD4 T cell memory.

    Science.gov (United States)

    Dhume, Kunal; McKinstry, K Kai

    2018-04-27

    CD4 T cells contribute to protection against pathogens through numerous mechanisms. Incorporating the goal of memory CD4 T cell generation into vaccine strategies thus offers a powerful approach to improve their efficacy, especially in situations where humoral responses alone cannot confer long-term immunity. These threats include viruses such as influenza that mutate coat proteins to avoid neutralizing antibodies, but that are targeted by T cells that recognize more conserved protein epitopes shared by different strains. A major barrier in the design of such vaccines is that the mechanisms controlling the efficiency with which memory cells form remain incompletely understood. Here, we discuss recent insights into fate decisions controlling memory generation. We focus on the importance of three general cues: interleukin-2, antigen, and costimulatory interactions. It is increasingly clear that these signals have a powerful influence on the capacity of CD4 T cells to form memory during two distinct phases of the immune response. First, through 'programming' that occurs during initial priming, and second, through 'checkpoints' that operate later during the effector stage. These findings indicate that novel vaccine strategies must seek to optimize cognate interactions, during which interleukin-2-, antigen, and costimulation-dependent signals are tightly linked, well beyond initial antigen encounter to induce robust memory CD4 T cells. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  13. Thermal transistor utilizing gas-liquid transition

    KAUST Repository

    Komatsu, Teruhisa S.

    2011-01-25

    We propose a simple thermal transistor, a device to control heat current. In order to effectively change the current, we utilize the gas-liquid transition of the heat-conducting medium (fluid) because the gas region can act as a good thermal insulator. The three terminals of the transistor are located at both ends and the center of the system, and are put into contact with distinct heat baths. The key idea is a special arrangement of the three terminals. The temperature at one end (the gate temperature) is used as an input signal to control the heat current between the center (source, hot) and another end (drain, cold). Simulating the nanoscale systems of this transistor, control of heat current is demonstrated. The heat current is effectively cut off when the gate temperature is cold and it flows normally when it is hot. By using an extended version of this transistor, we also simulate a primitive application for an inverter. © 2011 American Physical Society.

  14. Water-gel for gating graphene transistors.

    Science.gov (United States)

    Kim, Beom Joon; Um, Soong Ho; Song, Woo Chul; Kim, Yong Ho; Kang, Moon Sung; Cho, Jeong Ho

    2014-05-14

    Water, the primary electrolyte in biology, attracts significant interest as an electrolyte-type dielectric material for transistors compatible with biological systems. Unfortunately, the fluidic nature and low ionic conductivity of water prevents its practical usage in such applications. Here, we describe the development of a solid state, megahertz-operating, water-based gate dielectric system for operating graphene transistors. The new electrolyte systems were prepared by dissolving metal-substituted DNA polyelectrolytes into water. The addition of these biocompatible polyelectrolytes induced hydrogelation to provide solid-state integrity to the system. They also enhanced the ionic conductivities of the electrolytes, which in turn led to the quick formation of an electric double layer at the graphene/electrolyte interface that is beneficial for modulating currents in graphene transistors at high frequencies. At the optimized conditions, the Na-DNA water-gel-gated flexible transistors and inverters were operated at frequencies above 1 MHz and 100 kHz, respectively.

  15. Hardware emulation of Memristor based Ternary Content Addressable Memory

    KAUST Repository

    Bahloul, Mohamed A.

    2017-12-13

    MTCAM (Memristor Ternary Content Addressable Memory) is a special purpose storage medium in which data could be retrieved based on the stored content. Using Memristors as the main storage element provides the potential of achieving higher density and more efficient solutions than conventional methods. A key missing item in the validation of such approaches is the wide spread availability of hardware emulation platforms that can provide reliable and repeatable performance statistics. In this paper, we present a hardware MTCAM emulation based on 2-Transistors-2Memristors (2T2M) bit-cell. It builds on a bipolar memristor model with storing and fetching capabilities based on the actual current-voltage behaviour. The proposed design offers a flexible verification environment with quick design revisions, high execution speeds and powerful debugging techniques. The proposed design is modeled using VHDL and prototyped on Xilinx Virtex® FPGA.

  16. Hardware emulation of Memristor based Ternary Content Addressable Memory

    KAUST Repository

    Bahloul, Mohamed A.; Naous, Rawan; Masmoudi, M.

    2017-01-01

    MTCAM (Memristor Ternary Content Addressable Memory) is a special purpose storage medium in which data could be retrieved based on the stored content. Using Memristors as the main storage element provides the potential of achieving higher density and more efficient solutions than conventional methods. A key missing item in the validation of such approaches is the wide spread availability of hardware emulation platforms that can provide reliable and repeatable performance statistics. In this paper, we present a hardware MTCAM emulation based on 2-Transistors-2Memristors (2T2M) bit-cell. It builds on a bipolar memristor model with storing and fetching capabilities based on the actual current-voltage behaviour. The proposed design offers a flexible verification environment with quick design revisions, high execution speeds and powerful debugging techniques. The proposed design is modeled using VHDL and prototyped on Xilinx Virtex® FPGA.

  17. From sensorimotor learning to memory cells in prefrontal and temporal association cortex: a neurocomputational study of disembodiment.

    Science.gov (United States)

    Pulvermüller, Friedemann; Garagnani, Max

    2014-08-01

    Memory cells, the ultimate neurobiological substrates of working memory, remain active for several seconds and are most commonly found in prefrontal cortex and higher multisensory areas. However, if correlated activity in "embodied" sensorimotor systems underlies the formation of memory traces, why should memory cells emerge in areas distant from their antecedent activations in sensorimotor areas, thus leading to "disembodiment" (movement away from sensorimotor systems) of memory mechanisms? We modelled the formation of memory circuits in six-area neurocomputational architectures, implementing motor and sensory primary, secondary and higher association areas in frontotemporal cortices along with known between-area neuroanatomical connections. Sensorimotor learning driven by Hebbian neuroplasticity led to formation of cell assemblies distributed across the different areas of the network. These action-perception circuits (APCs) ignited fully when stimulated, thus providing a neural basis for long-term memory (LTM) of sensorimotor information linked by learning. Subsequent to ignition, activity vanished rapidly from APC neurons in sensorimotor areas but persisted in those in multimodal prefrontal and temporal areas. Such persistent activity provides a mechanism for working memory for actions, perceptions and symbols, including short-term phonological and semantic storage. Cell assembly ignition and "disembodied" working memory retreat of activity to multimodal areas are documented in the neurocomputational models' activity dynamics, at the level of single cells, circuits, and cortical areas. Memory disembodiment is explained neuromechanistically by APC formation and structural neuroanatomical features of the model networks, especially the central role of multimodal prefrontal and temporal cortices in bridging between sensory and motor areas. These simulations answer the "where" question of cortical working memory in terms of distributed APCs and their inner structure

  18. Tissue-resident memory T cells in tissue homeostasis, persistent infection, and cancer surveillance.

    Science.gov (United States)

    Gebhardt, Thomas; Palendira, Umaimainthan; Tscharke, David C; Bedoui, Sammy

    2018-05-01

    A large proportion of memory T cells disseminated throughout the body are non-recirculating cells whose maintenance and function is regulated by tissue-specific environmental cues. These sessile cells are referred to as tissue-resident memory T (T RM ) cells and similar populations of non-recirculating cells also exist among unconventional T cells and innate lymphocyte cells. The pool of T RM cells is highly diverse with respect to anatomical positioning, phenotype, molecular regulation and effector function. Nevertheless, certain transcriptional programs are shared and appear as important unifying features for the overall population of T RM cells and tissue-resident lymphocytes. It is now widely appreciated that T RM cells are a critical component of our immune defense by acting as peripheral sentinels capable of rapidly mobilizing protective tissue immunity upon pathogen recognition. This function is of particular importance in anatomical sites that are not effectively surveilled by blood-borne memory T cells in absence of inflammation, such as neuronal tissues or epithelial compartments in skin and mucosae. Focusing on the well-characterized subtype of CD8 +  CD69 +  CD103 + T RM cells, we will review current concepts on the generation, persistence and function of T RM cells and will summarize commonly used tools to study these cells. Furthermore, we will discuss accumulating data that emphasize localized T RM responses as an important determinant of tissue homeostasis and immune defense in the context of microbiota-immune interactions, persistent infections and cancer surveillance. © 2018 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  19. A highly symmetrical 10 transistor 2-read/write dual-port static random access memory bitcell design in 28 nm high-k/metal-gate planar bulk CMOS technology

    Science.gov (United States)

    Ishii, Yuichiro; Tanaka, Miki; Yabuuchi, Makoto; Sawada, Yohei; Tanaka, Shinji; Nii, Koji; Lu, Tien Yu; Huang, Chun Hsien; Sian Chen, Shou; Tse Kuo, Yu; Lung, Ching Cheng; Cheng, Osbert

    2018-04-01

    We propose a highly symmetrical 10 transistor (10T) 2-read/write (2RW) dual-port (DP) static random access memory (SRAM) bitcell in 28 nm high-k/metal-gate (HKMG) planar bulk CMOS. It replaces the conventional 8T 2RW DP SRAM bitcell without any area overhead. It significantly improves the robustness of process variations and an asymmetric issue between the true and bar bitline pairs. Measured data show that read current (I read) and read static noise margin (SNM) are respectively boosted by +20% and +15 mV by introducing the proposed bitcell with enlarged pull-down (PD) and pass-gate (PG) N-channel MOSs (NMOSs). The minimum operating voltage (V min) of the proposed 256 kbit 10T DP SRAM is 0.53 V in the TT process, 25 °C under the worst access condition with read/write disturbances, and improved by 90 mV (15%) compared with the conventional one.

  20. Intrinsic Ge nanowire nonvolatile memory based on a simple core–shell structure

    International Nuclear Information System (INIS)

    Chen, Wen-Hua; Liu, Chang-Hai; Li, Qin-Liang; Sun, Qi-Jun; Liu, Jie; Gao, Xu; Sun, Xuhui; Wang, Sui-Dong

    2014-01-01

    Intrinsic Ge nanowires (NWs) with a Ge core covered by a thick Ge oxide shell are utilized to achieve nanoscale field-effect transistor nonvolatile memories, which show a large memory window and a high ON/OFF ratio with good retention. The retainable surface charge trapping is considered to be responsible for the memory effect, and the Ge oxide shell plays a key role as the insulating tunneling dielectric which must be thick enough to prevent stored surface charges from leaking out. Annealing the device in air is demonstrated to be a simple and effective way to attain thick Ge oxide on the Ge NW surface, and the Ge-NW-based memory corresponding to thick Ge oxide exhibits a much better retention capability compared with the case of thin Ge oxide. (paper)

  1. Early events governing memory CD8+ T-cell differentiation.

    Science.gov (United States)

    Obar, Joshua J; Lefrançois, Leo

    2010-08-01

    Understanding the regulation of the CD8(+) T-cell response and how protective memory cells are generated has been intensely studied. It is now appreciated that a naive CD8(+) T cell requires at least three signals to mount an effective immune response: (i) TCR triggering, (ii) co-stimulation and (iii) inflammatory cytokines. Only recently have we begun to understand the molecular integration of those signals and how early events regulate the fate decisions of the responding CD8(+) T cells. This review will discuss the recent findings about both the extracellular and intracellular factors that regulate the destiny of responding CD8(+) T cells.

  2. Diazaisoindigo bithiophene and terthiophene copolymers for application in field-effect transistors and solar cells

    KAUST Repository

    Yue, Wan; Li, Cheng; Tian, Xuelin; Li, Weiwei; Neophytou, Marios; Chen, Hu; Du, Weiyuan; Jellett, Cameron; Chen, Hung-Yang; Onwubiko, Ada; McCulloch, Iain

    2017-01-01

    Two donor–acceptor conjugated polymers with azaisoindigo as acceptor units and bithiophene and terthiophene as donor units have been synthesized by Stille polymerization. These two polymers have been successfully applied in field-effect transistors

  3. Homeostatic proliferation fails to efficiently reactivate HIV-1 latently infected central memory CD4+ T cells.

    Directory of Open Access Journals (Sweden)

    Alberto Bosque

    2011-10-01

    Full Text Available Homeostatic proliferation ensures the longevity of central memory T-cells by inducing cell proliferation in the absence of cellular differentiation or activation. This process is governed mainly by IL-7. Central memory T-cells can also be stimulated via engagement of the T-cell receptor, leading to cell proliferation but also activation and differentiation. Using an in vitro model of HIV-1 latency, we have examined in detail the effects of homeostatic proliferation on latently infected central memory T cells. We have also used antigenic stimulation via anti-CD3/anti-CD28 antibodies and established a comparison with a homeostatic proliferation stimulus, to evaluate potential differences in how either treatment affects the dynamics of latent virus populations. First, we show that homeostatic proliferation, as induced by a combination of IL-2 plus IL-7, leads to partial reactivation of latent HIV-1 but is unable to reduce the size of the reservoir in vitro. Second, latently infected cells are able to homeostatically proliferate in the absence of viral reactivation or cell differentiation. These results indicate that IL-2 plus IL-7 may induce a detrimental effect by favoring the maintenance of the latent HIV-1 reservoir. On the other hand, antigenic stimulation efficiently reactivated latent HIV-1 in cultured central memory cells and led to depletion of the latently infected cells via virus-induced cell death.

  4. A novel high reliability CMOS SRAM cell

    Energy Technology Data Exchange (ETDEWEB)

    Xie Chengmin; Wang Zhongfang; Wu Longsheng; Liu Youbao, E-mail: hglnew@sina.com [Computer Research and Design Department, Xi' an Microelectronic Technique Institutes, Xi' an 710054 (China)

    2011-07-15

    A novel 8T single-event-upset (SEU) hardened and high static noise margin (SNM) SRAM cell is proposed. By adding one transistor paralleled with each access transistor, the drive capability of pull-up PMOS is greater than that of the conventional cell and the read access transistors are weaker than that of the conventional cell. So the hold, read SNM and critical charge increase greatly. The simulation results show that the critical charge is almost three times larger than that of the conventional 6T cell by appropriately sizing the pull-up transistors. The hold and read SNM of the new cell increase by 72% and 141.7%, respectively, compared to the 6T design, but it has a 54% area overhead and read performance penalty. According to these features, this novel cell suits high reliability applications, such as aerospace and military. (semiconductor integrated circuits)

  5. A novel high reliability CMOS SRAM cell

    International Nuclear Information System (INIS)

    Xie Chengmin; Wang Zhongfang; Wu Longsheng; Liu Youbao

    2011-01-01

    A novel 8T single-event-upset (SEU) hardened and high static noise margin (SNM) SRAM cell is proposed. By adding one transistor paralleled with each access transistor, the drive capability of pull-up PMOS is greater than that of the conventional cell and the read access transistors are weaker than that of the conventional cell. So the hold, read SNM and critical charge increase greatly. The simulation results show that the critical charge is almost three times larger than that of the conventional 6T cell by appropriately sizing the pull-up transistors. The hold and read SNM of the new cell increase by 72% and 141.7%, respectively, compared to the 6T design, but it has a 54% area overhead and read performance penalty. According to these features, this novel cell suits high reliability applications, such as aerospace and military. (semiconductor integrated circuits)

  6. Transistor reset preamplifier for high-rate high-resolution spectroscopy

    International Nuclear Information System (INIS)

    Landis, D.A.; Cork, C.P.; Madden, N.W.; Goulding, F.S.

    1981-10-01

    Pulsed transistor reset of high resolution charge sensitive preamplifiers used in cooled semiconductor spectrometers can sometimes have an advantage over pulsed light reset systems. Several versions of transistor reset spectrometers using both silicon and germanium detectors have been built. This paper discusses the advantages of the transistor reset system and illustrates several configurations of the packages used for the FET and reset transistor. It also describes the preamplifer circuit and shows the performance of the spectrometer at high rates

  7. Autoreactive effector/memory CD4+ and CD8+ T cells infiltrating grafted and endogenous islets in diabetic NOD mice exhibit similar T cell receptor usage.

    Directory of Open Access Journals (Sweden)

    Ramiro Diz

    Full Text Available Islet transplantation provides a "cure" for type 1 diabetes but is limited in part by recurrent autoimmunity mediated by β cell-specific CD4(+ and CD8(+ T cells. Insight into the T cell receptor (TCR repertoire of effector T cells driving recurrent autoimmunity would aid the development of immunotherapies to prevent islet graft rejection. Accordingly, we used a multi-parameter flow cytometry strategy to assess the TCR variable β (Vβ chain repertoires of T cell subsets involved in autoimmune-mediated rejection of islet grafts in diabetic NOD mouse recipients. Naïve CD4(+ and CD8(+ T cells exhibited a diverse TCR repertoire, which was similar in all tissues examined in NOD recipients including the pancreas and islet grafts. On the other hand, the effector/memory CD8(+ T cell repertoire in the islet graft was dominated by one to four TCR Vβ chains, and specific TCR Vβ chain usage varied from recipient to recipient. Similarly, islet graft- infiltrating effector/memory CD4(+ T cells expressed a limited number of prevalent TCR Vβ chains, although generally TCR repertoire diversity was increased compared to effector/memory CD8(+ T cells. Strikingly, the majority of NOD recipients showed an increase in TCR Vβ12-bearing effector/memory CD4(+ T cells in the islet graft, most of which were proliferating, indicating clonal expansion. Importantly, TCR Vβ usage by effector/memory CD4(+ and CD8(+ T cells infiltrating the islet graft exhibited greater similarity to the repertoire found in the pancreas as opposed to the draining renal lymph node, pancreatic lymph node, or spleen. Together these results demonstrate that effector/memory CD4(+ and CD8(+ T cells mediating autoimmune rejection of islet grafts are characterized by restricted TCR Vβ chain usage, and are similar to T cells that drive destruction of the endogenous islets.

  8. Genome-wide RNA profiling of long-lasting stem cell-like memory CD8 T cells induced by Yellow Fever vaccination in humans

    Directory of Open Access Journals (Sweden)

    Silvia A. Fuertes Marraco

    2015-09-01

    Full Text Available The live-attenuated Yellow Fever (YF vaccine YF-17D induces a broad and polyfunctional CD8 T cell response in humans. Recently, we identified a population of stem cell-like memory CD8 T cells induced by YF-17D that persists at stable frequency for at least 25 years after vaccination. The YF-17D is thus a model system of human CD8 T cell biology that furthermore allows to track and study long-lasting and antigen-specific human memory CD8 T cells. Here, we describe in detail the sample characteristics and preparation of a microarray dataset acquired for genome-wide gene expression profiling of long-lasting YF-specific stem cell-like memory CD8 T cells, compared to the reference CD8 T cell differentiation subsets from total CD8 T cells. We also describe the quality controls, annotations and exploratory analyses of the dataset. The microarray data is available from the Gene Expression Omnibus (GEO public repository with accession number GSE65804.

  9. Variant proteins stimulate more IgM+ GC B-cells revealing a mechanism of cross-reactive recognition by antibody memory.

    Science.gov (United States)

    Burton, Bronwen R; Tennant, Richard K; Love, John; Titball, Richard W; Wraith, David C; White, Harry N

    2018-05-01

    Vaccines induce memory B-cells that provide high affinity secondary antibody responses to identical antigens. Memory B-cells can also re-instigate affinity maturation, but how this happens against antigenic variants is poorly understood despite its potential impact on driving broadly protective immunity against pathogens such as Influenza and Dengue. We immunised mice sequentially with identical or variant Dengue-virus envelope proteins and analysed antibody and germinal-centre (GC) responses. Variant protein boosts induced GC with higher proportions of IgM+ B-cells. The most variant protein re-stimulated GCs with the highest proportion of IgM+ cells with the most diverse, least mutated V-genes and with a slower but efficient serum antibody response. Recombinant antibodies from GC B-cells showed a higher affinity for the variant antigen than antibodies from a primary response, confirming a memory origin. This reveals a new process of antibody memory, that IgM memory cells with fewer mutations participate in secondary responses to variant antigens, demonstrating how the hierarchical structure of B-cell memory is used and indicating the potential and limits of cross-reactive antibody based immunity. © 2018, Burton et al.

  10. Protonic transistors from thin reflecting films

    Energy Technology Data Exchange (ETDEWEB)

    Ordinario, David D.; Phan, Long; Jocson, Jonah-Micah [Department of Chemical Engineering and Materials Science, University of California, Irvine, California 92697 (United States); Nguyen, Tam [Department of Chemistry, University of California, Irvine, California 92697 (United States); Gorodetsky, Alon A., E-mail: alon.gorodetsky@uci.edu [Department of Chemical Engineering and Materials Science, University of California, Irvine, California 92697 (United States); Department of Chemistry, University of California, Irvine, California 92697 (United States)

    2015-01-01

    Ionic transistors from organic and biological materials hold great promise for bioelectronics applications. Thus, much research effort has focused on optimizing the performance of these devices. Herein, we experimentally validate a straightforward strategy for enhancing the high to low current ratios of protein-based protonic transistors. Upon reducing the thickness of the transistors’ active layers, we increase their high to low current ratios 2-fold while leaving the other figures of merit unchanged. The measured ratio of 3.3 is comparable to the best values found for analogous devices. These findings underscore the importance of the active layer geometry for optimum protonic transistor functionality.

  11. In-situ, In-Memory Stateful Vector Logic Operations based on Voltage Controlled Magnetic Anisotropy.

    Science.gov (United States)

    Jaiswal, Akhilesh; Agrawal, Amogh; Roy, Kaushik

    2018-04-10

    Recently, the exponential increase in compute requirements demanded by emerging applications like artificial intelligence, Internet of things, etc. have rendered the state-of-art von-Neumann machines inefficient in terms of energy and throughput owing to the well-known von-Neumann bottleneck. A promising approach to mitigate the bottleneck is to do computations as close to the memory units as possible. One extreme possibility is to do in-situ Boolean logic computations by using stateful devices. Stateful devices are those that can act both as a compute engine and storage device, simultaneously. We propose such stateful, vector, in-memory operations using voltage controlled magnetic anisotropy (VCMA) effect in magnetic tunnel junctions (MTJ). Our proposal is based on the well known manufacturable 1-transistor - 1-MTJ bit-cell and does not require any modifications in the bit-cell circuit or the magnetic device. Instead, we leverage the very physics of the VCMA effect to enable stateful computations. Specifically, we exploit the voltage asymmetry of the VCMA effect to construct stateful IMP (implication) gate and use the precessional switching dynamics of the VCMA devices to propose a massively parallel NOT operation. Further, we show that other gates like AND, OR, NAND, NOR, NIMP (complement of implication) can be implemented using multi-cycle operations.

  12. Copolymer semiconductors comprising thiazolothiazole or benzobisthiazole, or benzobisoxazole electron acceptor subunits, and electron donor subunits, and their uses in transistors and solar cells

    Science.gov (United States)

    Jenekhe, Samson A; Subramaniyan, Selvam; Ahmed, Eilaf; Xin, Hao; Kim, Felix Sunjoo

    2014-10-28

    The inventions disclosed, described, and/or claimed herein relate to copolymers comprising copolymers comprising electron accepting A subunits that comprise thiazolothiazole, benzobisthiazole, or benzobisoxazoles rings, and electron donating subunits that comprise certain heterocyclic groups. The copolymers are useful for manufacturing organic electronic devices, including transistors and solar cells. The invention also relates to certain synthetic precursors of the copolymers. Methods for making the copolymers and the derivative electronic devices are also described.

  13. High mobility and quantum well transistors design and TCAD simulation

    CERN Document Server

    Hellings, Geert

    2013-01-01

    For many decades, the semiconductor industry has miniaturized transistors, delivering increased computing power to consumers at decreased cost. However, mere transistor downsizing does no longer provide the same improvements. One interesting option to further improve transistor characteristics is to use high mobility materials such as germanium and III-V materials. However, transistors have to be redesigned in order to fully benefit from these alternative materials. High Mobility and Quantum Well Transistors: Design and TCAD Simulation investigates planar bulk Germanium pFET technology in chapters 2-4, focusing on both the fabrication of such a technology and on the process and electrical TCAD simulation. Furthermore, this book shows that Quantum Well based transistors can leverage the benefits of these alternative materials, since they confine the charge carriers to the high-mobility material using a heterostructure. The design and fabrication of one particular transistor structure - the SiGe Implant-Free Qu...

  14. Flexible conductive-bridging random-access-memory cell vertically stacked with top Ag electrode, PEO, PVK, and bottom Pt electrode

    Science.gov (United States)

    Seung, Hyun-Min; Kwon, Kyoung-Cheol; Lee, Gon-Sub; Park, Jea-Gun

    2014-10-01

    Flexible conductive-bridging random-access-memory (RAM) cells were fabricated with a cross-bar memory cell stacked with a top Ag electrode, conductive polymer (poly(n-vinylcarbazole): PVK), electrolyte (polyethylene oxide: PEO), bottom Pt electrode, and flexible substrate (polyethersulfone: PES), exhibiting the bipolar switching behavior of resistive random access memory (ReRAM). The cell also exhibited bending-fatigue-free nonvolatile memory characteristics: i.e., a set voltage of 1.0 V, a reset voltage of -1.6 V, retention time of >1 × 105 s with a memory margin of 9.2 × 105, program/erase endurance cycles of >102 with a memory margin of 8.4 × 105, and bending-fatigue-free cycles of ˜1 × 103 with a memory margin (Ion/Ioff) of 3.3 × 105.

  15. Test CMOS/SOS RAM for transient radiation upset comparative research and failure analysis

    International Nuclear Information System (INIS)

    Nikiforov, A.Y.; Poljakov, I.V.

    1995-01-01

    The test Complementary Metal-Oxide-Semiconductor/Silicon-on-Sapphire Random Access Memory (CMOS/SOS RAM) with eight types of memory cells was designed and tested at high dose rates with a flash X-ray machine and laser simulator. The memory cell (MC) design with additional transistors and RC-chain was found to be upset free up to 2 x 10 12 rad(Si)/s. An inversion effect was discovered in which almost 100% logic upset was observed in poorly protected memory cell arrays at very high dose rates

  16. The relation between T-cell expression of LFA-1 and immunological memory

    DEFF Research Database (Denmark)

    Hviid, L; Odum, N; Theander, T G

    1993-01-01

    Antibodies against isotypes of the leucocyte common antigen (LCA, CD45) can be used to identify largely reciprocal subsets of human peripheral T cells, characterized by differential ability to respond to recall antigen in vitro. The transition from naive, unprimed T cells to memory cells capable...... of responding to recall stimulating has been associated with a switch in surface expression of CD45 from the CD45RA isotype to CD45RO. It has been proposed that this transition is accompanied by the coordinated up-regulation of a number of cell-surface molecules involved in cellular adhesion and/or activation......, including the leucocyte function-associated antigens (LFA). In the present study we have examined the expression of LFA-1 on subsets of human peripheral T cells, and related it to the expression of markers of cellular activation and CD45 isotypes, and thus to immunological memory. Our results suggest...

  17. Ultrashort Channel Length Black Phosphorus Field-Effect Transistors.

    Science.gov (United States)

    Miao, Jinshui; Zhang, Suoming; Cai, Le; Scherr, Martin; Wang, Chuan

    2015-09-22

    This paper reports high-performance top-gated black phosphorus (BP) field-effect transistors with channel lengths down to 20 nm fabricated using a facile angle evaporation process. By controlling the evaporation angle, the channel length of the transistors can be reproducibly controlled to be anywhere between 20 and 70 nm. The as-fabricated 20 nm top-gated BP transistors exhibit respectable on-state current (174 μA/μm) and transconductance (70 μS/μm) at a VDS of 0.1 V. Due to the use of two-dimensional BP as the channel material, the transistors exhibit relatively small short channel effects, preserving a decent on-off current ratio of 10(2) even at an extremely small channel length of 20 nm. Additionally, unlike the unencapsulated BP devices, which are known to be chemically unstable in ambient conditions, the top-gated BP transistors passivated by the Al2O3 gate dielectric layer remain stable without noticeable degradation in device performance after being stored in ambient conditions for more than 1 week. This work demonstrates the great promise of atomically thin BP for applications in ultimately scaled transistors.

  18. Fluorescently labeled dengue viruses as probes to identify antigen-specific memory B cells by multiparametric flow cytometry.

    Science.gov (United States)

    Woda, Marcia; Mathew, Anuja

    2015-01-01

    Low frequencies of memory B cells in the peripheral blood make it challenging to measure the functional and phenotypic characteristics of this antigen experienced subset of B cells without in vitro culture. To date, reagents are lacking to measure ex vivo frequencies of dengue virus (DENV)-specific memory B cells. We wanted to explore the possibility of using fluorescently labeled DENV as probes to detect antigen-specific memory B cells in the peripheral blood of DENV immune individuals. Alexa Fluor dye-labeled DENV yielded viable virus that could be stored at -80°C for long periods of time. Using a careful gating strategy and methods to decrease non-specific binding, we were able to identify a small frequency of B cells from dengue immune individuals that bound labeled DENV. Sorted DENV(+) B cells from immune, but not naïve donors secreted antibodies that bound DENV after in vitro stimulation. Overall, Alexa Fluor dye-labeled DENVs are useful reagents to enable the detection and characterization of memory B cells in DENV immune individuals. Copyright © 2014 Elsevier B.V. All rights reserved.

  19. Inducible colitis-associated glycome capable of stimulating the proliferation of memory CD4+ T cells.

    Science.gov (United States)

    Nishida, Atsushi; Nagahama, Kiyotaka; Imaeda, Hirotsugu; Ogawa, Atsuhiro; Lau, Cindy W; Kobayashi, Taku; Hisamatsu, Tadakazu; Preffer, Frederic I; Mizoguchi, Emiko; Ikeuchi, Hiroki; Hibi, Toshifumi; Fukuda, Minoru; Andoh, Akira; Blumberg, Richard S; Mizoguchi, Atsushi

    2012-12-17

    Immune responses are modified by a diverse and abundant repertoire of carbohydrate structures on the cell surface, which is known as the glycome. In this study, we propose that a unique glycome that can be identified through the binding of galectin-4 is created on local, but not systemic, memory CD4+ T cells under diverse intestinal inflammatory conditions, but not in the healthy state. The colitis-associated glycome (CAG) represents an immature core 1-expressing O-glycan. Development of CAG may be mediated by down-regulation of the expression of core-2 β1,6-N-acetylglucosaminyltransferase (C2GnT) 1, a key enzyme responsible for the production of core-2 O-glycan branch through addition of N-acetylglucosamine (GlcNAc) to a core-1 O-glycan structure. Mechanistically, the CAG seems to contribute to super raft formation associated with the immunological synapse on colonic memory CD4+ T cells and to the consequent stabilization of protein kinase C θ activation, resulting in the stimulation of memory CD4+ T cell expansion in the inflamed intestine. Functionally, CAG-mediated CD4+ T cell expansion contributes to the exacerbation of T cell-mediated experimental intestinal inflammations. Therefore, the CAG may be an attractive therapeutic target to specifically suppress the expansion of effector memory CD4+ T cells in intestinal inflammation such as that seen in inflammatory bowel disease.

  20. Power optimized variation aware dual-threshold SRAM cell design technique

    Directory of Open Access Journals (Sweden)

    Aminul Islam

    2011-02-01

    Full Text Available Aminul Islam1, Mohd Hasan21Department of Electronics and Communication Engineering, Birla Institute of Technology, Mesra, Ranchi, Jharkhand, India; 2Department of Electronics Engineering, Aligarh Muslim University, Aligarh, Uttar Pradesh, IndiaAbstract: Bulk complementary metal-oxide semiconductor (CMOS technology is facing enormous challenges at channel lengths below 45 nm, such as gate tunneling, device mismatch, random dopant fluctuations, and mobility degradation. Although multiple gate transistors and strained silicon devices overcome some of the bulk CMOS problems, it is sensible to look for revolutionary new materials and devices to replace silicon. It is obvious that future technology materials should exhibit higher mobility, better channel electrostatics, scalability, and robustness against process variations. Carbon nanotube-based technology is very promising because it has most of these desired features. There is a need to explore the potential of this emerging technology by designing circuits based on this technology and comparing their performance with that of existing bulk CMOS technology. In this paper, we propose a low-power variation-immune dual-threshold voltage carbon nanotube field effect transistor (CNFET-based seven-transistor (7T static random access memory (SRAM cell. The proposed CNFET-based 7T SRAM cell offers ~1.2× improvement in standby power, ~1.3× improvement in read delay, and ~1.1× improvement in write delay. It offers narrower spread in write access time (1.4× at optimum energy point [OEP] and 1.2× at 1 V. It features 56.3% improvement in static noise margin and 40% improvement in read static noise margin. All the simulation measurements are taken at proposed OEP decided by the optimum results obtained after extensive simulation on HSPICE (high-performance simulation program with integrated circuit emphasis environment.Keywords: carbon nanotube field effect transistor (CNFET, chirality vector, random dopant

  1. Performance Enhancement of Power Transistors and Radiation effect

    International Nuclear Information System (INIS)

    Hassn, Th.A.A.

    2012-01-01

    The main objective of this scientific research is studying the characteristic of bipolar junction transistor device and its performance under radiation fields and temperature effect as a control element in many power circuits. In this work we present the results of experimental measurements and analytical simulation of gamma – radiation effects on the electrical characteristics and operation of power transistor types 2N3773, 2N3055(as complementary silicon power transistor are designed for general-purpose switching and amplifier applications), three samples of each type were irradiated by gamma radiation with doses, 1 K rad, 5 K rad, 10 K rad, 30 K rad, and 10 Mrad, the experimental data are utilized to establish an analytical relation between the total absorbed dose of gamma irradiation and corresponding to effective density of generated charge in the internal structure of transistor, the electrical parameters which can be measured to estimate the generated defects in the power transistor are current gain, collector current and collected emitter leakage current , these changes cause the circuit to case proper functioning. Collector current and transconductance of each device are calibrated as a function of irradiated dose. Also the threshold voltage and transistor gain can be affected and also calibrated as a function of dose. A silicon NPN power transistor type 2N3773 intended for general purpose applications, were used in this work. It was designed for medium current and high power circuits. Performance and characteristic were discusses under temperature and gamma radiation doses. Also the internal junction thermal system of the transistor represented in terms of a junction thermal resistance (Rjth). The thermal resistance changed by ΔRjth, due to the external intended, also due to the gamma doses intended. The final result from the model analysis reveals that the emitter-bias configuration is quite stable by resistance ratio RB/RE. Also the current

  2. Floating-Gate Manipulated Graphene-Black Phosphorus Heterojunction for Nonvolatile Ambipolar Schottky Junction Memories, Memory Inverter Circuits, and Logic Rectifiers.

    Science.gov (United States)

    Li, Dong; Chen, Mingyuan; Zong, Qijun; Zhang, Zengxing

    2017-10-11

    The Schottky junction is an important unit in electronics and optoelectronics. However, its properties greatly degrade with device miniaturization. The fast development of circuits has fueled a rapid growth in the study of two-dimensional (2D) crystals, which may lead to breakthroughs in the semiconductor industry. Here we report a floating-gate manipulated nonvolatile ambipolar Schottky junction memory from stacked all-2D layers of graphene-BP/h-BN/graphene (BP, black phosphorus; h-BN, hexagonal boron nitride) in a designed floating-gate field-effect Schottky barrier transistor configuration. By manipulating the voltage pulse applied to the control gate, the device exhibits ambipolar characteristics and can be tuned to act as graphene-p-BP or graphene-n-BP junctions with reverse rectification behavior. Moreover, the junction exhibits good storability properties of more than 10 years and is also programmable. On the basis of these characteristics, we further demonstrate the application of the device to dual-mode nonvolatile Schottky junction memories, memory inverter circuits, and logic rectifiers.

  3. Tissue-resident memory CD8+ T cells continuously patrol skin epithelia to quickly recognize local antigen

    NARCIS (Netherlands)

    Ariotti, S.; Beltman, J.B.; Chodaczek, G.; Hoekstra, M.E.; van Beek, A.E.; Gomez-Eerland, R.; Ritsma, L.; van Rheenen, J.; Maree, A.F.; Zal, T.; de Boer, R.J.; Haanen, J.B.; Schumacher, T.N.

    2012-01-01

    Recent work has demonstrated that following the clearance of infection a stable population of memory T cells remains present in peripheral organs and contributes to the control of secondary infections. However, little is known about how tissue-resident memory T cells behave in situ and how they

  4. IGF1-Dependent Synaptic Plasticity of Mitral Cells in Olfactory Memory during Social Learning.

    Science.gov (United States)

    Liu, Zhihui; Chen, Zijun; Shang, Congping; Yan, Fei; Shi, Yingchao; Zhang, Jiajing; Qu, Baole; Han, Hailin; Wang, Yanying; Li, Dapeng; Südhof, Thomas C; Cao, Peng

    2017-07-05

    During social transmission of food preference (STFP), mice form long-term memory of food odors presented by a social partner. How does the brain associate a social context with odor signals to promote memory encoding? Here we show that odor exposure during STFP, but not unconditioned odor exposure, induces glomerulus-specific long-term potentiation (LTP) of synaptic strength selectively at the GABAergic component of dendrodendritic synapses of granule and mitral cells in the olfactory bulb. Conditional deletion of synaptotagmin-10, the Ca 2+ sensor for IGF1 secretion from mitral cells, or deletion of IGF1 receptor in the olfactory bulb prevented the socially relevant GABAergic LTP and impaired memory formation after STFP. Conversely, the addition of IGF1 to acute olfactory bulb slices elicited the GABAergic LTP in mitral cells by enhancing postsynaptic GABA receptor responses. Thus, our data reveal a synaptic substrate for a socially conditioned long-term memory that operates at the level of the initial processing of sensory information. Copyright © 2017 Elsevier Inc. All rights reserved.

  5. Outlook and emerging semiconducting materials for ambipolar transistors.

    Science.gov (United States)

    Bisri, Satria Zulkarnaen; Piliego, Claudia; Gao, Jia; Loi, Maria Antonietta

    2014-02-26

    Ambipolar or bipolar transistors are transistors in which both holes and electrons are mobile inside the conducting channel. This device allows switching among several states: the hole-dominated on-state, the off-state, and the electron-dominated on-state. In the past year, it has attracted great interest in exotic semiconductors, such as organic semiconductors, nanostructured materials, and carbon nanotubes. The ability to utilize both holes and electrons inside one device opens new possibilities for the development of more compact complementary metal-oxide semiconductor (CMOS) circuits, and new kinds of optoelectronic device, namely, ambipolar light-emitting transistors. This progress report highlights the recent progresses in the field of ambipolar transistors, both from the fundamental physics and application viewpoints. Attention is devoted to the challenges that should be faced for the realization of ambipolar transistors with different material systems, beginning with the understanding of the importance of interface modification, which heavily affects injections and trapping of both holes and electrons. The recent development of advanced gating applications, including ionic liquid gating, that open up more possibility to realize ambipolar transport in materials in which one type of charge carrier is highly dominant is highlighted. Between the possible applications of ambipolar field-effect transistors, we focus on ambipolar light-emitting transistors. We put this new device in the framework of its prospective for general lightings, embedded displays, current-driven laser, as well as for photonics-electronics interconnection. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Organic electronic memory based on a ferroelectric polymer

    Energy Technology Data Exchange (ETDEWEB)

    Kalbitz, R; Fruebing, P; Gerhard, R [Department of Physics and Astronomy, University of Potsdam, Karl-Liebknecht Str., 24-25, 14476 Potsdam (Germany); Taylor, D M, E-mail: d.m.taylor@bangor.ac.uk [School of Electronic Engineering, Bangor University, Dean Street, Bangor, Gwynedd LL57 1UT (United Kingdom)

    2011-06-23

    Measurements of the capacitance of metal-insulator-semiconductor capacitors and the output characteristics of thin film transistors based on poly(3-hexylthiophene) as the active semiconductor and poly(vinylidenefluoride-trifluoroethylene) as the gate insulator show that ferroelectric polarisation in the insulator is stable but that its effect when poled by depletion voltages is partially neutralised by trapping of electrons at or near the semiconductor interface. Nevertheless, the combination of materials is capable of providing an adequate memory function.

  7. Distinct kinetics of memory B-cell and plasma-cell responses in peripheral blood following a blood-stage Plasmodium chabaudi infection in mice.

    Directory of Open Access Journals (Sweden)

    Eunice W Nduati

    2010-11-01

    Full Text Available B cell and plasma cell responses take place in lymphoid organs, but because of the inaccessibility of these organs, analyses of human responses are largely performed using peripheral blood mononuclear cells (PBMC. To determine whether PBMC are a useful source of memory B cells and plasma cells in malaria, and whether they reflect Plasmodium-specific B cell responses in spleen or bone marrow, we have investigated these components of the humoral response in PBMC using a model of Plasmodium chabaudi blood-stage infections in C57BL/6 mice. We detected memory B cells, defined as isotype-switched IgD(- IgM(- CD19(+ B cells, and low numbers of Plasmodium chabaudi Merozoite Surface Protein-1 (MSP1-specific memory B cells, in PBMC at all time points sampled for up to 90 days following primary or secondary infection. By contrast, we only detected CD138(+ plasma cells and MSP1-specific antibody-secreting cells within a narrow time frame following primary (days 10 to 25 or secondary (day 10 infection. CD138(+ plasma cells in PBMC at these times expressed CD19, B220 and MHC class II, suggesting that they were not dislodged bone-marrow long-lived plasma cells, but newly differentiated migratory plasmablasts migrating to the bone marrow; thus reflective of an ongoing or developing immune response. Our data indicates that PBMC can be a useful source for malaria-specific memory B cells and plasma cells, but extrapolation of the results to human malaria infections suggests that timing of sampling, particularly for plasma cells, may be critical. Studies should therefore include multiple sampling points, and at times of infection/immunisation when the B-cell phenotypes of interest are likely to be found in peripheral blood.

  8. Radiation effects on junction field-effect transistors (JFETS), MOSFETs, and bipolar transistors, as related to SSC circuit design

    International Nuclear Information System (INIS)

    Kennedy, E.J.; Alley, G.T.; Britton, C.L. Jr.; Skubic, P.L.; Gray, B.; Wu, A.

    1990-01-01

    Some results of radiation effects on selected junction field-effect transistors, MOS field-effect transistors, and bipolar junction transistors are presented. The evaluations include dc parameters, as well as capacitive variations and noise evaluations. The tests are made at the low current and voltage levels (in particular, at currents ≤1 mA) that are essential for the low-power regimes required by SSC circuitry. Detailed noise data are presented both before and after 5-Mrad (gamma) total-dose exposure. SPICE radiation models for three high-frequency bipolar processes are compared for a typical charge-sensitive preamplifier

  9. GaN transistors for efficient power conversion

    CERN Document Server

    Lidow, Alex; de Rooij, Michael; Reusch, David

    2014-01-01

    The first edition of GaN Transistors for Efficient Power Conversion was self-published by EPC in 2012, and is currently the only other book to discuss GaN transistor technology and specific applications for the technology. More than 1,200 copies of the first edition have been sold through Amazon or distributed to selected university professors, students and potential customers, and a simplified Chinese translation is also available. The second edition has expanded emphasis on applications for GaN transistors and design considerations. This textbook provides technical and application-focused i

  10. Cell-Type-Specific Transcriptome Analysis in the Drosophila Mushroom Body Reveals Memory-Related Changes in Gene Expression.

    Science.gov (United States)

    Crocker, Amanda; Guan, Xiao-Juan; Murphy, Coleen T; Murthy, Mala

    2016-05-17

    Learning and memory formation in Drosophila rely on a network of neurons in the mushroom bodies (MBs). Whereas numerous studies have delineated roles for individual cell types within this network in aspects of learning or memory, whether or not these cells can also be distinguished by the genes they express remains unresolved. In addition, the changes in gene expression that accompany long-term memory formation within the MBs have not yet been studied by neuron type. Here, we address both issues by performing RNA sequencing on single cell types (harvested via patch pipets) within the MB. We discover that the expression of genes that encode cell surface receptors is sufficient to identify cell types and that a subset of these genes, required for sensory transduction in peripheral sensory neurons, is not only expressed within individual neurons of the MB in the central brain, but is also critical for memory formation. Copyright © 2016 The Author(s). Published by Elsevier Inc. All rights reserved.

  11. Qualitative and quantitative analysis of adenovirus type 5 vector-induced memory CD8 T cells

    DEFF Research Database (Denmark)

    Steffensen, Maria Abildgaard; Holst, Peter Johannes; Steengaard, Sanne Skovvang

    2013-01-01

    infection with lymphocytic choriomeningitis virus. We found that localized immunization with intermediate doses of Ad vector induce a moderate number of functional CD8 T cells, which qualitatively match those found in LCMV-infected mice. Numbers of these cells may be efficiently increased by additional...... adenoviral boosting and, importantly, the generated secondary memory cells cannot be qualitatively differentiated from those induced by primary infection with replicating virus. Quantitatively, DNA priming prior to Ad-vaccination will lead to even higher numbers of memory cells. In this case, the vaccination...

  12. Application of the Johnson criteria to graphene transistors

    International Nuclear Information System (INIS)

    Kelly, M J

    2013-01-01

    For 60 years, the Johnson criteria have guided the development of materials and the materials choices for field-effect and bipolar transistor technology. Intrinsic graphene is a semi-metal, precluding transistor applications, but only under lateral bias is a gap opened and transistor action possible. This first application of the Johnson criteria to biased graphene suggests that this material will struggle to ever achieve competitive commercial applications. (fast track communication)

  13. Design method for a digitally trimmable MOS transistor structure

    DEFF Research Database (Denmark)

    Ning, Feng; Bruun, Erik

    1996-01-01

    A digitally trimmable MOS transistor is a MOS transistor consisting of a drain, a source, and a main gate as well as several subgates. The transconductance of the transistor is tunabledigitally by means of connecting subgates either to the main gate or to the source terminal. In this paper, a sys...

  14. IFN-Gamma-Dependent and Independent Mechanisms of CD4+ Memory T Cell-Mediated Protection from Listeria Infection

    Directory of Open Access Journals (Sweden)

    Stephanie M. Meek

    2018-02-01

    Full Text Available While CD8+ memory T cells can promote long-lived protection from secondary exposure to intracellular pathogens, less is known regarding the direct protective mechanisms of CD4+ T cells. We utilized a prime/boost model in which mice are initially exposed to an acutely infecting strain of lymphocytic choriomeningitis virus (LCMV, followed by a heterologous rechallenge with Listeria monocytogenes recombinantly expressing the MHC Class II-restricted LCMV epitope, GP61–80 (Lm-gp61. We found that heterologous Lm-gp61 rechallenge resulted in robust activation of CD4+ memory T cells and that they were required for rapid bacterial clearance. We further assessed the relative roles of TNF and IFNγ in the direct anti-bacterial function of CD4+ memory T cells. We found that disruption of TNF resulted in a complete loss of protection mediated by CD4+ memory T cells, whereas disruption of IFNγ signaling to macrophages results in only a partial loss of protection. The protective effect mediated by CD4+ T cells corresponded to the rapid accumulation of pro-inflammatory macrophages in the spleen and an altered inflammatory environment in vivo. Overall, we conclude that protection mediated by CD4+ memory T cells from heterologous Listeria challenge is most directly dependent on TNF, whereas IFNγ only plays a minor role.

  15. The AMchip: A VLSI associative memory for track finding

    International Nuclear Information System (INIS)

    Morsani, F.; Galeotti, S.; Passuello, D.; Amendolia, S.R.; Ristori, L.; Turini, N.

    1992-01-01

    An associative memory to be used for super-fast track finding in future high energy physics experiments, has been implemented on silicon as a full-custom CMOS VLSI chip (the AMchip). The first prototype has been designed and successfully tested at INFN in Pisa. It is implemented in 1.6 μm, double metal, silicon gate CMOS technology and contains about 140 000 MOS transistors on a 1x1 cm 2 silicon chip. (orig.)

  16. A nanoscale piezoelectric transformer for low-voltage transistors.

    Science.gov (United States)

    Agarwal, Sapan; Yablonovitch, Eli

    2014-11-12

    A novel piezoelectric voltage transformer for low-voltage transistors is proposed. Placing a piezoelectric transformer on the gate of a field-effect transistor results in the piezoelectric transformer field-effect transistor that can switch at significantly lower voltages than a conventional transistor. The piezoelectric transformer operates by using one piezoelectric to squeeze another piezoelectric to generate a higher output voltage than the input voltage. Multiple piezoelectrics can be used to squeeze a single piezoelectric layer to generate an even higher voltage amplification. Coupled electrical and mechanical modeling in COMSOL predicts a 12.5× voltage amplification for a six-layer piezoelectric transformer. This would lead to more than a 150× reduction in the power needed for communications.

  17. Every breath you take: the impact of environment on resident memory CD8 T cells in the lung.

    Science.gov (United States)

    Shane, Hillary L; Klonowski, Kimberly D

    2014-01-01

    Resident memory T cells (TRM) are broadly defined as a population of T cells, which persist in non-lymphoid sites long-term, do not re-enter the circulation, and are distinct from central memory T cells (TCM) and circulating effector memory T cells (TEM). Recent studies have described populations of TRM cells in the skin, gut, lungs, and nervous tissue. However, it is becoming increasingly clear that the specific environment in which the TRM reside can further refine their phenotypical and functional properties. Here, we focus on the TRM cells that develop following respiratory infection and reside in the lungs and the lung airways. Specifically, we will review recent studies that have described some of the requirements for establishment of TRM cells in these tissues, and the defining characteristics of TRM in the lungs and lung airways. With continual bombardment of the respiratory tract by both pathogenic and environmental antigens, dynamic fluctuations in the local milieu including homeostatic resources and niche restrictions can impact TRM longevity. Beyond a comprehensive characterization of lung TRM cells, special attention will be placed on studies, which have defined how the microenvironment of the lung influences memory T cell survival at this site. As memory T cell populations in the lung airways are requisite for protection yet wane numerically over time, developing a comprehensive picture of factors which may influence TRM development and persistence at these sites is important for improving T cell-based vaccine design.

  18. Every breath you take: The impact of environment on resident memory CD8 T cells in the lung

    Directory of Open Access Journals (Sweden)

    Hillary eShane

    2014-07-01

    Full Text Available Resident memory T cells (TRM are broadly defined as a population of T cells which persist in non-lymphoid sites long term, do not re-enter the circulation, and are distinct from central memory T cells (TCM and circulating effector memory T cells (TEM. Recent studies have described populations of TRM cells in the skin, gut, lungs and nervous tissue. However, it is becoming increasingly clear that the specific environment in which the TRM reside can further refine their phenotypical and functional properties. Here, we focus on the TRM cells that develop following respiratory infection and reside in the lungs and the lung airways. Specifically, we will review recent studies that have described some of the requirements for establishment of TRM cells in these tissues, and the defining characteristics of TRM in the lungs and lung airways. With continual bombardment of the respiratory tract by both pathogenic and environmental antigens, dynamic fluctuations in the local milieu including homeostatic resources and niche restrictions can impact TRM longevity. Beyond a comprehensive characterization of lung TRM cells, special attention will be placed on studies which have defined how the microenvironment of the lung influences memory T cell survival at this site. As memory T cell populations in the lung airways are requisite for protection yet wane numerically over time, developing a comprehensive picture of factors which may influence TRM development and persistence at these sites is important for improving T cell-based vaccine design.

  19. Deficiency in memory B cell compartment in a patient with infertility and recurrent pregnancy losses.

    Science.gov (United States)

    Sung, N; Byeon, H J; Garcia, M D Salazar; Skariah, A; Wu, L; Dambaeva, S; Beaman, K; Gilman-Sachs, A; Kwak-Kim, J

    2016-11-01

    Alterations in normal balance of B cell subsets have been reported in various rheumatic diseases. In this study, we report a woman with a history of recurrent pregnancy losses (RPL) and infertility who had low levels of memory B cells. A 35-year-old woman with a history of RPL and infertility was demonstrated to have increased peripheral blood CD19+ B cells with persistently low levels of memory B cell subsets. Prior to the frozen donor egg transfer cycle, prednisone and intravenous immunoglobulin G (IVIg) treatment was initiated and patient achieved dichorionic diamniotic twin pregnancies. During pregnancy, proportion (%) of switched memory B cells CD27+IgD- increased, while percent of total CD19+ B cells and CD27-IgD+ naive B cells were gradually decreased with a high dose IVIg treatment. She developed cervical incompetence at 20 weeks of gestation, received a Cesarean section at 32 weeks of gestation due to preterm labor, and delivered twin babies. B cell subset abnormalities may be associated with infertility, RPL and preterm labor, and further investigation is needed. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  20. Impact of infant and preschool pertussis vaccinations on memory B-cell responses in children at 4 years of age.

    Science.gov (United States)

    Hendrikx, Lotte H; de Rond, Lia G H; Oztürk, Kemal; Veenhoven, Reinier H; Sanders, Elisabeth A M; Berbers, Guy A M; Buisman, Anne-Marie

    2011-08-05

    Whooping cough, caused by Bordetella pertussis, is reemerging in the vaccinated population. Antibody levels to pertussis antigens wane rapidly after both whole-cell (wP) and acellular pertussis (aP) vaccination and protection may largely depend on long-term B- and T-cell immunity. We studied the effect of wP and aP infant priming at 2, 3, 4 and 11 months according to the Dutch immunization program on pertussis-specific memory B-cell responses before and after a booster vaccination with either a high- or low-pertussis dose vaccine at 4 years of age. Purified B-cells were characterized by FACS-analysis and after polyclonal stimulation, memory B-cells were detected by ELISPOT-assays specific for pertussis toxin, filamentous haemagglutinin and pertactin. Before and after the booster, higher memory B-cell responses were measured in aP primed children compared with wP primed children. In contrast with antibody levels, no dose-effect was observed on the numbers of memory B-cell responses. In aP primed children a fifth high-dose aP vaccination tended to induce even lower memory B-cell responses than a low-dose aP booster. In both wP and aP primed children, the number of memory B-cells increased after the booster and correlated with the pertussis-specific antibody concentrations and observed affinity maturation. This study indicates that aP vaccinations in the first year of life induce higher pertussis-specific memory B-cell responses in children 4 years of age compared with Dutch wP primary vaccinations. Since infant aP vaccinations have improved protection against whooping cough in children despite waning antibody levels, this suggests that an enhanced memory B-cell pool induction may have an important role in protection. However, the pertussis-dose of the preschool booster needs to be considered depending on the vaccine used for priming to optimize long-term protection against whooping cough. Copyright © 2011 Elsevier Ltd. All rights reserved.

  1. Non-volatile flash memory with discrete bionanodot floating gate assembled by protein template

    International Nuclear Information System (INIS)

    Miura, Atsushi; Yamashita, Ichiro; Uraoka, Yukiharu; Fuyuki, Takashi; Tsukamoto, Rikako; Yoshii, Shigeo

    2008-01-01

    We demonstrated non-volatile flash memory fabrication by utilizing uniformly sized cobalt oxide (Co 3 O 4 ) bionanodot (Co-BND) architecture assembled by a cage-shaped supramolecular protein template. A fabricated high-density Co-BND array was buried in a metal-oxide-semiconductor field-effect-transistor (MOSFET) structure to use as the charge storage node of a floating nanodot gate memory. We observed a clockwise hysteresis in the drain current-gate voltage characteristics of fabricated BND-embedded MOSFETs. Observed hysteresis obviously indicates a memory operation of Co-BND-embedded MOSFETs due to the charge confinement in the embedded BND and successful functioning of embedded BNDs as the charge storage nodes of the non-volatile flash memory. Fabricated Co-BND-embedded MOSFETs showed good memory properties such as wide memory windows, long charge retention and high tolerance to repeated write/erase operations. A new pathway for device fabrication by utilizing the versatile functionality of biomolecules is presented

  2. Problems of noise modeling in the presence of total current branching in high electron mobility transistor and field-effect transistor channels

    International Nuclear Information System (INIS)

    Shiktorov, P; Starikov, E; Gružinskis, V; Varani, L; Sabatini, G; Marinchio, H; Reggiani, L

    2009-01-01

    In the framework of analytical and hydrodynamic models for the description of carrier transport and noise in high electron mobility transistor/field-effect transistor channels the main features of the intrinsic noise of transistors are investigated under continuous branching of the current between channel and gate. It is shown that the current-noise and voltage-noise spectra at the transistor terminals contain an excess noise related to thermal excitation of plasma wave modes in the dielectric layer between the channel and gate. It is found that the set of modes of excited plasma waves can be governed by the external embedding circuits, thus violating a universal description of noise in terms of Norton and Thevenin noise generators

  3. A low-voltage sense amplifier with two-stage operational amplifier clamping for flash memory

    Science.gov (United States)

    Guo, Jiarong

    2017-04-01

    A low-voltage sense amplifier with reference current generator utilizing two-stage operational amplifier clamp structure for flash memory is presented in this paper, capable of operating with minimum supply voltage at 1 V. A new reference current generation circuit composed of a reference cell and a two-stage operational amplifier clamping the drain pole of the reference cell is used to generate the reference current, which avoids the threshold limitation caused by current mirror transistor in the traditional sense amplifier. A novel reference voltage generation circuit using dummy bit-line structure without pull-down current is also adopted, which not only improves the sense window enhancing read precision but also saves power consumption. The sense amplifier was implemented in a flash realized in 90 nm flash technology. Experimental results show the access time is 14.7 ns with power supply of 1.2 V and slow corner at 125 °C. Project supported by the National Natural Science Fundation of China (No. 61376028).

  4. Magnetic Vortex Based Transistor Operations

    Science.gov (United States)

    Kumar, D.; Barman, S.; Barman, A.

    2014-01-01

    Transistors constitute the backbone of modern day electronics. Since their advent, researchers have been seeking ways to make smaller and more efficient transistors. Here, we demonstrate a sustained amplification of magnetic vortex core gyration in coupled two and three vortices by controlling their relative core polarities. This amplification is mediated by a cascade of antivortex solitons travelling through the dynamic stray field. We further demonstrated that the amplification can be controlled by switching the polarity of the middle vortex in a three vortex sequence and the gain can be controlled by the input signal amplitude. An attempt to show fan–out operation yielded gain for one of the symmetrically placed branches which can be reversed by switching the core polarity of all the vortices in the network. The above observations promote the magnetic vortices as suitable candidates to work as stable bipolar junction transistors (BJT). PMID:24531235

  5. Memory Th1 Cells Are Protective in Invasive Staphylococcus aureus Infection

    Science.gov (United States)

    Lalor, Stephen J.; Leech, John M.; O’Keeffe, Kate M.; Mac Aogáin, Micheál; O’Halloran, Dara P.; Lacey, Keenan A.; Tavakol, Mehri; Hearnden, Claire H.; Fitzgerald-Hughes, Deirdre; Humphreys, Hilary; Fennell, Jérôme P.; van Wamel, Willem J.; Foster, Timothy J.; Geoghegan, Joan A.; Lavelle, Ed C.; Rogers, Thomas R.; McLoughlin, Rachel M.

    2015-01-01

    Mechanisms of protective immunity to Staphylococcus aureus infection in humans remain elusive. While the importance of cellular immunity has been shown in mice, T cell responses in humans have not been characterised. Using a murine model of recurrent S. aureus peritonitis, we demonstrated that prior exposure to S. aureus enhanced IFNγ responses upon subsequent infection, while adoptive transfer of S. aureus antigen-specific Th1 cells was protective in naïve mice. Translating these findings, we found that S. aureus antigen-specific Th1 cells were also significantly expanded during human S. aureus bloodstream infection (BSI). These Th1 cells were CD45RO+, indicative of a memory phenotype. Thus, exposure to S. aureus induces memory Th1 cells in mice and humans, identifying Th1 cells as potential S. aureus vaccine targets. Consequently, we developed a model vaccine comprising staphylococcal clumping factor A, which we demonstrate to be an effective human T cell antigen, combined with the Th1-driving adjuvant CpG. This novel Th1-inducing vaccine conferred significant protection during S. aureus infection in mice. This study notably advances our understanding of S. aureus cellular immunity, and demonstrates for the first time that a correlate of S. aureus protective immunity identified in mice may be relevant in humans. PMID:26539822

  6. Memory Th1 Cells Are Protective in Invasive Staphylococcus aureus Infection.

    LENUS (Irish Health Repository)

    Brown, Aisling F

    2015-01-01

    Mechanisms of protective immunity to Staphylococcus aureus infection in humans remain elusive. While the importance of cellular immunity has been shown in mice, T cell responses in humans have not been characterised. Using a murine model of recurrent S. aureus peritonitis, we demonstrated that prior exposure to S. aureus enhanced IFNγ responses upon subsequent infection, while adoptive transfer of S. aureus antigen-specific Th1 cells was protective in naïve mice. Translating these findings, we found that S. aureus antigen-specific Th1 cells were also significantly expanded during human S. aureus bloodstream infection (BSI). These Th1 cells were CD45RO+, indicative of a memory phenotype. Thus, exposure to S. aureus induces memory Th1 cells in mice and humans, identifying Th1 cells as potential S. aureus vaccine targets. Consequently, we developed a model vaccine comprising staphylococcal clumping factor A, which we demonstrate to be an effective human T cell antigen, combined with the Th1-driving adjuvant CpG. This novel Th1-inducing vaccine conferred significant protection during S. aureus infection in mice. This study notably advances our understanding of S. aureus cellular immunity, and demonstrates for the first time that a correlate of S. aureus protective immunity identified in mice may be relevant in humans.

  7. Atypical memory B cells in human chronic infectious diseases: An interim report.

    Science.gov (United States)

    Portugal, Silvia; Obeng-Adjei, Nyamekye; Moir, Susan; Crompton, Peter D; Pierce, Susan K

    2017-11-01

    Immunological memory is a remarkable phenomenon in which survival of an initial infection by a pathogen leads to life-long protection from disease upon subsequent exposure to that same pathogen. For many infectious diseases, long-lived protective humoral immunity is induced after only a single infection in a process that depends on the generation of memory B cells (MBCs) and long-lived plasma cells. However, over the past decade it has become increasingly evident that many chronic human infectious diseases to which immunity is not readily established, including HIV-AIDS, malaria and TB, are associated with fundamental alterations in the composition and functionality of MBC compartments. A common feature of these diseases appears to be a large expansion of what have been termed exhausted B cells, tissue-like memory B cells or atypical memory B cells (aMBCs) that, for simplicity's sake, we refer to here as aMBCs. It has been suggested that chronic immune activation and inflammation drive the expansion of aMBCs and that in some way aMBCs contribute to deficiencies in the acquisition of immunity in chronic infectious diseases. Although aMBCs are heterogeneous both within individuals and between diseases, they have several features in common including low expression of the cell surface markers that define classical MBCs in humans including CD21 and CD27 and high expression of genes not usually expressed by classical MBCs including T-bet, CD11c and a variety of inhibitory receptors, notably members of the FcRL family. Another distinguishing feature is their greatly diminished ability to be stimulated through their B cell receptors to proliferate, secrete cytokines or produce antibodies. In this review, we describe our current understanding of the phenotypic markers of aMBCs, their specificity in relation to the disease-causing pathogen, their functionality, the drivers of their expansion in chronic infections and their life span. We briefly summarize the features of a

  8. Transistor Small Signal Analysis under Radiation Effects

    International Nuclear Information System (INIS)

    Sharshar, K.A.A.

    2004-01-01

    A Small signal transistor parameters dedicate the operation of bipolar transistor before and after exposed to gamma radiation (1 Mrad up to 5 Mrads) and electron beam(1 MeV, 25 mA) with the same doses as a radiation sources, the electrical parameters of the device are changed. The circuit Model has been discussed.Parameters, such as internal emitter resistance (re), internal base resistance, internal collector resistance (re), emitter base photocurrent (Ippe) and base collector photocurrent (Ippe). These parameters affect on the operation of the device in its applications, which work as an effective element, such as current gain (hFE≡β)degradation it's and effective parameter in the device operation. Also the leakage currents (IcBO) and (IEBO) are most important parameters, Which increased with radiation doses. Theoretical representation of the change in the equivalent circuit for NPN and PNP bipolar transistor were discussed, the input and output parameters of the two types were discussed due to the change in small signal input resistance of the two types. The emitter resistance(re) were changed by the effect of gamma and electron beam irradiation, which makes a change in the role of matching impedances between transistor stages. Also the transistor stability factors S(Ico), S(VBE) and S(β are detected to indicate the transistor operations after exposed to radiation fields. In low doses the gain stability is modified due to recombination of induced charge generated during device fabrication. Also the load resistance values are connected to compensate the effect

  9. A dual-docking microfluidic cell migration assay (D2-Chip) for testing neutrophil chemotaxis and the memory effect.

    Science.gov (United States)

    Yang, Ke; Wu, Jiandong; Xu, Guoqing; Xie, Dongxue; Peretz-Soroka, Hagit; Santos, Susy; Alexander, Murray; Zhu, Ling; Zhang, Michael; Liu, Yong; Lin, Francis

    2017-04-18

    Chemotaxis is a classic mechanism for guiding cell migration and an important topic in both fundamental cell biology and health sciences. Neutrophils are a widely used model to study eukaryotic cell migration and neutrophil chemotaxis itself can lead to protective or harmful immune actions to the body. While much has been learnt from past research about how neutrophils effectively navigate through a chemoattractant gradient, many interesting questions remain unclear. For example, while it is tempting to model neutrophil chemotaxis using the well-established biased random walk theory, the experimental proof was challenged by the cell's highly persistent migrating nature. A special experimental design is required to test the key predictions from the random walk model. Another question that has interested the cell migration community for decades concerns the existence of chemotactic memory and its underlying mechanism. Although chemotactic memory has been suggested in various studies, a clear quantitative experimental demonstration will improve our understanding of the migratory memory effect. Motivated by these questions, we developed a microfluidic cell migration assay (so-called dual-docking chip or D 2 -Chip) that can test both the biased random walk model and the memory effect for neutrophil chemotaxis on a single chip enabled by multi-region gradient generation and dual-region cell alignment. Our results provide experimental support for the biased random walk model and chemotactic memory for neutrophil chemotaxis. Quantitative data analyses provide new insights into neutrophil chemotaxis and memory by making connections to entropic disorder, cell morphology and oscillating migratory response.

  10. Glucose-induced metabolic memory in Schwann cells: prevention by PPAR agonists.

    Science.gov (United States)

    Kim, Esther S; Isoda, Fumiko; Kurland, Irwin; Mobbs, Charles V

    2013-09-01

    A major barrier in reversing diabetic complications is that molecular and pathologic effects of elevated glucose persist despite normalization of glucose, a phenomenon referred to as metabolic memory. In the present studies we have investigated the effects of elevated glucose on Schwann cells, which are implicated in diabetic neuropathy. Using quantitative PCR arrays for glucose and fatty acid metabolism, we have found that chronic (>8 wk) 25 mM high glucose induces a persistent increase in genes that promote glycolysis, while inhibiting those that oppose glycolysis and alternate metabolic pathways such as fatty acid metabolism, the pentose phosphate pathway, and trichloroacetic acid cycle. These sustained effects were associated with decreased peroxisome proliferator-activated receptor (PPAR)γ binding and persistently increased reactive oxygen species, cellular NADH, and altered DNA methylation. Agonists of PPARγ and PPARα prevented select effects of glucose-induced gene expression. These observations suggest that Schwann cells exhibit features of metabolic memory that may be regulated at the transcriptional level. Furthermore, targeting PPAR may prevent metabolic memory and the development of diabetic complications.

  11. Splenectomy alters distribution and turnover but not numbers or protective capacity of de novo generated memory CD8 T cells.

    Directory of Open Access Journals (Sweden)

    Marie eKim

    2014-11-01

    Full Text Available The spleen is a highly compartmentalized lymphoid organ that allows for efficient antigen presentation and activation of immune responses. Additionally, the spleen itself functions to remove senescent red blood cells, filter bacteria, and sequester platelets. Splenectomy, commonly performed after blunt force trauma or splenomegaly, has been shown to increase risk of certain bacterial and parasitic infections years after removal of the spleen. Although previous studies report defects in memory B cells and IgM titers in splenectomized patients, the effect of splenectomy on CD8 T cell responses and memory CD8 T cell function remains ill defined. Using TCR-transgenic P14 cells, we demonstrate that homeostatic proliferation and representation of pathogen-specific memory CD8 T cells in the blood are enhanced in splenectomized compared to sham surgery mice. Surprisingly, despite the enhanced turnover, splenectomized mice displayed no changes in total memory CD8 T cell numbers nor impaired protection against lethal dose challenge with Listeria monocytogenes. Thus, our data suggest that memory CD8 T cell maintenance and function remain intact in the absence of the spleen.

  12. Bone Marrow Mesenchymal Stem Cells Enhance the Differentiation of Human Switched Memory B Lymphocytes into Plasma Cells in Serum-Free Medium

    Directory of Open Access Journals (Sweden)

    Guillaume Bonnaure

    2016-01-01

    Full Text Available The differentiation of human B lymphocytes into plasma cells is one of the most stirring questions with regard to adaptive immunity. However, the terminal differentiation and survival of plasma cells are still topics with much to be discovered, especially when targeting switched memory B lymphocytes. Plasma cells can migrate to the bone marrow in response to a CXCL12 gradient and survive for several years while secreting antibodies. In this study, we aimed to get closer to niches favoring plasma cell survival. We tested low oxygen concentrations and coculture with mesenchymal stem cells (MSC from human bone marrow. Besides, all cultures were performed using an animal protein-free medium. Overall, our model enables the generation of high proportions of CD38+CD138+CD31+ plasma cells (≥50% when CD40-activated switched memory B lymphocytes were cultured in direct contact with mesenchymal stem cells. In these cultures, the secretion of CXCL12 and TGF-β, usually found in the bone marrow, was linked to the presence of MSC. The level of oxygen appeared less impactful than the contact with MSC. This study shows for the first time that expanded switched memory B lymphocytes can be differentiated into plasma cells using exclusively a serum-free medium.

  13. Large magnetocurrents in double-barrier tunneling transistors

    International Nuclear Information System (INIS)

    Lee, J.H.; Jun, K.-I.; Shin, K.-H.; Park, S.Y.; Hong, J.K.; Rhie, K.; Lee, B.C.

    2005-01-01

    Magnetic tunneling transistors (MTT) with double tunneling barriers are fabricated. The structure of the transistor is AFM/FM/I/FM/I/FM/AFM, and ferromagnetic layers serve as the emitter, base and collector. This double-barrier tunneling transistor (DBTT) has an advantage of controlling the potential between the base and collector, compared to the Schottky-barrier-based base and collector of MTT. We found that the collector current density of DBTT is at least 10 3 times larger than that of conventional MTT, since tunneling through AlO x barrier provides much larger current density than that through Schottky barrier

  14. Dynamic analysis of CD127 expression on memory CD8 T cells from patients with chronic hepatitis B during telbivudine treatment

    Directory of Open Access Journals (Sweden)

    Lv Guocai

    2010-08-01

    Full Text Available Abstract Background Accumulating evidence supports the theory that expression of CD127 on CD8 T cells during the process of antiviral immune response indicates a subset of effect CD8 T cells that successfully develop into fully protective memory. CD8 T cells expression of CD127 may be used as a predictor to evaluate disease status in chronic viral infection. The aim of this study was to investigate the CD127 expression level on different subsets of CD8 T cell and explore the relationship between CD127 expression on CD8 memory T cells and serum hepatitis B virus (HBV DNA and hepatitis B e antigen (HBeAg levels in patients with chronic hepatitis B (CHB. We also aimed to investigate the CD127 expression pattern on CD8 memory T cells of CHB patients who were treated with Telbivudine. Methods/Results Twenty HBeAg-positive CHB patients were selected and treated with telbivudine 600 mg/day for 48 weeks. The memory CD8 T cells were characterized by expression of CD45RA and CD27 markers. CD127 expression on the CD8 T-cell surface was measured by four-colour flow cytometry. Our results showed that CD127 expression on memory CD8 T cells was reduced in CHB patients. There was a strong negative correlation between CD127 expression on memory CD8 T cells and serum HBV DNA and HBeAg levels in CHB patients. Moreover, successful antiviral therapy increased CD127 expression on CD8 memory T cells as well as on HBV-specific CD8 T cells in CHB patients. Conclusion These results suggest that diminished CD127 expression on CD8 memory T cells of CHB patients is a potential mechanism explaining cellular immune function impairment in CHB infection, and that CD127 expression on CD8 memory T cells is a useful indicator for evaluating the effects of anti-HBV therapy.

  15. Ambipolar organic tri-gate transistor for low-power complementary electronics

    NARCIS (Netherlands)

    Torricelli, F.; Ghittorelli, M.; Smits, E.C.P.; Roelofs, C.; Janssen, R.A.J.; Gelinck, G.H.; Kovács-Vajna, Z.M.; Cantatore, E.

    2016-01-01

    Ambipolar transistors typically suffer from large off-current inherently due to ambipolar conduction. Using a tri-gate transistor it is shown that it is possible to electrostatically switch ambipolar polymer transistors from ambipolar to unipolar mode. In unipolar mode, symmetric characteristics

  16. Stretchable transistors with buckled carbon nanotube films as conducting channels

    Science.gov (United States)

    Arnold, Michael S; Xu, Feng

    2015-03-24

    Thin-film transistors comprising buckled films comprising carbon nanotubes as the conductive channel are provided. Also provided are methods of fabricating the transistors. The transistors, which are highly stretchable and bendable, exhibit stable performance even when operated under high tensile strains.

  17. Metal nanoparticle film-based room temperature Coulomb transistor.

    Science.gov (United States)

    Willing, Svenja; Lehmann, Hauke; Volkmann, Mirjam; Klinke, Christian

    2017-07-01

    Single-electron transistors would represent an approach to developing less power-consuming microelectronic devices if room temperature operation and industry-compatible fabrication were possible. We present a concept based on stripes of small, self-assembled, colloidal, metal nanoparticles on a back-gate device architecture, which leads to well-defined and well-controllable transistor characteristics. This Coulomb transistor has three main advantages. By using the scalable Langmuir-Blodgett method, we combine high-quality chemically synthesized metal nanoparticles with standard lithography techniques. The resulting transistors show on/off ratios above 90%, reliable and sinusoidal Coulomb oscillations, and room temperature operation. Furthermore, this concept allows for versatile tuning of the device properties such as Coulomb energy gap and threshold voltage, as well as period, position, and strength of the oscillations.

  18. Low-frequency noise in single electron tunneling transistor

    DEFF Research Database (Denmark)

    Tavkhelidze, A.N.; Mygind, Jesper

    1998-01-01

    The noise in current biased aluminium single electron tunneling (SET) transistors has been investigated in the frequency range of 5 mHz ..., we find the same input charge noise, typically QN = 5 × 10–4 e/Hz1/2 at 10 Hz, with and without the HF shielding. At lower frequencies, the noise is due to charge trapping, and the voltage noise pattern superimposed on the V(Vg) curve (voltage across transistor versus gate voltage) strongly depends...... when ramping the junction voltage. Dynamic trapping may limit the high frequency applications of the SET transistor. Also reported on are the effects of rf irradiation and the dependence of the SET transistor noise on bias voltage. ©1998 American Institute of Physics....

  19. Nonvolatile field effect transistors based on protons and Si/SiO2Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Vanheusden, K.; Fleetwood, D.M.; Schwank, J.R.; Winokur, P.S.; Knoll, M.G.; Devine, R.A.B.

    1997-01-01

    Recently, the authors have demonstrated that annealing Si/SiO 2 /Si structures in a hydrogen containing ambient introduces mobile H + ions into the buried SiO 2 layer. Changes in the H + spatial distribution within the SiO 2 layer were electrically monitored by current-voltage (I-V) measurements. The ability to directly probe reversible protonic motion in Si/SiO 2 /Si structures makes this an exemplar system to explore the physics and chemistry of hydrogen in the technologically relevant Si/SiO 2 structure. In this work, they illustrate that this effect can be used as the basis for a programmable nonvolatile field effect transistor (NVFET) memory that may compete with other Si-based memory devices. The power of this novel device is its simplicity; it is based upon standard Si/SiO 2 /Si technology and forming gas annealing, a common treatment used in integrated circuit processing. They also briefly discuss the effects of radiation on its retention properties

  20. Identifying long-term memory B-cells in vaccinated children despite waning antibody levels specific for Bordetella pertussis proteins.

    Science.gov (United States)

    Hendrikx, Lotte H; Oztürk, Kemal; de Rond, Lia G H; Veenhoven, Reinier H; Sanders, Elisabeth A M; Berbers, Guy A M; Buisman, Anne-Marie

    2011-02-04

    Whooping cough is a respiratory disease caused by Bordetella pertussis. Since the 1950s in developed countries pertussis vaccinations are included in the national immunization program. However, antibody levels rapidly wane after both whole cell and acellular pertussis vaccination. Therefore protection against pertussis may depend largely on long-term B- and T-cell immunities. We investigated long-term pertussis-specific memory B-cell responses in children who were primed at infant age with the Dutch wP-vaccine (ISRCTN65428640). Purified B-cells were characterized by FACS-analysis and after polyclonal stimulation memory B-cells were detected by ELISPOT-assays specific for pertussis toxin, filamentous haemagglutinin, pertactin and tetanus. In addition, plasma IgG levels directed to the same antigens were measured by a fluorescent bead-based multiplex immunoassay. Two and 3 years after wP priming as well as 2 and 5 years after the aP booster at the age of 4, low plasma IgG levels to the pertussis proteins were found. At the same time, however pertussis protein-specific memory B-cells could be detected and their number increased with age. The number of tetanus-specific memory B-cells was similar in all age groups, whereas IgG-tetanus levels were high 2 years after tetanus booster compared to pre- and 5 years post-booster levels. This study shows the presence of long-term pertussis protein-specific memory B-cells in children despite waning antibody levels after vaccination, which suggests that memory B-cells in addition to antibodies may contribute to protection against pertussis. Copyright © 2010 Elsevier Ltd. All rights reserved.