Homojunction p-n photodiodes based on As-doped single ZnO nanowire
International Nuclear Information System (INIS)
Cho, H. D.; Zakirov, A. S.; Yuldashev, Sh. U.; Kang, T. W.; Ahn, C. W.; Yeo, Y. K.
2013-01-01
Photovoltaic device was successfully grown solely based on the single ZnO p-n homojunction nanowire. The ZnO nanowire p-n diode consists of an as-grown n-type segment and an in-situ arsenic doped p-type segment. This p-n homojunction acts as a good photovoltaic cell, producing a photocurrent almost 45 times larger than the dark current under reverse-biased condition. Our results demonstrate that present ZnO p-n homojunction nanowire can be used as a self-powered ultraviolet photodetector as well as a photovoltaic cell, which can also be used as an ultralow electrical power source for nano-scale electronic, optoelectronic, and medical devices
Titanium-dioxide nanotube p-n homojunction diode
Alivov, Yahya; Ding, Yuchen; Singh, Vivek; Nagpal, Prashant
2014-12-01
Application of semiconductors in functional optoelectronic devices requires precise control over their doping and formation of junction between p- and n-doped semiconductors. While doped thin films have led to several semiconductor devices, need for high-surface area nanostructured devices for photovoltaic, photoelectrochemical, and photocatalytic applications has been hindered by lack of desired doping in nanostructures. Here, we show titanium-dioxide (TiO2) nanotubes doped with nitrogen (N) and niobium (Nb) as acceptors and donors, respectively, and formation of TiO2 nanotubes p-n homojunction. This TiO2:N/TiO2:Nb homojunction showed distinct diode-like behaviour with rectification ratio of 1115 at ±5 V and exhibited good photoresponse for ultraviolet light (λ = 365 nm) with sensitivity of 0.19 A/W at reverse bias of -5 V. These results can have important implications for development of nanostructured metal-oxide solar-cells, photodiodes, LED's, photocatalysts, and photoelectrochemical devices.
Titanium-dioxide nanotube p-n homojunction diode
Energy Technology Data Exchange (ETDEWEB)
Alivov, Yahya, E-mail: y.alivov@colorado.edu, E-mail: pnagpal@colorado.edu; Ding, Yuchen; Singh, Vivek [Department of Chemical and Biological Engineering, University of Colorado Boulder, 3415 Colorado Avenue, Boulder, Colorado 80303 (United States); Nagpal, Prashant, E-mail: y.alivov@colorado.edu, E-mail: pnagpal@colorado.edu [Department of Chemical and Biological Engineering, University of Colorado Boulder, 3415 Colorado Avenue, Boulder, Colorado 80303 (United States); Materials Science and Engineering, University of Colorado Boulder, 3415 Colorado Avenue, Boulder, Colorado 80303 (United States); Renewable and Sustainable Energy Institute, University of Colorado Boulder, 2445 Kittredge Loop, Boulder, Colorado 80309 (United States)
2014-12-29
Application of semiconductors in functional optoelectronic devices requires precise control over their doping and formation of junction between p- and n-doped semiconductors. While doped thin films have led to several semiconductor devices, need for high-surface area nanostructured devices for photovoltaic, photoelectrochemical, and photocatalytic applications has been hindered by lack of desired doping in nanostructures. Here, we show titanium-dioxide (TiO{sub 2}) nanotubes doped with nitrogen (N) and niobium (Nb) as acceptors and donors, respectively, and formation of TiO{sub 2} nanotubes p-n homojunction. This TiO{sub 2}:N/TiO{sub 2}:Nb homojunction showed distinct diode-like behaviour with rectification ratio of 1115 at ±5 V and exhibited good photoresponse for ultraviolet light (λ = 365 nm) with sensitivity of 0.19 A/W at reverse bias of −5 V. These results can have important implications for development of nanostructured metal-oxide solar-cells, photodiodes, LED's, photocatalysts, and photoelectrochemical devices.
The ZnO p-n homojunctions modulated by ZnMgO barriers
International Nuclear Information System (INIS)
Yang, Jing-Jing; Fang, Qing-Qing; Wang, Dan-Dan; Du, Wen-Han
2015-01-01
In this paper, we fabricated the ultrathin ZnO p-n homojunctions, which modulated by ZnMgO asymmetrical double barriers (ADB). The ADB p-n homojunctions displays step-like curve in the absorption spectrums, this is the first time that quantum confinement effect has been observed in the absorption spectrums at room temperature (RT). The Hall-effect data confirm there is 2-dimensional electron gas in the interface of the ZnMgO ADB p-n junctions. The quantum confinement effect enhances the hall-mobility μ to 10 3 cm 2 V −1 s −1 based on the polarity of the films. There was no rectification property in the ZnO homojunctions with thickness of 250nm, however, when the ADB was added in the n-type layer of the homojunctions, it displays a typical Zener diode rectification property in the I-V curve
Radiation resistance and comparative performance of ITO/InP and n/p InP homojunction solar cells
International Nuclear Information System (INIS)
Weinberg, I.; Swartz, C.K.; Hart, R.E. Jr.; Coutts, T.J.
1988-09-01
The radiation resistance of ITO/InP cells processed by DC magnetron sputtering is compared to that of standard n/p InP and GaAs homojunction cells. After 20 MeV proton irradiations, it is found that the radiation resistance of the present ITO/InP cell is comparable to that of the n/p homojunction InP cell and that both InP cell types have radiation resistance significantly greater than GaAs. The relatively lower radiation resistance, observed at higher fluence, for the InP cell with the deepest junction depth, is attributed to losses in the cells emitter region. Diode parameters obtained from I sub sc - V sub oc plots, data from surface Raman spectroscopy, and determinations of surface conductivity types are used to investigate the configuration of the ITO/InP cells. It is concluded that thesee latter cells are n/p homojunctions, the n-region consisting of a disordered layer at the oxide semiconductor
Photovoltaic device on a single ZnO nanowire p–n homojunction
International Nuclear Information System (INIS)
Cho, Hak Dong; Zakirov, Anvar S; Yuldashev, Shavkat U; Kang, Tae Won; Ahn, Chi Won; Yeo, Yung Kee
2012-01-01
A photovoltaic device was successfully grown solely based on the single ZnO p–n homojunction nanowire. The ZnO nanowire p–n diode consists of an as-grown n-type segment and an in situ arsenic-doped p-type segment. This p–n homojunction acts as a good photovoltaic cell, producing a photocurrent almost 45 times larger than the dark current under reverse-biased conditions. Our results demonstrate that the present ZnO p–n homojunction nanowire can be used as a self-powered ultraviolet photodetector as well as a photovoltaic cell, which can also be used as an ultralow electrical power source for nanoscale electronic, optoelectronic and medical devices. (paper)
Synthesis and characterization of n-type NiO:Al thin films for fabrication of p-n NiO homojunctions
Sun, Hui; Liao, Ming-Han; Chen, Sheng-Chi; Li, Zhi-Yue; Lin, Po-Chun; Song, Shu-Mei
2018-03-01
n-type NiO:Al thin films were deposited by RF magnetron sputtering. Their optoelectronic properties versus Al target power was investigated. The results show that with increasing Al target power, the conduction type of NiO films changes from p-type to n-type. The variation of the film’s electrical and optical properties depends on Al amount in the film. When Al target power is relatively low, Al3+ cations tend to enter nickel vacancy sites, which makes the lattice structure of NiO more complete. This improves the carrier mobility and film’s transmittance. However, when Al target power exceeds 40 W, Al atoms begin to enter into interstitial sites and form an Al cluster in the NiO film. This behavior is beneficial for improving the film’s n-type conductivity but degrades the film’s transmittance. Finally, Al/(p-type NiO)/(n-type NiO:Al)/ITO homojunctions were fabricated. Their performance was compared with Al/(p-type NiO)/ITO heterojunctions without an n-type NiO layer. Thanks to the better interface quality between the two NiO layers, the homojunctions present better performance.
InP and InAs nanowires hetero- and homojunctions: energetic stability and electronic properties
International Nuclear Information System (INIS)
Dionizio Moreira, M; Venezuela, P; Miwa, R H
2010-01-01
We performed an ab initio total energy investigation, within the density functional theory, of the energetic stability and the electronic properties of hydrogenated InAs/InP nanowire (NW) heterojunctions, as well as InAs and InP homojunctions composed of different structural arrangements, zinc-blend (zb) and wurtzite (w). For InAs/InP NW heterojunctions our results indicate that w and zb NW heterojunctions are quite similar, energetically, for thin NWs. We also examined the robustness of the abrupt interface through an atomic As↔P swap at the InAs/InP interface. Our results support the formation of abrupt (non-abrupt) interfaces in w (zb) InAs/InP heterojunctions. Concerning InAs/InP NW-SLs, our results indicate a type-I band alignment, with the energy barrier at the InP layers, in accordance with experimental works. For InAs or InP zb/w homojunctions, we also found a type-I band alignment for thin NWs, however, on increasing the NW diameter both InAs and InP homojunctions exhibit a type-II band alignment.
2016-09-01
REPORT DATE (DD-MM-YYYY) September 2016 2. REPORT TYPE Technical Report 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Planar Homojunction...development of mass- production semiconductor processing methods of 4H-SiC. The ease of fabrication of thicker epitaxial layers make SiC a prime...the 0.1- and 1-nA current settings are very stable and represent the low intensity expected from radioisotope beta decay. 2.2 Planar GaN Device
InP and InAs nanowires hetero- and homojunctions: energetic stability and electronic properties.
Dionízio Moreira, M; Venezuela, P; Miwa, R H
2010-07-16
We performed an ab initio total energy investigation, within the density functional theory, of the energetic stability and the electronic properties of hydrogenated InAs/InP nanowire (NW) heterojunctions, as well as InAs and InP homojunctions composed of different structural arrangements, zinc-blend (zb) and wurtzite (w). For InAs/InP NW heterojunctions our results indicate that w and zb NW heterojunctions are quite similar, energetically, for thin NWs. We also examined the robustness of the abrupt interface through an atomic swap at the InAs/InP interface. Our results support the formation of abrupt (non-abrupt) interfaces in w (zb) InAs/InP heterojunctions. Concerning InAs/InP NW-SLs, our results indicate a type-I band alignment, with the energy barrier at the InP layers, in accordance with experimental works. For InAs or InP zb/w homojunctions, we also found a type-I band alignment for thin NWs, however, on increasing the NW diameter both InAs and InP homojunctions exhibit a type-II band alignment.
Perovskite-Perovskite Homojunctions via Compositional Doping.
Dänekamp, Benedikt; Müller, Christian; Sendner, Michael; Boix, Pablo P; Sessolo, Michele; Lovrincic, Robert; Bolink, Henk J
2018-05-11
One of the most important properties of semiconductors is the possibility of controlling their electronic behavior via intentional doping. Despite the unprecedented progress in the understanding of hybrid metal halide perovskites, extrinsic doping of perovskite remains nearly unexplored and perovskite-perovskite homojunctions have not been reported. Here we present a perovskite-perovskite homojunction obtained by vacuum deposition of stoichiometrically tuned methylammonium lead iodide (MAPI) films. Doping is realized by adjusting the relative deposition rates of MAI and PbI 2 , obtaining p-type (MAI excess) and n-type (MAI defect) MAPI. The successful stoichiometry change in the thin films is confirmed by infrared spectroscopy, which allows us to determine the MA content in the films. We analyzed the resulting thin-film junction by cross-sectional scanning Kelvin probe microscopy (SKPM) and found a contact potential difference (CPD) of 250 mV between the two differently doped perovskite layers. Planar diodes built with the perovskite-perovskite homojunction show the feasibility of our approach for implementation in devices.
Chemical Vapor Deposition Growth of Degenerate p-Type Mo-Doped ReS2 Films and Their Homojunction.
Qin, Jing-Kai; Shao, Wen-Zhu; Xu, Cheng-Yan; Li, Yang; Ren, Dan-Dan; Song, Xiao-Guo; Zhen, Liang
2017-05-10
Substitutional doping of transition metal dichalcogenide two-dimensional materials has proven to be effective in tuning their intrinsic properties, such as band gap, transport characteristics, and magnetism. In this study, we realized substitutional doping of monolayer rhenium disulfide (ReS 2 ) with Mo via chemical vapor deposition. Scanning transmission electron microscopy demonstrated that Mo atoms are successfully doped into ReS 2 by substitutionally replacing Re atoms in the lattice. Electrical measurements revealed the degenerate p-type semiconductor behavior of Mo-doped ReS 2 field effect transistors, in agreement with density functional theory calculations. The p-n diode device based on a doped ReS 2 and ReS 2 homojunction exhibited gate-tunable current rectification behaviors, and the maximum rectification ratio could reach up to 150 at V d = -2/+2 V. The successful synthesis of p-type ReS 2 in this study could largely promote its application in novel electronic and optoelectronic devices.
Multifunctional homojunction gallium arsenide n–p–m-structure
Directory of Open Access Journals (Sweden)
Karimov A. V.
2009-11-01
Full Text Available The brief information about created phototransistor nGaAs–рGaAs–Ag-structure are given. The processes of photogeneration of carriers in the base and in the space-charge layers of semiconductor junction as well as of metal — semiconductor junction are analyzed depending on the mode of inclusion. It is shown the multifunctionality of offered homojunction structure that is perspective for creating the optical receiver or the optical transformer.
Dewan, Sheetal; Tomar, Monika; Tandon, R. P.; Gupta, Vinay
2017-06-01
Mixed transition metal oxide, zinc doped NiO, Z n x N i 1 - x O (x = 0, 0.01, 0.02, 0.05, and 0.10), thin films have been fabricated by the RF magnetron sputtering technique in an oxygen deficit ambience at a growth temperature of 400 °C. The present report highlights the effect of Zn doping in NiO thin films on its structural, optical, and electrical properties. Optical transmission enhancement and band gap engineering in a-axis oriented NiO films have been demonstrated via Zn substitution. Hall effect measurements of the prepared samples revealed a transition from p-type to n-type conductivity in NiO at 2% Zn doping. A NiO based transparent p-n homojunction diode has been fabricated successfully, and the conduction mechanism dominating the diode properties is reported in detail. Current-voltage (I-V) characteristics of the homojunction diode are found to obey the Space Charge Limited Conduction mechanism with non-ideal square law behaviour.
Synthesis of p-type GaN nanowires.
Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin
2013-09-21
GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.
Czech Academy of Sciences Publication Activity Database
Yatskiv, Roman; Tiagulkyi, Stanislav; Grym, Jan; Černohorský, Ondřej
2018-01-01
Roč. 215, č. 2 (2018), č. článku 1700592. ISSN 1862-6300 R&D Projects: GA ČR(CZ) GA17-00546S; GA ČR(CZ) GA15-17044S Institutional support: RVO:67985882 Keywords : Rectifying ZnO homojunctions * Photoluminescence * N-type nanorods Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Electrical and electronic engineering Impact factor: 1.775, year: 2016
Photovoltaic characteristics of n(+)pp(+) InP solar cells grown by OMVPE
Tyagi, S.; Singh, K.; Bhimnathwala, H.; Ghandhi, S. K.; Borrego, J. M.
1990-01-01
The photovoltaic characteristics of n(+)/p/p(+) homojunction InP solar cells fabricated by organometallic vapor-phase epitaxy (OMVPE) are described. The cells are characterized by I-V, C-V and quantum efficiency measurements, and simulations are used to obtain various device and material parameters. The I-V characteristics show a high recombination rate in the depletion region; this is shown to be independent of the impurity used. It is shown that cadmium is easier to use as an acceptor for the p base and p(+) buffer and is therefore beneficial. The high quantum efficiency of 98 percent at long wavelengths measured in these cells indicates a very good collection efficiency in the base. The short-wavelength quantum efficiency is poor, indicating a high surface recombination.
Czech Academy of Sciences Publication Activity Database
Vališka, M.; Warmuth, J.; Michiardi, M.; Vondráček, Martin; Ngankeu, A.S.; Holý, V.; Sechovský, V.; Springholz, G.; Bianchi, M.; Wiebe, J.; Hofmann, P.; Honolka, Jan
2016-01-01
Roč. 108, č. 26 (2016), 1-4, č. článku 262402. ISSN 0003-6951 R&D Projects: GA MŠk(CZ) LM2011029; GA MŠk LO1409; GA ČR(CZ) GA14-30062S Grant - others:AV ČR(CZ) Fellowship J. E. Purkyně Institutional support: RVO:68378271 Keywords : topological insulator * Mn-Bi2Se 3 * homojunction * ARPES Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 3.411, year: 2016
Abdul-Hameed, Assel A.; Mahdi, M. A.; Ali, Basil; Selman, Abbas M.; Al-Taay, H. F.; Jennings, P.; Lee, Wen-Jen
2018-04-01
Core-shell self-powered SiNWs homojunction photosensors have been fabricated. SiNWs are prepared by a metal assisted chemical etching method using different HF/H2O2 ratios and etching times. The length of the p-SiNWs increased as the H2O2 concentration and etching time increased. All the grown SiNWs show very low (∼0.7%) optical reflectance for the wavelength range of 200-1100 nm. Photoluminescence spectra of all prepared SiNWs show sharp and broad emission bands located in the red region of the light spectrum. Core-shell homojunction photosensors were fabricated by spin coating P2O2 onto the surface of the prepared p-SiNWs and annealed at 900 °C for 1 h. The fabricated devices exhibited photovoltaic behavior and high photosensitivity with fast response speed to the visible light. However, the sample that was fabricated using HF/H2O2 ratio of 1:1 showed the highest photosensitivity value of 3578% while the photosensor prepared using 2:1 ratio of HF/H2O2 gave the faster rise and decay time.
International Nuclear Information System (INIS)
Wang, Xiaoxia; Ni, Qian; Zeng, Dawen; Liao, Guanglan; Xie, Changsheng
2016-01-01
Highlights: • Charge separation in homojunction based on the broadened band gap by quantum effect. • Absolute charge separation by the passivation effect of TiO_2 nanorod. • Long-distance electron transfer behavior in photocatalysis. • Roughed surface for enhanced light harvesting by light trapping effect. - Abstract: As known, the electron transfer behavior in photocatalysis is short-distance transportation, which leads the photo-induced electrons and holes to be localized. The temporarily separated electrons and holes will recombine with each other in the localized region. In this paper, we successfully achieved electron transfer in a homojunction of branched rutile TiO_2 nanorod @nanoparticle core-shell architecture by quantum confinement effect aroused by the nanoparticle, which is proved by the blue-shifting in UV–vis absorption spectrum of the homojunction. Meanwhile, an absolute charge separation is also achieved by the long-distance electron transfer along the single-crystalline rutile TiO_2 nanorod as uninterrupted high-speed electron transfer channel to FTO substrates. Based on the effective charge separation, the photocatalytic decomposition of gaseous benzene by the homojunction is significantly enhanced, yielding 10 times CO_2 than that of the nanorod array. This homojunction interfacial charge separation, aroused by quantum effect, through long-distance transfer along the single-crystalline nanorod gives us inspiration to achieve efficient charge separation with defect-less interfaces, which might can be utilized for real-time environmental abatement and energy generation simultaneously.
Wakimoto, Hiroki; Nakazawa, Haruo; Matsumoto, Takashi; Nabetani, Yoichi
2018-04-01
For P-i-N diodes implanted and activated with boron ions into a highly-resistive n-type Si substrate, it is found that there is a large difference in the leakage current between relatively low temperature furnace annealing (FA) and high temperature laser annealing (LA) for activation of the p-layer. Since electron trap levels in the n-type Si substrate is supposed to be affected, we report on Deep Level Transient Spectroscopy (DLTS) measurement results investigating what kinds of trap levels are formed. As a result, three kinds of electron trap levels are confirmed in the region of 1-4 μm from the p-n junction. Each DLTS peak intensity of the LA sample is smaller than that of the FA sample. In particular, with respect to the trap level which is the closest to the silicon band gap center most affecting the reverse leakage current, it was not detected in LA. It is considered that the electron trap levels are decreased due to the thermal energy of LA. On the other hand, four kinds of trap levels are confirmed in the region of 38-44 μm from the p-n junction and the DLTS peak intensities of FA and LA are almost the same, considering that the thermal energy of LA has not reached this area. The large difference between the reverse leakage current of FA and LA is considered to be affected by the deep trap level estimated to be the interstitial boron.
Energy Technology Data Exchange (ETDEWEB)
Wang, Xiaoxia [State Key Laboratory of Materials and Processing Die & Mould Technology, Nanomaterials and Smart Sensors Research Laboratory, Department of Materials Science and Engineering, Huazhong University of Science and Technology, No. 1037, Luoyu Road, Wuhan 430074 (China); Hubei Collaborative Innovation Center for Advanced Organic Chemical Materials, Wuhan 430062 (China); Ni, Qian [State Key Laboratory of Materials and Processing Die & Mould Technology, Nanomaterials and Smart Sensors Research Laboratory, Department of Materials Science and Engineering, Huazhong University of Science and Technology, No. 1037, Luoyu Road, Wuhan 430074 (China); Zeng, Dawen, E-mail: dwzeng@mail.hust.edu.cn [State Key Laboratory of Materials and Processing Die & Mould Technology, Nanomaterials and Smart Sensors Research Laboratory, Department of Materials Science and Engineering, Huazhong University of Science and Technology, No. 1037, Luoyu Road, Wuhan 430074 (China); Hubei Collaborative Innovation Center for Advanced Organic Chemical Materials, Wuhan 430062 (China); Liao, Guanglan [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, No. 1037, Luoyu Road, Wuhan 430074 (China); Xie, Changsheng [State Key Laboratory of Materials and Processing Die & Mould Technology, Nanomaterials and Smart Sensors Research Laboratory, Department of Materials Science and Engineering, Huazhong University of Science and Technology, No. 1037, Luoyu Road, Wuhan 430074 (China)
2016-12-15
Highlights: • Charge separation in homojunction based on the broadened band gap by quantum effect. • Absolute charge separation by the passivation effect of TiO{sub 2} nanorod. • Long-distance electron transfer behavior in photocatalysis. • Roughed surface for enhanced light harvesting by light trapping effect. - Abstract: As known, the electron transfer behavior in photocatalysis is short-distance transportation, which leads the photo-induced electrons and holes to be localized. The temporarily separated electrons and holes will recombine with each other in the localized region. In this paper, we successfully achieved electron transfer in a homojunction of branched rutile TiO{sub 2} nanorod @nanoparticle core-shell architecture by quantum confinement effect aroused by the nanoparticle, which is proved by the blue-shifting in UV–vis absorption spectrum of the homojunction. Meanwhile, an absolute charge separation is also achieved by the long-distance electron transfer along the single-crystalline rutile TiO{sub 2} nanorod as uninterrupted high-speed electron transfer channel to FTO substrates. Based on the effective charge separation, the photocatalytic decomposition of gaseous benzene by the homojunction is significantly enhanced, yielding 10 times CO{sub 2} than that of the nanorod array. This homojunction interfacial charge separation, aroused by quantum effect, through long-distance transfer along the single-crystalline nanorod gives us inspiration to achieve efficient charge separation with defect-less interfaces, which might can be utilized for real-time environmental abatement and energy generation simultaneously.
Transient analysis on the SMART-P anticipated transients without scram
International Nuclear Information System (INIS)
Yang, S. H.; Bae, K. H.; Kim, H. C.; Zee, S. Q.
2005-01-01
Anticipated transients without scram (ATWS) are anticipated operational occurrences accompanied by a failure of an automatic reactor trip when required. Although the occurrence probability of the ATWS events is considerably low, these events can result in unacceptable consequences, i.e. the pressurization of the reactor coolant system (RCS) up to an unacceptable range and a core-melting situation. Therefore, the regulatory body requests the installation of a protection system against the ATWS events. According to the request, a diverse protection system (DPS) is installed in the SMART-P (System-integrated Modular Advanced ReacTor-Pilot). This paper presents the results of the transient analysis performed to identify the performance of the SMART-P against the ATWS. In the analysis, the TASS/SMR (Transients And Setpoint Simulation/Small and Medium Reactor) code is applied to identify the thermal hydraulic response of the RCS during the transients
Lee, Daeyeong; Jang, Young Dae; Kweon, Jaehwan; Ryu, Jungjin; Hwang, Euyheon; Yoo, Won Jong; Samsung-SKKU Graphene/2D Center (SSGC) Collaboration
A vertical p+-n+ homojunction was fabricated by using black phosphorus (BP) as a van der Waals two-dimensional (2D) material. The top and bottom layers of the materials were doped by chemical dopants of gold chloride (AuCl3) for p-type doping and benzyl viologen (BV) for n-type doping. The negative differential resistance (NDR) effect was clearly observed from the output curves of the fabricated BP vertical devices. The thickness range of the 2D material showing NDR and the peak to valley current ratio of NDR are found to be strongly dependent on doping condition, gate voltage, and BP's degradation level. Furthermore, the carrier transport of the p+-n+ junction was simulated by using density functional theory (DFT) and non-equilibrium Green's function (NEGF). Both the experimental and simulation results confirmed that the NDR is attributed to the band-to-band tunneling (BTBT) across the 2D BP p+-n+ junction, and further quantitative details on the carrier transport in the vertical p+-n+ junction devices were explored, according to the analyses of the measured transfer curves and the DFT simulation results. This work was supported by the National Research Foundation of Korea (NRF) grant funded by the Korea government (MEST) (2013R1A2A2A01015516).
Buried homojunction in CdS/Sb2Se3 thin film photovoltaics generated by interfacial diffusion
Zhou, Ying; Li, Yang; Luo, Jiajun; Li, Dengbing; Liu, Xinsheng; Chen, Chao; Song, Huaibing; Ma, Jingyuan; Xue, Ding-Jiang; Yang, Bo; Tang, Jiang
2017-07-01
Antimony selenide (Sb2Se3) emerges as a very promising non-toxic absorber material for thin film photovoltaics, and most of the devices, either in the superstrate or substrate configuration, employed CdS as the buffer layer. Due to the peculiar one-dimensional crystal structure of Sb2Se3, severe interfacial diffusion would be expected. In this letter, the interfacial diffusion in CdS/Sb2Se3 photovoltaics was carefully characterized from a combined material and device physics characterization. The results indicated that a buried homojunction located deep inside the Sb2Se3 absorber layer due to Cd diffusion, instead of the apparent CdS/Sb2Se3 heterojunction, dictated charge separation and device performance in Sb2Se3 thin film solar cells. Cd diffusion converted p-type Sb2Se3 into n-type by introducing a donor level with an activation energy of 0.22 eV. Our studies deepen the understanding of Sb2Se3 photovoltaics and shed light on their further performance optimization.
Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, Husam N.
2012-01-01
High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility
Facile fabrication and electrical investigations of nanostructured p-Si/n-TiO2 hetero-junction diode
Kumar, Arvind; Mondal, Sandip; Rao, K. S. R. Koteswara
2018-05-01
In this work, we have fabricated the nanostructured p-Si/n-TiO2 hetero-junction diode by using a facile spin-coating method. The XRD analysis suggests the presence of well crystalline anatase TiO2 film on Si with small grain size (˜16 nm). We have drawn the band alignment using Anderson model to understand the electrical transport across the junction. The current-voltage (J-V) characteristics analysis reveals the good rectification ratio (103 at ± 3 V) and slightly higher ideality factor (4.7) of our device. The interface states are responsible for the large ideality factor as Si/TiO2 form a dissimilar interface and possess a large number of dangling bonds. The study reveals the promises to be used Si/TiO2 diode as an alternative to the traditional p-n homo-junction diode, which typically require high budget.
CELULE FOTOVOLTAICE CU HOMOJONCŢIUNE DIN InP: REZULTATE ŞI COMPARĂRI
Directory of Open Access Journals (Sweden)
Vasile BOTNARIUC
2016-12-01
Full Text Available Au fost obţinute homojoncţiuni n-pInP cu strat intermediar p-InP crescut repetat prin metoda HVPE cu sau fără strat frontal nCdS şi au fost cercetate proprietăţile electrice şi fotoelectrice ale acestora. S-a constatat că depunerea stratului intermediar măreşte fotosensibilitatea homostructurilor cu 15…20%. Eficienţa energetică a CF cu structura n+CdS-n+InP-p-InP-p+InP constituie 13,5% pentru fluxul luminos incident de 100 mW×cm-2. Eficienţa CF cu heterostructura nCdS-pInP şi cu strat intermediar similar creşte cu 27%, în comparaţie cu CF cu homostructura n-p--pInP şi cu strat frontal nCdS, având valoarea de 17,3%. Se conturează posibilitatea reală de mărire a eficienţei CF de acest tip.FOTOVOLTAIC CELLS WITH HOMOJUNCTIONS IN InP: REZULTS AND COMPARISONSElectrical and photoelectrical properties of InP p-n homojunctions with an intermediate p-InP layer repeatedly grown by HVPE method, with and without frontal nCdS layer were produced and studied. It was found that the deposition of this intermediate p-InP layer increases the cells photosensitivity by 15 ... 20%. The solar energy conversion efficiency of photovoltaic cell (PC with n+CdS-n+InP-p-InP-p+InP structure is 13.5% at the illumination of 100 mW.cm-2. The efficiency of the PC based on nCdS-pInP heterostructure and an analogic intermediate layer increases to 27% compared with the PC based on n-p--pInP homostructure having a frontal nCdS layer has an efficiency of 17.3%. The possibility of increasing of the efficiency of this PC type is formulated.
Low light illumination study on commercially available homojunction photovoltaic cells
International Nuclear Information System (INIS)
Russo, Johnny; Ray, William; Litz, Marc S.
2017-01-01
Highlights: • COTS PV cells are tested under indoor and narrow light spectra. • InGaP is the most efficient under low light conditions (0.5–100 μW_o_p_t/cm"2). • InGaP is selected for isotope battery. • Optimal incident wavelength (614 nm) for InGaP is identified in model. - Abstract: Low illumination (10"−"4 suns) and indoor light energy harvesting is needed to meet the demands of zero net energy (ZNE) building, Internet of Things (IoT), and beta-photovoltaic energy harvesting systems to power remote sensors. Photovoltaic (PV) solar cells under low intensity and narrow (±40 nm) light spectrum conditions are not well characterized nor developed, especially for commercially available devices and scalable systems. PV operating characteristics under 1 sun illumination decrease at lower light intensity and narrow spectrum conditions (efficiency drops from ∼25% at 100 mW_o_p_t/cm"2 to 2% at 1 μW_o_p_t/cm"2). By choosing a PV with a bandgap that matches the light source operating wavelength, the total system efficiency can be improved. By quantifying losses on homojunction photovoltaics (thermalization and leakage current), we have determined the theoretical optimized efficiency for a set of PV material and a selected set of light sources. We measure single-junction solar cells’ parameters under three different light sources (indoor light and narrow spectrum LED sources) with light intensities ranging from 0.5 to 100 μW_o_p_t/cm"2. Measurements show that indium gallium phosphide (InGaP) PV has the highest surface power density and conversion efficiency (29% under ≈1 μW_o_p_t/cm"2 from a 523 nm central peak LED). A beta-photovoltaic experimental study identifies InGaP to be optimized for use with the ZnS:Cu, Al and tritium at STP. The results have guided the selection of PV material for scalable isotope batteries and other low-light energy harvesting systems.
Characterization of n and p-type ZnO thin films grown by pulsed filtered cathodic vacuum arc system
International Nuclear Information System (INIS)
Kavak, H.; Erdogan, E.N.; Ozsahin, I.; Esen, R.
2010-01-01
oxidation zinc nitride the film converted to p-type zinc oxide and the film became more transparent. During the oxidation process at each temperature Hall measurements were made to determine carrier type, carrier concentration, mobility and resistivity. Hall effect measurements indicated that ZnO films were p-type, the reliable results obtained for carrier concentration and mobility. Hall effect measurements proved that after annealing at 350 degrees Celsium up to 500 degrees Celsium the film was p-type. By increasing the oxidation temperature over 550 degrees Celsium the ZnO thin films turned into n-type due to the loss of N atoms in the film. Room temperature photoluminescence measurements were performed to investigate doping and impurity level of these films. The deposited best quality n and p type ZnO thin films were used to produce hetero and homojunctions. p-type ZnO deposited on the n-type Si substrate and aluminum or indium was evaporated as metal contacts (n-p). On the other hand n-type ZnO deposited on p-type Si substrate for p-n structure. In the case of homo-junction both n and p-type ZnO thin films were deposited on glass substrates with Al contacts. Current-Voltage characteristics of these devices were determined and the typical result for p-n hetero-junction was shown here
Development of MCP transient operation strategy for the SMART-P
International Nuclear Information System (INIS)
Yoo, S. E.; Choi, B. S.; Kang, H. O.; Yoon, J. H.; Ji, S. K.
2003-01-01
SMART-P MCP(Main Coolant Pump) transient operation strategies are developed. A Modular Modeling System (MMS) computer code is used for the evaluation of the developed operation strategies. In the SMART-P, normal operating modes are classified into MCP high speed(3600 rpm) mode and MCP low speed mode. Also, natural circulation mode is defined as a performance test case. MCP operation transients occur when changing modes from one to another, and system parameters(core power, system pressure, temperature) are having transients. These transients affect on system performance and, in some cases, limit system operation. In this study, MCP operation strategies are developed and obtained acceptable results
St-Jean, Philippe; Ethier-Majcher, Gabriel; Bergeron, Alaric; Francoeur, Sebastien
2013-03-01
We report (i)- results from ac impedance measurements obtained for intrinsic indium oxide films, grown under O2-rich conditions, (ii)- current-voltage (I-V) curves for p-n homojunctions fabricated by sequential growth of a 200 nm thick p-type In2O3 layer on a 400 nm thick n-type In2O3, and (iii)- capacitance-voltage (C-V) curves for these junctions. Impedance as well as I-V and C-V measurements were performed under UV irradiation and in darkness. We find two distinct contributions to the ac conductivity. One of them is brought about by grain boundaries, and the other one by inversion layers, which are on grain surfaces. In addition, we have found that photocurrents relax extremely slowly in these films. All of this fits consistently within a model in which mobile holes in inversion layers are responsible for p-type dc conductivity in intrinsic indium oxide films grown under O2-rich conditions. Such mechanism might be important in other polycrystalline thin films which have a large number of oxidizing defects at grain boundaries. We acknowledge support from grant MAT2012-38213-C02-01, from the Ministerio de Economia y Competividad, Spain.
Czech Academy of Sciences Publication Activity Database
Dubecky, F.; Hulicius, Eduard; Frigeri, P.; Perd´ochová-Šagátová, A.; Zat´ko, B.; Hubík, Pavel; Gombia, E.; Boháček, P.; Pangrác, Jiří; Franchi, S.; Nečas, V.
2006-01-01
Roč. 563, - (2006), s. 159-162 ISSN 0168-9002 R&D Projects: GA AV ČR(CZ) IAA1010404 Grant - others:Slovak Grant Agency for Science(SK) 2/4151/24 Institutional research plan: CEZ:AV0Z10100521 Keywords : GaAs radiation detector * blocking electrode * P + -N homojunction and heterojunction * gamma irradiation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.185, year: 2006
Driessen, F. A. J. M.; Bauhuis, G. J.; Hageman, P. R.; van Geelen, A.; Giling, L. J.
1994-12-01
The modulation-doped ordered-GaInP2/disordered-GaInP2 homojunction is presented. Capacitance-voltage (CV) profiling techniques, temperature-dependent Hall and resistivity measurements, cross-sectional transverse electron micrographs (TEM), and high-field magnetotransport have been used to characterize this structure grown by metal-organic vapor-phase epitaxy. The CV measurements showed a narrow profile at the homointerface with an order of magnitude reduction in carrier density within 3 nm. Typical two-dimensional behavior was observed from Hall data showing sheet carrier densities as high as 3.6×1013 cm-2 without carrier freeze-out, and constant mobilities around 900 cm2 V-1 s-1 below T=100 K. The 300-K channel conductivity of this junction is 3.2×10-3 Ω-1, which is higher than reported for other two-dimensional electron gases. By proper choice of the substrate orientation, domains of only the (111¯) ordering variant were present. TEM showed elongated shapes of average thickness 3.5-6 nm and length 75 nm in the (011) plane. By using Hall bars with different current directions, an asymmetry is observed for the contributions to the scattering mechanisms which determine the mobility: ``mesoscopic'' interface-roughness scattering for T300 K indicates strong electron-phonon coupling. This asymmetry shows that the domain length in the (011) plane is larger than that in the (011¯) plane. The magnetoresistance ρxx and the Hall resistance ρxy show oscillations in reciprocal magnetic field involving an excited subband i with ni2D=7.6×1011 cm-2, where 2D denotes two dimensional. The ρxy versus B curve shows features of a slight parallel conduction.
Radiation-hard, high efficiency InP solar cell and panel development
International Nuclear Information System (INIS)
Keavney, C.J.; Vernon, S.M.; Haven, V.E.; Nowlan, M.J.; Walters, R.J.; Slatter, R.L.; Summers, G.P.
1991-01-01
Indium phosphide solar cells with efficiencies over 19% (Air mass zero, 25 degrees C) and area of 4 cm 2 have been made and incorporated into prototype panels. The panels will be tested in space to confirm the high radiation resistance expected from InP solar cells, which makes the material attractive for space use, particularly in high-radiation orbits. Laboratory testing indicated an end-of-life efficiency of 15.5% after 10 15 1 MeV electrons, and 12% after 10 16 . These cells are made by metalorganic chemical vapor deposition, and have a shallow homojunction structure. The manufacturing process is amendable to scale-up to larger volumes; more than 200 cells were produced in the laboratory operation. Cell performance, radiation degradation, annealing behavior, and results of deep level transient spectroscopy studies are presented in this paper
Transient expression of P-type ATPases in tobacco epidermal cells
DEFF Research Database (Denmark)
Pedas, Lisbeth Rosager; Palmgren, Michael Broberg; Lopez Marques, Rosa Laura
2016-01-01
Transient expression in tobacco cells is a convenient method for several purposes such as analysis of protein-protein interactions and the subcellular localization of plant proteins. A suspension of Agrobacterium tumefaciens cells carrying the plasmid of interest is injected into the intracellula...... for example protein-protein interaction studies. In this chapter, we describe the procedure to transiently express P-type ATPases in tobacco epidermal cells, with focus on subcellular localization of the protein complexes formed by P4-ATPases and their β-subunits....
p-i-n Homojunction in Organic Light-Emitting Transistors
Bisri, Satria Zulkarnaen; Takenobu, Taishi; Sawabe, Kosuke; Tsuda, Satoshi; Yomogidao, Yohei; Yamao, Takeshi; Hotta, Shu; Adachi, Chihaya; Iwasa, Yoshihiro
2011-01-01
A new method for investigating light-emitting property in organic devices is demonstrated. We apply the ambipolar light-emitting transistors (LETS) to directly observe the recombination zone, and find a strong link between the transistor performance and the zone size. This finding unambiguously
Effects of heavy impurity doping on the np product in p-GaAs
International Nuclear Information System (INIS)
Klausmeier-Brown, M.E.; Melloch, M.R.; Lundstrom, M.S.
1990-01-01
Heavy impurity doping has a strong effect on the np product of n 2 ie , in p-GaAs. The authors' initial work made use of a successive etch technique to extract the electron injection current in p-n diodes and to measure n 2 ie D n up to N A ∼ 10 19 cm -3 . During the past year the authors used a new approach, analysis of the collector current in n-p-n homojunction bipolar transistors. Because this new approach isolates the current of interest, it offered increased accuracy and enabled us to extend the measurements up to N A ∼ 10 20 cm -3 . These new measurements confirm the diode-based data below 10 19 cm -3 , but show that its extrapolation above 10 19 cm -3 would yield incorrect results. The authors' previous work on the implications of effective bandgap shrinkage for GaAs-based solar cells is reassessed in light of the newer data
Hole traps in n-GaN detected by minority carrier transient spectroscopy
Energy Technology Data Exchange (ETDEWEB)
Tokuda, Yutaka; Yamada, Yujiro; Shibata, Tatsunari; Yamaguchi, Shintaro [Department of Electrical and Electronics Engineering, Aichi Institute of Technology, Yakusa, 470-0392 Toyota (Japan); Ueda, Hiroyuki; Uesugi, Tsutomu; Kachi, Tetsu [Toyota Central R and D Laboratories, Inc., Nagakute, 480-1192 Aichi (Japan)
2011-07-15
Minority carrier transient spectroscopy (MCTS) has been applied for the detection of hole traps in n-GaN using Schottky diodes. MCTS using 355 nm light emitting diodes is performed under isothermal conditions in the temperature range 280 to 330 K for n-GaN grown by metalorganic chemical vapor deposition on sapphire. Isothermal MCTS spectra reveal the E{sub v} + 0.86 eV hole trap with the trap concentration of 1.1x10{sup 16} cm{sup -3}. The E{sub v} + 0.86 eV hole trap has the higher concentration as compared to electron traps observed by deep level transient spectroscopy. Thus, the isothermal MCTS around room temperature provides a convenient way to evaluate the dominant trap in n-GaN. It is suggested that the E{sub v} + 0.86 eV hole trap is associated with the V{sub Ga}-related defect or carbon-related defect. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Advances in research and development homojunction and quantum-well infrared detectors
Francombe, Maurice H
1995-01-01
Physics of Thin Films is one of the longest running continuing series in thin film science, consisting of twenty volumes since 1963. The series contains quality studies of the properties of various thinfilms materials and systems.In order to be able to reflect the development of today''s science and to cover all modern aspects of thin films, the series, starting with Volume 20, has moved beyond the basic physics of thin films. It now addresses the most important aspects of both inorganic and organic thin films, in both their theoretical as well as technological aspects. Therefore, in order to reflect the modern technology-oriented problems, the title has been slightly modified from Physics of Thin Films to Thin Films.Key Features* Discusses the latest research about structure, physics, and infrared photoemissive behavior of heavily doped silicon homojunctions and Ge and GaAs-based alloy junctions* Reviews the current status of SiGe/Si quantum wells for infrared detection* Discusses key developments in the gro...
Experimental studies on thermal hydraulic responses for transient operations of the SMART-P
International Nuclear Information System (INIS)
Choi, K.Y.; Park, H.S.; Cho, S.; Park, C.K.; Lee, S.J.; Song, C.H.; Chung, M.K.
2005-01-01
Full text of publication follows: Thermal hydraulic responses for transient operations of the SMART-P are experimentally investigated by using a integral effect test facility. This test facility (VISTA) has been constructed to simulate the SMART-P, which is a pilot plant of the SMART. The SMART-P is an advanced modular integral type pressurized water reactor (65 MWt) whose major RCS components, such as main coolant pumps, helical-coiled tube bundle steam generators and pressurizers, are contained in a reactor vessel. This integral design approach eliminates the large coolant loop piping, thus eliminates the occurrence of a large break LOCA. Passive Residual Heat Removal System (PRHRS) is installed to prevent overheating and over-pressurization of the primary system during accidental conditions. The PRHRS of the SMART-P removes the core decay heat by natural circulation of the two-phase fluid. The VISTA facility is a full height and 1/96 volume scaled test facility with respect to the SMART-P and will be used to understand the thermal-hydraulic responses following transients and finally to verify the system design of the SMART-P. The experimental data from the VISTA facility will be essential to system designers to resolve open issues relevant to the design of the SMART-P. The full functional control logics are implanted into the VISTA facility to cope with abnormal transients. The core of the facility can be selectively controlled by either a T-control or a T+N control method. The T-control method is a control method to adjust the core power according to the core exit coolant temperature and is designed to be used for high primary coolant flow conditions. On the other hand, the T+N control method is for low primary coolant flow conditions and it uses core exit temperature as well as core power itself as control inputs. The thermal hydraulic responses are carefully investigated according to different core control methods. Several experiments have been performed to
Progress in InP solar cell research
International Nuclear Information System (INIS)
Weinberg, I.; Brinker, D.J.
1988-01-01
Progress, in the past year, in InP solar cell research is reviewed. Small area cells with AMO, total area efficiencies of 18.8 percent were produced by OMCVD and Ion Implantation. Larger area cells (2 and 4 sq cm) were processed on a production basis. One thousand of the 2 sq cm cells will be used to supply power to a small piggyback lunar orbiter scheduled for launch in February 1990. Laboratory tests of ITO/InP cells, under 10 MeV proton irradiation, indicate radiation resistance comparable to InP n/p homojunction cells. Computer modeling studies indicate that, for identical geometries and dopant concentrations, InP solar cells are significantly more radiation resistant than GaAs under 1 MeV electron irradiation. Additional computer modeling calculations were used to produce rectangular and circular InP concentrator cell designs for both the low concentration SLATS and higher concentration Cassegrainian Concentrators
Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.
2012-06-01
High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.
Nayak, Pradipta K.
2012-06-22
High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin filmtransistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectrictransistors, which is very promising for low-power non-volatile memory applications.
InP Solar Cells and their Flight Experiments
TAKAHASHI, Keiji; YAMAGUCHI, Masafumi; TAKAMOTO, Tatsuya; IKEGAMI, Shingo; OHNISHI, Akira; HAYASHI, Tomonao; USHIROKAWA, Akio; KOHBATA, Masahiko; ARAI, Hidetoshi; HASHIMOTO, Katsumasa; ORH, Takeshi; OKAZAKI, Hitoshi; TAKAMURA, Hideto; URA, Mitsuru; OHMORI, Masamichi
1992-01-01
We have developed high-efficiency homojunction 1 cm × 2 cm InP space solar cells by diffusing In_2S_3 into p type InP substrates and investigated their fundamental characteristics such as electrical and mechnical characteristics and thermal properties. On the radiation resistant mechanism of InP cells, we have studied InP cells fabricated at NTT Laboratories and found superior properties such as room temperture annealing and minority carrier injection enhanced annealing phenomena for radiatio...
Transient atomic behavior and surface kinetics of GaN
International Nuclear Information System (INIS)
Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan
2009-01-01
An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.
Transient atomic behavior and surface kinetics of GaN
Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan
2009-07-01
An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.
Nanoscale Cross-Point Resistive Switching Memory Comprising p-Type SnO Bilayers
Hota, Mrinal Kanti; Hedhili, Mohamed N.; Wang, Qingxiao; Melnikov, Vasily; Mohammed, Omar F.; Alshareef, Husam N.
2015-01-01
Reproducible low-voltage bipolar resistive switching is reported in bilayer structures of p-type SnO films. Specifically, a bilayer homojunction comprising SnOx (oxygen-rich) and SnOy (oxygen-deficient) in nanoscale cross-point (300 × 300 nm2
Wu, Kailong; Wang, Zian; Zhan, Lisi; Zhong, Cheng; Gong, Shaolong; Xie, Guohua; Yang, Chuluo
2018-04-05
Two new blue emitters, i.e., bis-[2-(9,9-dimethyl-9,10-dihydroacridine)-phenyl]-sulfone ( o-ACSO2) and bis-[3-(9,9-dimethyl-9,10-dihydroacridine)-phenyl]-sulfone ( m-ACSO2), with reserved fine thermally activated delayed fluorescent (TADF) nature and simply tuned thermal and optoelectronic properties, were synthesized by isomer engineering. The meta-linking compound, i.e., m-ACSO2, obtains the highest photoluminescence quantum yield with a small singlet-triplet energy gap, a moderate delayed fluorescent lifetime, excellent solubility, and neat film homogeneity. Due to its unique aggregation-induced emission (AIE) character, neat film-based heterojunction-like organic light-emitting diodes (OLEDs) are achievable. By inserting an excitonic inert exciton-blocking layer, the PN heterojunction-like emission accompanied by intefacial exciplex was shifted to a homojunction-like channel mainly from the AIE emitter itself, providing a new tactic to generate efficient blue color from neat films. The solution-processed nondoped sky-blue OLED employing m-ACSO2 as emitter with homojunction-like emission achieved a maximum external quantum efficiency of 17.2%. The design strategies presented herein provide practical methods to construct efficient blue TADF dyes and realize high-performance blue TADF devices.
Method of construction of transient from a cycle of neuronal recurrence equation
International Nuclear Information System (INIS)
Ndoundam, R.; Tchuente, M.
2005-11-01
We study the sequences generated by neuronal recurrence equations of the form x(n) = 1[Σ j k =1 a j x(n - j) - θ], where k is the size of memory (k represents the number of previous states x(n - 1), x(n - 2), ...,x(n - k) which intervene in the calculation of x(n)). We are interested in the number of steps (transient length) from an initial configuration to the cycle. We propose a method of construction of transient from a cycle generated by neuronal recurrence equation. We apply this method to build a neuronal recurrence equation with inhibitory memory of size (s+1)6m, whose dynamics contains an evolution of transient length (s + 1) x (5m + 2 + lcm(p 0 , p 1 , ..., p 1 , 3m - 1)) and a cycle of length (s + 1) x lcm(p 0 , p 1 , ..., p s-1 , 2m + 1) where lcm denotes the least common multiple, and p 0 , p 1 , ..., p s-1 are prime numbers lying between 2m and 3m. (author)
Li, Jun; Henman, Martin C; Tatlisumak, Turgut; Shaw, Graham G; Doyle, Karen M
2005-09-07
The pre-ischaemic neuroprotective potential of a novel polyamine/NMDA antagonist N1-dansyl-spermine (1-5 mg kg(-1)) was studied in a transient focal cerebral ischaemia model in mice in comparison to a reference compound, MK-801 (1 or 3 mg kg(-1)). The intraluminal suture transient middle cerebral artery occlusion (MCAO) model was used. N1-dansyl-spermine and MK-801 were administered (i.p.) 30 min prior to ischaemia. A range of histological and behavioural assessments was employed. N1-dansyl-spermine had a comparable effect to MK-801 at reducing the percentage hemisphere lesion volume (%HLV) at the doses tested. Furthermore, N1-dansyl-spermine reduced the ischaemic brain oedema, which MK-801 did not. N1-dansyl-spermine significantly reversed the decrease of locomotor activity (LMA) caused by the MCAO and showed a significant effect at improving the rotarod performance impaired by MCAO. In contrast, MK-801 had no beneficial effect on sensorimotor function and even worsened the LMA. These results clearly demonstrate the pre-ischaemic neuroprotective effect of N1-dansyl-spermine in a transient focal cerebral ischaemia model.
Effects of a low-energy proton irradiation on n+/p-AlInGaP solar cells
International Nuclear Information System (INIS)
Lee, H.S.; Yamaguchi, M.; Ekins-Daukes, N.J.; Khan, A.; Takamoto, T.; Imaizumi, M.; Ohshima, T.; Itoh, H.
2006-01-01
For the first time, by deep-level transient spectroscopy, 30keV proton irradiation-induced defects in n + /p-AlInGaP solar cells have been observed. After the 30keV proton irradiation, new deep-level defects such as two majority-carrier (hole) traps HP1 (E V +0.98eV, N T =3.8x10 14 cm -3 ) and HP2, and two minority-carrier (electron) traps EP1 (E C -0.71eV, N T =2.0x10 15 cm -3 ) and EP2 have been observed in p-AlInGaP. The introduction rate of majority-carrier trap center (HP1) is 380cm -1 , which is lower than that (1500cm -1 ) in 100keV proton-irradiated p-InGaP. From the minority-carrier injection annealing for HP1 defect and carrier concentration in 30keV proton-irradiated p-AlInGaP, HP1 defect is likely to act as a recombination center as well as a compensator center
GaN light-emitting device based on ionic liquid electrolyte
Hirai, Tomoaki; Sakanoue, Tomo; Takenobu, Taishi
2018-06-01
Ionic liquids (ILs) are attractive materials for fabricating unique hybrid devices based on electronics and electrochemistry; thus, IL-gated transistors and organic light-emitting devices of light-emitting electrochemical cells (LECs) are investigated for future low-voltage and high-performance devices. In LECs, voltage application induces the formation of electrochemically doped p–n homojunctions owing to ion rearrangements in composites of semiconductors and electrolytes, and achieves electron–hole recombination for light emission at the homojunctions. In this work, we applied this concept of IL-induced electrochemical doping to the fabrication of GaN-based light-emitting devices. We found that voltage application to the layered IL/GaN structure accumulated electrons on the GaN surface owing to ion rearrangements and improved the conductivity of GaN. The ion rearrangement also enabled holes to be injected by the strong electric field of electric double layers on hole injection contacts. This simultaneous injection of holes and electrons into GaN mediated by ions achieves light emission at a low voltage of around 3.4 V. The light emission from the simple IL/GaN structure indicates the usefulness of an electrochemical technique in generating light emission with great ease of fabrication.
Surface photovoltage studies of p-type AlGaN layers after reactive-ion etching
McNamara, J. D.; Phumisithikul, K. L.; Baski, A. A.; Marini, J.; Shahedipour-Sandvik, F.; Das, S.; Reshchikov, M. A.
2016-10-01
The surface photovoltage (SPV) technique was used to study the surface and electrical properties of Mg-doped, p-type AlxGa1-xN (0.06 GaN:Mg thin films and from the predictions of a thermionic model for the SPV behavior. In particular, the SPV of the p-AlGaN:Mg layers exhibited slower-than-expected transients under ultraviolet illumination and delayed restoration to the initial dark value. The slow transients and delayed restorations can be attributed to a defective surface region which interferes with normal thermionic processes. The top 45 nm of the p-AlGaN:Mg layer was etched using a reactive-ion etch which caused the SPV behavior to be substantially different. From this study, it can be concluded that a defective, near-surface region is inhibiting the change in positive surface charge by allowing tunneling or hopping conductivity of holes from the bulk to the surface, or by the trapping of electrons traveling to the surface by a high concentration of defects in the near-surface region. Etching removes the defective layer and reveals a region of presumably higher quality, as evidenced by substantial changes in the SPV behavior.
Applications of transient annealing to solar cell processing
Energy Technology Data Exchange (ETDEWEB)
Bentini, G.G. (C.N.R. Istituto LAMEL, Bologna (Italy))
1983-01-01
The economical reasons supporting the introduction of transient annealing in solar cell manufacturing are briefly discussed. Such techniques may play an important role, as they are compatible with the request of high throughput, automated processing together with the high quality of the p-n junction which are necessary for large scale economical production of photovoltaic energy. A survey of the applications of the different transient annealing techniques to solar cell processing has been developed by comparing in detail the results obtained up to now the case of solid and liquid phase transient annealing, associated with dry techniques such as Ion Implantation or dopant deposition on the wafer surface. The possibility of using laser pulses for the formation of the p-n junction by incorporation of dopant atoms from a suitable gaseous environment, has also been examined.
Characterization of plasma etching damage on p-type GaN using Schottky diodes
International Nuclear Information System (INIS)
Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.
2008-01-01
The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching
Transient behavior of interface state continuum at InP insulator-semiconductor interface
International Nuclear Information System (INIS)
Hasegawa, H.; Masuda, H.; He, L.; Luo, J.K.; Sawada, T.; Ohno, H.
1987-01-01
To clarify the drain current drift mechanism in InP MISFETs, an isothermal capacitance transient spectroscopy (ICTS) study of the interface state continuum is made on the anodic Al 2 O 3 /native oxide/ InP MIS system. Capture behavior is temperature-independent, non-exponential and extremely slow, whereas emission behavior is temperature- and bias- dependent, and is much faster. The observed behavior is explained quantitatively by the disorder induced gap state (DIGS) model, where states are distributed both in energy and in space. By comparing the transient behavior of interface states with the observed drift behavior of MISFETs, it is concluded that the electron capture by the DIGS continuum is responsible for the drain current drift of MISFETs. This led to a complete computer simulation of the observed current drift behavior
International Nuclear Information System (INIS)
Qiang, Xuhong; Bijlaard, Frans S.K.; Kolstein, Henk
2012-01-01
Highlights: ► Mechanical properties of S460N under transient state fire condition are obtained. ► Elevated-temperature mechanical properties of steels are dependent on steel grades. ► No design standard is applicable to HSS S460N under transient state fire condition. ► Specific statements on various HSS in fire should be proposed in design standards. ► Research results offer accurate material property for structural design engineers. -- Abstract: 911 World Trade Centre Tragedy put fire safety of constructional steel structures into question. Since then, more and more research attention has been paid to the elevated-temperature mechanical properties of structural steels, which is a critical basis of evaluating the fire performance of steel structures. In the literature the available mechanical properties of structural steels under fire conditions were mainly obtained from steady state test method, as steady state test method is easier to perform than transient state test method and offers stress–strain curves directly. However, the transient state fire condition is considered to be more realistic to represent the real condition when constructions are exposed to fire. In order to reveal the deterioration of mechanical properties of the commonly used high strength structural steel S460N under transient state fire condition, tensile tests were conducted under various constant stress levels up to 800 MPa. The reduction factors of elastic modulus, yield and ultimate strengths of S460N under transient state fire condition were obtained and compared with current leading design standards and available literature. The application of such accurate elevated-temperature mechanical properties reduction factors of S460N can ensure a safe fire-resistance design and evaluation of steel structures with high strength steel S460N under transient state fire condition. This experimental study also supports other relative research on fire performance of steel structures with
Hamajima, Rina; Kobayashi, Michihiro; Ikeda, Motoko
2017-04-02
We previously demonstrated that ribosomal RNA (rRNA) of Bombyx mori BM-N cells is rapidly degraded upon infection with heterologous nucleopolyhedroviruses (NPVs), including Autographa californica multiple NPV (AcMNPV), Hyphantria cunea MNPV, Spodoptera exigua MNPV and S. litura MNPV, and that this response is triggered by viral P143 proteins. The transient expression of P143 proteins from heterologous NPVs was also shown to induce apoptosis and caspase-3-like protease activation in BM-N cells. In the present study, we conducted a transient expression assay using BM-N cells expressing mutant AcMNPV P143 (Ac-P143) proteins and demonstrated that five amino acid residues cooperatively participate in Ac-P143 protein-triggered apoptosis of BM-N cells. Notably, these five residues were previously shown to be required for triggering rRNA degradation in BM-N cells. As rRNA degradation in BM-N cells does not result from apoptosis, the present results suggest that Ac-P143-triggered rRNA degradation is the upstream signal for apoptosis induction in BM-N cells. We further showed that P143 protein-triggered apoptosis does not occur in S. frugiperda Sf9 or Lymantria dispar Ld652Y cells, indicating that apoptosis induction by heterologous P143 proteins is a BM-N cell-specific response. In addition, the observed induction of apoptosis in BM-N cells was found to be mediated by activation of the initiator caspase Bm-Dronc. Taken together, these results suggest that BM-N cells evolved a unique antiviral system that recognizes heterologous NPV P143 proteins to induce rRNA degradation and caspase-dependent apoptosis. Copyright © 2017 Elsevier B.V. All rights reserved.
THYDE-P, PWR LOCA Thermohydraulic Transient Analysis
International Nuclear Information System (INIS)
Asahi, Yoshiro
2001-01-01
1 - Description of problem or function: THYDE-P1 analyzes the behaviour of LWR plants in response to various disturbances, including the thermal hydraulic transient following a break of the primary coolant pipe system, generally referred to as a loss-of-coolant-accident (LOCA). LOCA can be considered as the most critical condition for testing the methods and models for plant dynamics, since thermal hydraulic conditions in the system change drastically during the transient. THYDE-P is capable of a complete LOCA calculation from start to complete reflooding of the core by subcooled water. The program performs steady-state adjustment, which is complete in the sense that the steady state obtained is a set of exact solutions of all the transient equations without time derivatives, not only for plant hydraulics but also for all the other phenomena in the simulation of a PWR plant. THYDE-P2 contains among others the following improvements over THYDE-P1: (1) not only the mass and momentum equations but also the energy equation are included in the non-linear implicit scheme; (2) the valve model is implemented; (3) the relaxation equation for void fraction is theoretically derived; (4) vectorized programming is implemented; (5) both EM (evaluation mode) and BE (best estimate) calculations are possible. THYDE-W is an improved version of THYDE-P2 and contains the following additional features: (a) analysis of multiple number of disjoint loops is possible; (b) a control system simulation model is included; (c) the trip model has been improved; (d) heavy water is allowed as coolant; (e) the effect of drift flux is accounted for in the steady state calculation; (f) boron transport is included; (g) to obtain steady state loop heat balance, the option of adjusting the enthalpy distribution is prepared included in addition to that of adjusting heat exchanger areas; (h) to obtain steady state pressure distribution, three other options are prepared in addition to the original ones
TRANSIENT ELECTRONICS CATEGORIZATION
2017-08-24
AFRL-RY-WP-TR-2017-0169 TRANSIENT ELECTRONICS CATEGORIZATION Dr. Burhan Bayraktaroglu Devices for Sensing Branch Aerospace Components & Subsystems...SUBTITLE TRANSIENT ELECTRONICS CATEGORIZATION 5a. CONTRACT NUMBER In-house 5b. GRANT NUMBER N/A 5c. PROGRAM ELEMENT NUMBER N/A 6. AUTHOR(S) Dr. Burhan...88ABW-2017-3747, Clearance Date 31 July 2017. Paper contains color. 14. ABSTRACT Transient electronics is an emerging technology area that lacks proper
Majority- and minority-carrier deep level traps in proton-irradiated n+/p-InGaP space solar cells
International Nuclear Information System (INIS)
Dharmarasu, Nethaji; Yamaguchi, Masafumi; Bourgoin, Jacques C.; Takamoto, Tatsuya; Ohshima, Takeshi; Itoh, Hisayoshi; Imaizumi, Mitsuru; Matsuda, Sumio
2002-01-01
We report the properties of observed defects in n + /p-InGaP solar cells created by irradiation of protons of different energies. Three majority (hole) and a minority-carrier traps, labeled respectively as HP1 (E v +0.90±0.05 eV), HP2 (E v +0.73±0.05 eV), H2 (E v +0.55 eV), and EP1 (E c -0.54 eV), were identified using deep level transient spectroscopy. All majority-carrier traps were found to act as recombination centers. While the H2 trap present in the proton-irradiated p-InGaP was found to anneal out by minority-carrier injection, the other traps were not
Performance analysis of high efficiency InxGa1-xN/GaN intermediate band quantum dot solar cells
Chowdhury, Injamam Ul Islam; Sarker, Jith; Shifat, A. S. M. Zadid; Shuvro, Rezoan A.; Mitul, Abu Farzan
2018-06-01
In this subsistent fifth generation era, InxGa1-xN/GaN based materials have played an imperious role and become promising contestant in the modernistic fabrication technology because of some of their noteworthy attributes. On our way of illustrating the performance, the structure of InxGa1-xN/GaN quantum dot (QD) intermediate band solar cell (IBSC) is investigated by solving the Schrödinger equation in light of the Kronig-Penney model. In comparison with p-n homojunction and heterojunction solar cells, InxGa1-xN/GaN IBQD solar cell manifests larger power conversion efficiency (PCE). PCE strongly depends on position and width of the intermediate bands (IB). Position of IBs can be controlled by tuning the size of QDs and the Indium content of InxGa1-xN whereas, width of IB can be controlled by tuning the interdot distance. PCE can also be controlled by tuning the position of fermi energy bands as well as changing the doping concentration. In this work, maximum conversion efficiency is found approximately 63.2% for a certain QD size, interdot distance, Indium content and doping concentration.
High conversion efficiency and high radiation resistance InP solar cells
International Nuclear Information System (INIS)
Yamamoto, Akio; Itoh, Yoshio; Yamaguchi, Masafumi
1987-01-01
The fabrication of homojunction InP solar cells has been studied using impurity thermal diffusion, organometallic vapor phase epitaxy (OMVPE) and liquid phase epitaxy (LPE), and is discussed in this paper. Conversion efficiencies exceeding 20 % (AM1.5) are attained. These are the most efficient results ever reported for InP cells, and are comparable to those for GaAs cells. Electron and γ-ray irradiation studies have also been conducted for fabricated InP cells. The InP cells were found to have higher radiation resistance than GaAs cells. Through these studies, it has been demonstrated that the InP cells have excellent potential for space application. (author)
Energy Technology Data Exchange (ETDEWEB)
Duc, Tran Thien [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-581 83 Linköping (Sweden); School of Engineering Physics, Hanoi University of Science and Technology, 1 Dai Co Viet Road, Hanoi (Viet Nam); Pozina, Galia; Son, Nguyen Tien; Kordina, Olof; Janzén, Erik; Hemmingsson, Carl [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-581 83 Linköping (Sweden); Ohshima, Takeshi [Japan Atomic Energy Agency (JAEA), Takasaki, Gunma 370-1292 (Japan)
2016-03-07
Development of high performance GaN-based devices is strongly dependent on the possibility to control and understand defects in material. Important information about deep level defects is obtained by deep level transient spectroscopy and minority carrier transient spectroscopy on as-grown and electron irradiated n-type bulk GaN with low threading dislocation density produced by halide vapor phase epitaxy. One hole trap labelled H1 (E{sub V} + 0.34 eV) has been detected on as-grown GaN sample. After 2 MeV electron irradiation, the concentration of H1 increases and at fluences higher than 5 × 10{sup 14 }cm{sup −2}, a second hole trap labelled H2 is observed. Simultaneously, the concentration of two electron traps, labelled T1 (E{sub C} – 0.12 eV) and T2 (E{sub C} – 0.23 eV), increases. By studying the increase of the defect concentration versus electron irradiation fluence, the introduction rate of T1 and T2 using 2 MeV- electrons was determined to be 7 × 10{sup −3 }cm{sup −1} and 0.9 cm{sup −1}, respectively. Due to the low introduction rate of T1, it is suggested that the defect is associated with a complex. The high introduction rate of trap H1 and T2 suggests that the defects are associated with primary intrinsic defects or complexes. Some deep levels previously observed in irradiated GaN layers with higher threading dislocation densities are not detected in present investigation. It is therefore suggested that the absent traps may be related to primary defects segregated around dislocations.
Wang, Xingfu; Peng, Wenbo; Yu, Ruomeng; Zou, Haiyang; Dai, Yejing; Zi, Yunlong; Wu, Changsheng; Li, Shuti; Wang, Zhong Lin
2017-06-14
Achievement of p-n homojuncted GaN enables the birth of III-nitride light emitters. Owing to the wurtzite-structure of GaN, piezoelectric polarization charges present at the interface can effectively control/tune the optoelectric behaviors of local charge-carriers (i.e., the piezo-phototronic effect). Here, we demonstrate the significantly enhanced light-output efficiency and suppressed efficiency droop in GaN microwire (MW)-based p-n junction ultraviolet light-emitting diode (UV LED) by the piezo-phototronic effect. By applying a -0.12% static compressive strain perpendicular to the p-n junction interface, the relative external quantum efficiency of the LED is enhanced by over 600%. Furthermore, efficiency droop is markedly reduced from 46.6% to 7.5% and corresponding droop onset current density shifts from 10 to 26.7 A cm -2 . Enhanced electrons confinement and improved holes injection efficiency by the piezo-phototronic effect are revealed and theoretically confirmed as the physical mechanisms. This study offers an unconventional path to develop high efficiency, strong brightness and high power III-nitride light sources.
Heat-equilibrium low-temperature plasma decay in synthesis of ammonia via transient components N2H6
International Nuclear Information System (INIS)
Cao Guobin; Song Youqun; Chen Qing; Zhou Qiulan; Cao Yun; Wang Chunhe
2001-01-01
The author introduced a new method of heat-equilibrium low-temperature plasma in ammonia synthesis and a technique of continuous real-time inlet sampling mass-spectrometry to detect the reaction channel and step of the decay of transient component N 2 H 6 into ammonia. The experimental results indicated that in the process of ammonia synthesis by discharge of N 2 and H 2 mixture, the transient component N 2 H 6 is a necessary step
Development of n-ZnO/p-Si single heterojunction solar cell with and without interfacial layer
Hussain, Babar
The conversion efficiency of conventional silicon (Si) photovoltaic cells has not been improved significantly during last two decades but their cost decreased dramatically during this time. However, the higher price-per-watt of solar cells is still the main bottleneck in their widespread use for power generation. Therefore, new materials need to be explored for the fabrication of solar cells potentially with lower cost and higher efficiency. The n-type zinc oxide (n-ZnO) and p-type Si (p-Si) based single heterojunction solar cell (SHJSC) is one of the several attempts to replace conventional Si single homojunction solar cell technology. There are three inadequacies in the literature related to n-ZnO/p-Si SHJSC: (1) a detailed theoretical analysis to evaluate potential of the solar cell structure, (2) inconsistencies in the reported value of open circuit voltage (VOC) of the solar cell, and (3) lower value of experimentally achieved VOC as compared to theoretical prediction based on band-bending between n-ZnO and p-Si. Furthermore, the scientific community lacks consensus on the optimum growth parameters of ZnO. In this dissertation, I present simulation and experimental results related to n-ZnO/p-Si SHJSC to fill the gaps mentioned above. Modeling and simulation of the solar cell structure are performed using PC1D and AFORS-HET software taking practical constraints into account to explore the potential of the structure. Also, unnoticed benefits of ZnO in solar cells such as an additional antireflection (AR) effect and low temperature deposition are highlighted. The growth parameters of ZnO using metal organic chemical vapor deposition and sputtering are optimized. The structural, optical, and electrical characterization of ZnO thin films grown on sapphire and Si substrates is performed. Several n-ZnO/p-Si SHJSC devices are fabricated to confirm the repeatability of the VOC. Moreover, the AR effect of ZnO while working as an n-type layer is experimentally verified
International Nuclear Information System (INIS)
Purdie, P.H.; Fletcher, J.
1992-01-01
A pulsed swarm of charged particles crossing an inter-electrode gap under the influence of an applied electric field E will produce a pulsed current in the external circuit which, when integrated over time, will result in a transient voltage pulse, the shape and magnitude of which is characteristic of the number of type of charged particles. This voltage transient technique has been used to investigate a gas discharge in nitrogen gas at values of E/N (the ratio of applied electric field to gas number density), such that ionization is non-negligible. The voltage transients have been subjected to a theoretical analysis, which has previously been reported, which includes not only cathode and anode image terms but also both electron and ion diffusion terms. Electron transport parameters are reported for E/N ≤ 350 Td (1 Td = 10 -17 V cm 2 ). Data are also obtained for the drift velocities and diffusion coefficients of the ions operative within the nitrogen discharge. An estimate is obtained for the collisional decay rate of N 2 + . 21 refs., 7 figs
Pourazad, P; Khiaosa-Ard, R; Metzler-Zebeli, B U; Klevenhusen, F; Zebeli, Q
2017-12-01
In this study, we used two different grain-rich feeding models (continuous or transient) to determine their effects on in situ fiber degradation and abundances of important rumen fibrolytic microbes in the rumen. The role of the magnitude of ruminal pH drop during grain feeding in the fiber degradation was also determined. The study was performed in eight rumen-fistulated dry cows. They were fed forage-only diet (baseline), and then challenged with a 60% concentrate diet for 4 weeks, either continuously (n=4 cows) or transiently (n=4 cows). The cows of transient feeding had 1 week off concentrate in between. Ruminal degradation of grass silage and fiber-rich hay was determined by the in situ technique, and microbial abundances attached to incubated samples were analyzed by quantitative PCR. The in situ trials were performed at the baseline and in the 1st and the last week of concentrate feeding in the continuous model. The in situ trials were done in cows of the transient model at the baseline and in the 1st week of the re-challenge with concentrate. In situ degradation of NDF and ADF of the forage samples, and microbial abundances were determined at 0, 4, 8, 24 and 48 h of the incubation. Ruminal pH and temperature during the incubation were recorded using indwelling pH sensors. Compared with the respective baseline, both grain-rich feeding models lowered ruminal pH and increased the duration of pH below 5.5 and 5.8. Results of the grass silage incubation showed that in the continuous model the extent of NDF and ADF degradation was lower in the 1st, but not in the last week compared with the baseline. For the transient model, degradation of NDF of the silage was lower during the re-challenge compared with the baseline. Degradation of NDF and ADF of the hay was suppressed by both feeding models compared with the respective baseline. Changes in fiber degradation of either grass silage or hay were not related to the magnitude of ruminal pH depression during grain
Pfoh, Jamie R; Tymko, Michael M; Abrosimova, Maria; Boulet, Lindsey M; Foster, Glen E; Bain, Anthony R; Ainslie, Philip N; Steinback, Craig D; Bruce, Christina D; Day, Trevor A
2016-03-01
What is the central question of this study? We aimed to characterize the cardiorespiratory and cerebrovascular responses to transient and steady-state tests of the peripheral chemoreflex and to compare the hypoxic ventilatory responses (HVRs) between these tests. What is the main finding and its importance? The cardiovascular and cerebrovascular responses to transient tests were small in magnitude and short in duration. The steady-state isocapnic hypoxia test elicited a larger HVR than the transient 100% N(2) test, but the response magnitudes were correlated within individuals. The transient test of the HVR elicits fewer systemic effects than steady-state techniques and may have greater experimental utility than previously appreciated. Carotid chemoreceptors detect changes in arterial PO(2) and PCO(2), eliciting a peripheral chemoreflex (PCR). Steady-state (SS) hypoxia tests using dynamic end-tidal forcing (DEF) have been used to assess the hypoxic ventilatory response (HVR) but may be confounded by concomitant systemic effects. Transient tests of the PCR have also been developed but are not widely used, nor have the cardiovascular and cerebrovascular responses been characterized. We characterized the cardiorespiratory and cerebrovascular responses to transient tests of the PCR and compared the HVR between transient and SS-DEF tests. We hypothesized that the cardiovascular and cerebrovascular responses to the transient tests would be minimal and that the respiratory responses elicited from the transient and SS-DEF tests would be different in magnitude and not well correlated within individuals. Participants underwent five consecutive trials of two transient tests [three-breath 100% N(2) (TT-N(2)) and a single-breath 13% CO(2), in air] and two 10 min SS-DEF tests [isocapnic (SS-ISO) and poikilocapnic (SS-POI) hypoxia]. In response to the transient tests, heart rate, mean arterial pressure and the middle and posterior cerebral artery blood velocity increased (all P
Dual-Gate p-GaN Gate High Electron Mobility Transistors for Steep Subthreshold Slope.
Bae, Jong-Ho; Lee, Jong-Ho
2016-05-01
A steep subthreshold slope characteristic is achieved through p-GaN gate HEMT with dual-gate structure. Obtained subthreshold slope is less than 120 μV/dec. Based on the measured and simulated data obtained from single-gate device, breakdown of parasitic floating-base bipolar transistor and floating gate charged with holes are responsible to increase abruptly in drain current. In the dual-gate device, on-current degrades with high temperature but subthreshold slope is not changed. To observe the switching speed of dual-gate device and transient response of drain current are measured. According to the transient responses of drain current, switching speed of the dual-gate device is about 10(-5) sec.
n-p Short-Range Correlations from (p,2p+n) Measurements
Tang, A.; Watson, J. W.; Aclander, J.; Alster, J.; Asryan, G.; Averichev, Y.; Barton, D.; Baturin, V.; Bukhtoyarova, N.; Carroll, A.; Gushue, S.; Heppelmann, S.; Leksanov, A.; Makdisi, Y.; Malki, A.; Minina, E.; Navon, I.; Nicholson, H.; Ogawa, A.; Panebratsev, Yu.; Piasetzky, E.; Schetkovsky, A.; Shimanskiy, S.; Zhalov, D.
2003-01-01
We studied the 12C(p,2p+n) reaction at beam momenta of 5.9, 8.0, and 9.0 GeV/c. For quasielastic (p,2p) events pf, the momentum of the knocked-out proton before the reaction, was compared (event by event) with pn, the coincident neutron momentum. For |pn|>kF=0.220 GeV/c (the Fermi momentum) a strong back-to-back directional correlation between pf and pn was observed, indicative of short-range n-p correlations. From pn and pf we constructed the distributions of c.m. and relative motion in the longitudinal direction for correlated pairs. We also determined that 49±13% of events with |pf|>kF had directionally correlated neutrons with |pn|>kF.
Chang, Chung-ke; Chen, Chia-Min Michael; Chiang, Ming-hui; Hsu, Yen-lan; Huang, Tai-huang
2013-01-01
The nucleocapsid (N) phosphoprotein of the severe acute respiratory syndrome coronavirus (SARS-CoV) packages the viral genome into a helical ribonucleocapsid and plays a fundamental role during viral self-assembly. The N protein consists of two structural domains interspersed between intrinsically disordered regions and dimerizes through the C-terminal structural domain (CTD). A key activity of the protein is the ability to oligomerize during capsid formation by utilizing the dimer as a building block, but the structural and mechanistic bases of this activity are not well understood. By disulfide trapping technique we measured the amount of transient oligomers of N protein mutants with strategically located cysteine residues and showed that CTD acts as a primary transient oligomerization domain in solution. The data is consistent with the helical oligomer packing model of N protein observed in crystal. A systematic study of the oligomerization behavior revealed that altering the intermolecular electrostatic repulsion through changes in solution salt concentration or phosphorylation-mimicking mutations affects oligomerization propensity. We propose a biophysical mechanism where electrostatic repulsion acts as a switch to regulate N protein oligomerization.
High-frequency fire alters C : N : P stoichiometry in forest litter.
Toberman, Hannah; Chen, Chengrong; Lewis, Tom; Elser, James J
2014-07-01
Fire is a major driver of ecosystem change and can disproportionately affect the cycling of different nutrients. Thus, a stoichiometric approach to investigate the relationships between nutrient availability and microbial resource use during decomposition is likely to provide insight into the effects of fire on ecosystem functioning. We conducted a field litter bag experiment to investigate the long-term impact of repeated fire on the stoichiometry of leaf litter C, N and P pools, and nutrient-acquiring enzyme activities during decomposition in a wet sclerophyll eucalypt forest in Queensland, Australia. Fire frequency treatments have been maintained since 1972, including burning every 2 years (2yrB), burning every 4 years (4 yrB) and no burning (NB). C : N ratios in freshly fallen litter were 29-42% higher and C : P ratios were 6-25% lower for 2 yrB than NB during decomposition, with correspondingly lower 2yrB N : P ratios (27-32) than for NB (34-49). Trends in litter soluble and microbial N : P ratios were similar to the overall litter N : P ratios across fire treatments. Consistent with these, the ratio of activities for N-acquiring to P-acquiring enzymes in litter was higher for 2 yrB than NB, whereas 4 yrB was generally intermediate between 2 yrB and NB. Decomposition rates of freshly fallen litter were significantly lower for 2 yrB (72 ± 2% mass remaining at the end of experiment) than for 4 yrB (59 ± 3%) and NB (62 ± 3%), a difference that may be related to effects of N limitation, lower moisture content, and/or litter C quality. Results for older mixed-age litter were similar to those for freshly fallen litter although treatment differences were less pronounced. Overall, these findings show that frequent fire (2 yrB) decoupled N and P cycling, as manifested in litter C : N : P stoichiometry and in microbial biomass N : P ratio and enzymatic activities. Furthermore, these data indicate that fire induced a transient shift to N-limited ecosystem conditions
The analysis of transient noise of PCB P/G network based on PI/SI co-simulation
Haohang, Su
2018-02-01
With the frequency of the space camera become higher than before, the power noise of the imaging electronic system become the important factor. Much more power noise would disturb the transmissions signal, and even influence the image sharpness and system noise. "Target impedance method" is one of the traditional design method of P/G network (power and ground network), which is shorted of transient power noise analysis and often made "over design". In this paper, a new design method of P/G network is provided which simulated by PI/SI co-simulation. The transient power noise can be simulated and then applied in the design of noise reduction, thus effectively controlling the change of the noise in the P/G network. The method can efficiently control the number of adding decoupling capacitor, and is very efficient and feasible to keep the power integrity.
Impacts of P-f & Q-V Droop Control on MicroGrids Transient Stability
Zhao-xia, Xiao; Hong-wei, Fang
Impacts of P-f & Q-V droop control on MicroGrid transient stability was investigated with a wind unit of asynchronous generator in the MicroGrid. The system frequency stability was explored when the motor load starts and its load power changes, and faults of different types and different locations occurs. The simulations were done by PSCAD/EMTDC.
Considerations for transient stability, fault capacity and power flow study of offsite power system
Energy Technology Data Exchange (ETDEWEB)
Shin, M C; Kim, C W; Gwon, M H; Park, C W; Lee, K W; Kim, H M; Lee, G Y; Joe, P H [Sungkyunkwan Univ., Seoul (Korea, Republic of)
1994-04-15
By study of power flow calculation, fault capacity calculation and stability analysis according to connection of two units YGN 3 and 4 to KEPCO power system, we have conclusions as follows. As the result of power flow calculation, at peak load, the voltage change of each bus is very small when YGN 3 and 4 is connected with KEPCO power system. At base load, installation of phase modifing equipment is necessary in Seoul, Kyungki province where load is concentrated because bus voltage rises by increasing of charge capacity caused installation of underground cables. As the result of fault capacity calculation, fault capacity is increased because fault current increases when two units YGN 3 and 4 is connected with KEPCO power system. But it is enough to operate with presenting circuits breaker rated capacity. Transient stability studies have been conducted on the YK N/P generators 3 and 4 using a digital computer program. Three phase short faults have been simulated at the YK N/P 345[KV] bus with the resulting outage of transmission circuits. Several fault clearing times are applied: 6 cycles, 12 cycles, 15 cycles. The study results demonstrate that the transient stability of YK N/P is adequate to maintain stable for three phase short faults cleared within 12 cycles. The study results also demonstrate that the transient stability of YK N/P is stable for machine removals except 4-machine removal. In addition, the study shows that the transient stability analysis is implemented for the case of load.
Directory of Open Access Journals (Sweden)
Chu Ketan
2012-04-01
Full Text Available Abstract Background Neuroinflammation plays an important role in cerebral ischemia/reperfusion (I/R injury. The P2X7 receptor (P2X7R has been reported to be involved in the inflammatory response of many central nervous system diseases. However, the role of P2X7Rs in transient global cerebral I/R injury remains unclear. The purpose of this study is to determine the effects of inhibiting the P2X7R in a rat model of transient global cerebral I/R injury, and then to explore the association between the P2X7R and neuroinflammation after transient global cerebral I/R injury. Methods Immediately after infusion with the P2X7R antagonists Brilliant blue G (BBG, adenosine 5′-triphosphate-2′,3′-dialdehyde (OxATP or A-438079, 20 minutes of transient global cerebral I/R was induced using the four-vessel occlusion (4-VO method in rats. Survival rate was calculated, neuronal death in the hippocampal CA1 region was observed using H & E staining, and DNA cleavage was observed by deoxynucleotidyl transferase-mediated UTP nick end labeling TUNEL. In addition, behavioral deficits were measured using the Morris water maze, and RT-PCR and immunohistochemical staining were performed to measure the expression of IL-1β, TNF-α and IL-6, and to identify activated microglia and astrocytes. Results The P2X7R antagonists protected against transient global cerebral I/R injury in a dosage-dependent manner. A high dosage of BBG (10 μg and A-0438079 (3 μg, and a low dosage of OxATP (1 μg significantly increased survival rates, reduced I/R-induced learning memory deficit, and reduced I/R-induced neuronal death, DNA cleavage, and glial activation and inflammatory cytokine overexpression in the hippocampus. Conclusions Our study indicates that inhibiting P2X7Rs protects against transient global cerebral I/R injury by reducing the I/R-induced inflammatory response, which suggests inhibition of P2X7Rs may be a promising therapeutic strategy for clinical treatment of
Directory of Open Access Journals (Sweden)
Chung-ke Chang
Full Text Available The nucleocapsid (N phosphoprotein of the severe acute respiratory syndrome coronavirus (SARS-CoV packages the viral genome into a helical ribonucleocapsid and plays a fundamental role during viral self-assembly. The N protein consists of two structural domains interspersed between intrinsically disordered regions and dimerizes through the C-terminal structural domain (CTD. A key activity of the protein is the ability to oligomerize during capsid formation by utilizing the dimer as a building block, but the structural and mechanistic bases of this activity are not well understood. By disulfide trapping technique we measured the amount of transient oligomers of N protein mutants with strategically located cysteine residues and showed that CTD acts as a primary transient oligomerization domain in solution. The data is consistent with the helical oligomer packing model of N protein observed in crystal. A systematic study of the oligomerization behavior revealed that altering the intermolecular electrostatic repulsion through changes in solution salt concentration or phosphorylation-mimicking mutations affects oligomerization propensity. We propose a biophysical mechanism where electrostatic repulsion acts as a switch to regulate N protein oligomerization.
Hydrogen passivation of n+p and p+n heteroepitaxial InP solar cell structures
Chatterjee, B.; Ringel, S. A.; Hoffman, R., Jr.
1995-01-01
High-efficiency, heteroepitaxial (HE) InP solar cells, grown on GaAs, Si or Ge substrates, are desirable for their mechanically strong, light-weight and radiation-hard properties. However, dislocations, caused by lattice mismatch, currently limit the performance of the HE cells. This occurs through shunting paths across the active photovoltaic junction and by the formation of deep levels. In previous work we have demonstrated that plasma hydrogenation is an effective and stable means to passivate the electrical activity of dislocations in specially designed HE InP test structures. In this work, we present the first report of successful hydrogen passivation in actual InP cell structures grown on GaAs substrates by metalorganic chemical vapor deposition (MOCVD). We have found that a 2 hour exposure to a 13.56 MHz hydrogen plasma at 275 C reduces the deep level concentration in HE n+n InP cell structures from as-grown values of approximately 10(exp 15)/cm(exp -3), down to 1-2 x 10(exp 13)/cm(exp -3). The deep levels in the p-type base region of the cell structure match those of our earlier p-type test structures, which were attributed to dislocations or related point defect complexes. All dopants were successfully reactivated by a 400 C, 5 minute anneal with no detectable activation of deep levels. I-V analysis indicated a subsequent approximately 10 fold decrease in reverse leakage current at -1 volt reverse bias, and no change in the forward biased series resistance of the cell structure which indicates complete reactivation of the n+ emitter. Furthermore, electrochemical C-V profiling indicates greatly enhanced passivation depth, and hence hydrogen diffusion, for heteroepitaxial structures when compared with identically processed homoepitaxial n+p InP structures. An analysis of hydrogen diffusion in dislocated InP will be discussed, along with comparisons of passivation effectiveness for n+p versus p+n heteroepitaxial cell configurations. Preliminary hydrogen
Hydrogen Passivation of N(+)P and P(+)N Heteroepitaxial InP Solar Cell Structures
Chatterjee, B.; Davis, W. C.; Ringel, S. A.; Hoffman, R., Jr.
1995-01-01
Dislocations and related point defect complexes caused by lattice mismatch currently limit the performance of heteroepitaxial InP cells by introducing shunting paths across the active junction and by the formation of deep traps within the base region. We have previously demonstrated that plasma hydrogenation is an effective and stable means to passivate the electrical activity of such defects in specially designed heteroepitaxial InP test structures to probe hydrogen passivation at typical base depths within a cell structure. In this work, we present our results on the hydrogen passivation of actual heteroepitaxial n(+)p and p(+)n InP cell structures grown on GaAs substrates by metalorganic chemical vapor deposition (MOCVD). We have found that a 2 hour exposure to a 13.56 MHz hydrogen plasma at 275 C reduces the deep level concentration in the base regions of both n(+)p and p(+)n heteroepitaxial InP cell structures from as-grown values of 5 - 7 x 10(exp 14)/cc, down to 3 - 5 x 10(exp 12)/cc. All dopants were successfully reactivated by a 400 C, 5 minute anneal With no detectable activation of deep levels. I-V analysis indicated a subsequent approx. 100 fold decrease In reverse leakage current at -1 volt reverse bias, and an improved built in voltage for the p(+)n structures. ln addition to being passivated,dislocations are also shown to participate in secondary interactions during hydrogenation. We find that the presence of dislocations enhances hydrogen diffusion into the cell structure, and lowers the apparent dissociation energy of Zn-H complexes from 1.19 eV for homoepitaxial Zn-doped InP to 1.12 eV for heteroepitaxial Zn-doped InP. This is explained by additional hydrogen trapping at dislocations subsequent to the reactivation of Zn dopants after hydrogenation.
Hydrogen passivation of N(+)-P and P(+)-N heteroepitaxial InP solar cell structures
Chatterjee, Basab; Davis, William C.; Ringel, Steve A.; Hoffman, Richard, Jr.
1996-01-01
Dislocations and related point defect complexes caused by lattice mismatch currently limit the performance of heteroepitaxial InP cells by introducing shunting paths across the active junction and by the formation of deep traps within the base region. We have previously demonstrated that plasma hydrogenation is an effective and stable means to passivate the electrical activity of such defects in specially designed heteroepitaxial InP test structures to probe hydrogen passivation at typical base depths within a cell structure. In this work, we present our results on the hydrogen passivation of actual heteroepitaxial n-p and p-n InP cell structures grown on GaAs substrates by metalorganic chemical vapor deposition (MOCVD). We have found that a 2 hour exposure to a 13.56 MHz hydrogen plasma at 275 C reduces the deep level concentration in the base regions of both n(+)-p and p(+)-n heteroepitaxial InP cell structures from as-grown values of 5-7 x 10(exp 14) cm(exp -3), down to 3-5 x 10(exp 12) cm(exp -3). All dopants were successfully reactivated by a 400 C, 5 minute anneal with no detectable activation of deep levels. One to five analysis indicated a subsequent approximately 100 fold decrease in reverse leakage current at -1 volt reverse bias, and an improved built in voltage for the p(+)-n structures. In addition to being passivated, dislocations are also shown to participate in secondary interactions during hydrogenation. We find that the presence of dislocations enhances hydrogen diffusion into the cell structure, and lowers the apparent dissociation energy of Zn-H complexes from 1.19 eV for homoepitaxial Zn-doped InP to 1.12 eV for heteroepitaxial Zn-doped InP. This is explained by additional hydrogen trapping at dislocations subsequent to the reactivation of Zn dopants after hydrogenation.
Fiorenza, Patrick; Greco, Giuseppe; Schilirò, Emanuela; Iucolano, Ferdinando; Lo Nigro, Raffaella; Roccaforte, Fabrizio
2018-05-01
This letter presents time-dependent gate-capacitance transient measurements (C–t) to determine the oxide trapped charges (N ot) in Al2O3 films deposited on recessed AlGaN/GaN heterostructures. The C–t transients acquired at different temperatures under strong accumulation allowed to accurately monitor the gradual electron trapping, while hindering the re-emission by fast traps that may affect conventional C–V hysteresis measurements. Using this method, an increase of N ot from 2 to 6 × 1012 cm‑2 was estimated between 25 and 150 °C. The electron trapping is ruled by an Arrhenius dependence with an activation energy of 0.12 eV which was associated to points defects present in the Al2O3 films.
Indian Academy of Sciences (India)
Home; Journals; Journal of Earth System Science. P N Shukla. Articles written in Journal of Earth System Science. Volume 110 Issue 2 June 2001 pp 103-110. High Iridium concentration of alkaline rocks of Deccan and implications to K/T boundary · P N Shukla N Bhandari Anirban Das A D Shukla J S Ray · More Details ...
Best-estimate analyses of LOFT anticipated transients with and without scram using DYNODE-P
International Nuclear Information System (INIS)
Kern, R.C.; Anderson, R.O.; Rautmann, D.A.
1984-01-01
Six LOFT transient tests with scram (L6-1, L6-2, L6-3, L6-7, L6-8B-1, and L6-8B-2) and two anticipated transient tests without scram (L9-3 and L9-4) have been analyzed using a best-estimate DYNODE-P/5.2 computer model. These tests span a wide range of anticipated operational occurrences for Pressurized Water Reactors. In general, satisfactory agreement between calculation and measurement for the key system parameters (nuclear power, primary and secondary pressures, temperatures, liquid levels, and flows) have been found. Sensitivity studies have resolved all significant discrepancies. These analyses have provided a significant qualification of the model for application to these types of events
Quasifree (p ,p N ) scattering of light neutron-rich nuclei near N =14
Díaz Fernández, P.; Alvarez-Pol, H.; Crespo, R.; Cravo, E.; Atar, L.; Deltuva, A.; Aumann, T.; Avdeichikov, V.; Beceiro-Novo, S.; Bemmerer, D.; Benlliure, J.; Bertulani, C. A.; Boillos, J. M.; Boretzky, K.; Borge, M. J. G.; Caamaño, M.; Cabanelas, P.; Caesar, C.; Casarejos, E.; Catford, W.; Cederkäll, J.; Chartier, M.; Chulkov, L. V.; Cortina-Gil, D.; Datta Pramanik, U.; Dillmann, I.; Elekes, Z.; Enders, J.; Ershova, O.; Estradé, A.; Farinon, F.; Fernández-Domínguez, B.; Fraile, L. M.; Freer, M.; Galaviz, D.; Geissel, H.; Gernhäuser, R.; Golubev, P.; Göbel, K.; Hagdahl, J.; Heftrich, T.; Heil, M.; Heine, M.; Heinz, A.; Henriques, A.; Holl, M.; Hufnagel, A.; Ignatov, A.; Johansson, H. T.; Jonson, B.; Jurčiukonis, D.; Kalantar-Nayestanaki, N.; Kanungo, R.; Kelic-Heil, A.; Knyazev, A.; Kröll, T.; Kurz, N.; Labiche, M.; Langer, C.; Le Bleis, T.; Lemmon, R.; Lindberg, S.; Machado, J.; Marganiec, J.; Moro, A. M.; Movsesyan, A.; Nacher, E.; Najafi, A.; Nikolskii, E.; Nilsson, T.; Nociforo, C.; Panin, V.; Paschalis, S.; Perea, A.; Petri, M.; Pietras, B.; Pietri, S.; Plag, R.; Reifarth, R.; Ribeiro, G.; Rigollet, C.; Rossi, D.; Röder, M.; Savran, D.; Scheit, H.; Simon, H.; Sorlin, O.; Syndikus, I.; Taylor, J. T.; Tengblad, O.; Thies, R.; Togano, Y.; Vandebrouck, M.; Velho, P.; Volkov, V.; Wagner, A.; Wamers, F.; Weick, H.; Wheldon, C.; Wilson, G.; Winfield, J. S.; Woods, P.; Yakorev, D.; Zhukov, M.; Zilges, A.; Zuber, K.; R3B Collaboration
2018-02-01
Background: For many years, quasifree scattering reactions in direct kinematics have been extensively used to study the structure of stable nuclei, demonstrating the potential of this approach. The R 3B collaboration has performed a pilot experiment to study quasifree scattering reactions in inverse kinematics for a stable 12C beam. The results from that experiment constitute the first quasifree scattering results in inverse and complete kinematics. This technique has lately been extended to exotic beams to investigate the evolution of shell structure, which has attracted much interest due to changes in shell structure if the number of protons or neutrons is varied. Purpose: In this work we investigate for the first time the quasifree scattering reactions (p ,p n ) and (p ,2 p ) simultaneously for the same projectile in inverse and complete kinematics for radioactive beams with the aim to study the evolution of single-particle properties from N =14 to N =15 . Method: The structure of the projectiles 23O, 22O, and 21N has been studied simultaneously via (p ,p n ) and (p ,2 p ) quasifree knockout reactions in complete inverse kinematics, allowing the investigation of proton and neutron structure at the same time. The experimental data were collected at the R3B -LAND setup at GSI at beam energies of around 400 MeV/u. Two key observables have been studied to shed light on the structure of those nuclei: the inclusive cross sections and the corresponding momentum distributions. Conclusions: The knockout reactions (p ,p n ) and (p ,2 p ) with radioactive beams in inverse kinematics have provided important and complementary information for the study of shell evolution and structure. For the (p ,p n ) channels, indications of a change in the structure of these nuclei moving from N =14 to N =15 have been observed, i.e., from the 0 d5 /2 shell to the 1 s1 /2 . This supports previous observations of a subshell closure at N =14 for neutron-rich oxygen isotopes and its weakening
Kosenkov, Dmytro; Shaw, James; Zuczek, Jennifer; Kholod, Yana
2016-01-01
The laboratory unit demonstrates a project based approach to teaching physical chemistry laboratory where upper-division undergraduates carry out a transient-absorption experiment investigating the kinetics of cis-trans isomerization of N,N-dimethyl-4,4'-azodianiline. Students participate in modification of a standard flash-photolysis spectrometer…
International Nuclear Information System (INIS)
Green, S.J.; Mahe, L.S.A.; Rosseinsky, D.R.; Winlove, C.P.
2013-01-01
Using illumination at energies below the intrinsic diamond energy gap, photocurrent transients have been recorded for boron-doped diamond (BDD) as an electrode in an aqueous electrolyte of 0.1 M KH 2 PO 4 . The commercially-supplied BDD was in the form of a free-standing, polycrystalline film grown by chemical vapour deposition (CVD), with a boron acceptor concentration of ≥10 20 cm −3 . The effects of mechanical polishing of the BDD, of electrochemical hydrogen evolution and of electrochemical oxygen evolution (in 0.1 M KH 2 PO 4 ), on the potential dependence of the photocurrent transients have been examined. Measurements of the cathodic photocurrent at light switch-on have been used to determine the photocurrent onset potential as a measure of the flatband potential. Comparison with and between related literature observations has shown broad agreement across considerably varying BDD/electrolyte systems. The flatband potential shifted positively following electrochemical oxygen evolution, indicating the formation of oxygen-containing groups on the diamond surface, these increasing the potential drop across the Helmholtz layer. For the electrochemically oxidised electrode, the cathodic photocurrent transient at a fixed potential changed reproducibly with changing solution pH, owing to the participation of the oxygen-containing surface groups in acid–base equilibrium with the solution. This clear demonstration of BDD as a photoelectrochemical pH sensor is in principle extendable to mapping the spatial variation in pH across a BDD surface by use of a focussed light spot
Veling, E.J.M.; Maas, C.
2008-01-01
Efficient strategies for solving semi-analytically the transient groundwater head in a coupled N-layer aquifer system phi(i)(r, z, t), i = 1, ..., N, with radial symmetry, with full z-dependency, and partially penetrating wells are presented. Aquitards are treated as aquifers with their own
International Nuclear Information System (INIS)
Eremin, V.; Verbitskaya, E.; Sidorov, A.; Fretwurst, E.; Lindstrom, G.
1996-03-01
Investigation of the I-V stabilization phenomena in neutron irradiated silicon detectors has been carried out using scanning transient current technique (STCT) on non-irradiated PP + -p-n + detectors. The PP + -p-n + detectors were used to simulate the PP + -n-n + detectors irradiated beyond the space charge sign inversion (SCSI). Two mechanisms partially responsible for the I- V stabilization have been identified
Sputtered indium-tin oxide/cadmium telluride junctions and cadmium telluride surfaces
International Nuclear Information System (INIS)
Courreges, F.G.; Fahrenbruch, A.L.; Bube, R.H.
1980-01-01
The properties of indium-tin oxide (ITO)/CdTe junction solar cells prepared by rf sputtering of ITO on P-doped CdTe single-crystal substrates have been investigated through measurements of the electrical and photovoltaic properties of ITO/CdTe and In/CdTe junctions, and of electron beam induced currents (EBIC) in ITO/CdTe junctions. In addition, surface properties of CdTe related to the sputtering process were investigated as a function of sputter etching and thermal oxidation using the techniques of surface photovoltage and photoluminescence. ITO/CdTe cells prepared by this sputtering method consist of an n + -ITO/n-CdTe/p-CdTe buried homojunction with about a 1-μm-thick n-type CdTe layer formed by heating of the surface of the CdTe during sputtering. Solar efficiencies up to 8% have been observed with V/sub 0c/=0.82 V and J/sub s/c=14.5 mA/cm 2 . The chief degradation mechanism involves a decrease in V/sub 0c/ with a transformation of the buried homojunction structure to an actual ITO/CdTe heterojunction
Explosive and radio-selected Transients: Transient Astronomy with ...
Indian Academy of Sciences (India)
40
sitive measurements will lead to very accurate mass loss estimation in these supernovae. .... transients are powerful probes of intervening media owing to dispersion ...... A., & Chandra, P. 2011, Nature Communications,. 2, 175. Chakraborti, S.
Mukherjee, Samik; Watanabe, Hideyuki; Isheim, Dieter; Seidman, David N; Moutanabbir, Oussama
2016-02-10
It addition to its high evaporation field, diamond is also known for its limited photoabsorption, strong covalent bonding, and wide bandgap. These characteristics have been thought for long to also complicate the field evaporation of diamond and make its control hardly achievable on the atomistic-level. Herein, we demonstrate that the unique behavior of nanoscale diamond and its interaction with pulsed laser lead to a controlled field evaporation thus enabling three-dimensional atom-by-atom mapping of diamond (12)C/(13)C homojunctions. We also show that one key element in this process is to operate the pulsed laser at high energy without letting the dc bias increase out of bounds for diamond nanotip to withstand. Herein, the role of the dc bias in evaporation of diamond is essentially to generate free charge carriers within the nanotip via impact ionization. The mobile free charges screen the internal electric field, eventually creating a hole rich surface where the pulsed laser is effectively absorbed leading to an increase in the nanotip surface temperature. The effect of this temperature on the uncertainty in the time-of-flight of an ion, the diffusion of atoms on the surface of the nanotip, is also discussed. In addition to paving the way toward a precise manipulation of isotopes in diamond-based nanoscale and quantum structures, this result also elucidates some of the basic properties of dielectric nanostructures under high electric field.
Kyaw, Zabu; Zhang, Zi-Hui; Liu, Wei; Tan, Swee Tiam; Ju, Zhen Gang; Zhang, Xue Liang; Ji, Yun; Hasanov, Namig; Zhu, Binbin; Lu, Shunpeng; Zhang, Yiping; Sun, Xiao Wei; Demir, Hilmi Volkan
2014-01-13
N-GaN/P-GaN/N-GaN/P-GaN/N-GaN (NPNPN-GaN) junctions embedded between the n-GaN region and multiple quantum wells (MQWs) are systematically studied both experimentally and theoretically to increase the performance of InGaN/GaN light emitting diodes (LEDs) in this work. In the proposed architecture, each thin P-GaN layer sandwiched in the NPNPN-GaN structure is completely depleted due to the built-in electric field in the NPNPN-GaN junctions, and the ionized acceptors in these P-GaN layers serve as the energy barriers for electrons from the n-GaN region, resulting in a reduced electron over flow and enhanced the current spreading horizontally in the n- GaN region. These lead to increased optical output power and external quantum efficiency (EQE) from the proposed device.
Phenomenological study of the p p →π+p n reaction
Fäldt, G.; Wilkin, C.
2018-02-01
Fully constrained bubble chamber data on the p p →π+p n and p p →π+d reactions are used to investigate the ratio of the counting rates for the two processes as function of the p n excitation energy Q . Though it is important to include effects associated with the p -wave nature of pion production, the data are insufficient to establish unambiguously the dependence on Q . The angular distributions show the presence of higher partial waves which seem to be anomalously large at small Q . The dispersion relation method to determine scattering lengths is extended to encompass cases where, as for the p p →π+p n reaction, there is a bound state and, in a test example, it is shown that the values deduced for the low-energy neutron-proton scattering parameters are significantly influenced by the pion p -wave behavior.
Transient radiation effects in D.O.I. optical materials: KD*P
International Nuclear Information System (INIS)
Simmons-Potter, K.
1998-07-01
Department of Energy and Defense Programs systems are becoming increasingly reliant on the use of optical technologies that must perform under a range of ionizing radiation environments. In particular, the radiation response of materials under consideration for applications in direct optical initiation (D.O.I.) schemes must be well characterized. In this report, transient radiation effects observed in a KD*P crystal are characterized. Under gamma exposure with 2 MeV photons in a 20--30 nsec pulse, the authors observe induced absorption at 1.06 microm that causes a peak decrease in overall sample transmittance of only 10%. This induced loss is seen to recover fully within the first 30 microsec
High and Low Energy Proton Radiation Damage in p/n InP MOCVD Solar Cells
Rybicki, George; Weinberg, Irv; Scheiman, Dave; Vargas-Aburto, Carlos; Uribe, Roberto
1995-01-01
InP p(+)/n/n(+) solar cells, fabricated by metal organic chemical vapor deposition, (MOCVD) were irradiated with 0.2 MeV and 10 MeV protons to a fluence of 10(exp 13)/sq cm. The power output degradation, IV behavior, carrier concentration and defect concentration were observed at intermediate points throughout the irradiations. The 0.2 MeV proton-irradiated solar cells suffered much greater and more rapid degradation in power output than those irradiated with 10 MeV protons. The efficiency losses were accompanied by larger increases in the recombination currents in the 0.2 MeV proton-irradiated solar cells. The low energy proton irradiations also had a larger impact on the series resistance of the solar cells. Despite the radiation induced damage, the carrier concentration in the base of the solar cells showed no reduction after 10 MeV or 0.2 MeV proton irradiations and even increased during irradiation with 0.2 MeV protons. In a deep level transient spectroscopy (DLTS) study of the irradiated samples, the minority carrier defects H4 and H5 at E(sub v) + 0.33 and E(sub v) + 0.52 eV and the majority carrier defects E7 and El0 at E(sub c) - 0.39 and E(sub c) - 0.74 eV, were observed. The defect introduction rates for the 0.2 MeV proton irradiations were about 20 times higher than for the 10 MeV proton irradiations. The defect El0, observed here after irradiation, has been shown to act as a donor in irradiated n-type InP and may be responsible for obscuring carrier removal. The results of this study are consistent with the much greater damage produced by low energy protons whose limited range causes them to stop in the active region of the solar cell.
Indian Academy of Sciences (India)
Home; Journals; Bulletin of Materials Science. R N P Choudhary. Articles written in Bulletin of Materials Science. Volume 23 Issue 4 August 2000 pp 239-241 Phase Transitions. Phase transitions in Na2TeO4 ceramics · N K Singh R N P Choudhary · More Details Abstract Fulltext PDF. Polycrystalline samples of NaTeO4 ...
The design and numerical analysis of tandem thermophotovoltaic cells
International Nuclear Information System (INIS)
Yang Hao-Yu; Liu Ren-Jun; Wang Lian-Kai; Lü You; Li Tian-Tian; Li Guo-Xing; Zhang Yuan-Tao; Zhang Bao-Lin
2013-01-01
In this paper, numerical analysis of GaSb =(E g = 0.72 eV)/Ga 0.84 In 0.16 As 0.14 Sb 0.86 (E g = 0.53 eV) tandem thermophotovoltaic (TPV) cells is carried out by using Silvaco/Atlas software. In the tandem cells, a GaSb p-n homojunction is used for the top cell and a GaInAsSb p-n homojunction for the bottom cell. A heavily doped GaSb tunnel junction connects the two sub-cells together. The simulations are carried out at a radiator temperature of 2000 K and a cell temperature of 300 K. The radiation photons are injected from the top of the tandem cells. Key properties of the single- and dual-junction TPV cells, including I–V characteristic, maximum output power (P max ), open-circuit voltage (V oc ), short-circuit current (I sc ), etc. are presented. The effects of the sub-cell thickness and carrier concentration on the key properties of tandem cells are investigated. A comparison of the dual-TPV cells with GaSb and GaInAsSb single junction cells shows that the P max of tandem cells is almost twice as great as that of the single-junction cells. (interdisciplinary physics and related areas of science and technology)
The Aluminum-Free P-n-P InGaAsN Double Heterojunction Bipolar Transistors
Energy Technology Data Exchange (ETDEWEB)
CHANG,PING-CHIH; LI,N.Y.; BACA,ALBERT G.; MONIER,C.; LAROCHE,J.R.; HOU,H.Q.; REN,F.; PEARTON,S.J.
2000-08-01
The authors have demonstrated an aluminum-free P-n-P GaAs/InGaAsN/GaAs double heterojunction bipolar transistor (DHBT). The device has a low turn-on voltage (V{sub ON}) that is 0.27 V lower than in a comparable P-n-p AlGaAs/GaAs HBT. The device shows near-ideal D. C. characteristics with a current gain ({beta}) greater than 45. The high-speed performance of the device are comparable to a similar P-n-p AlGaAs/GaAs HBT, with f{sub T} and f{sub MAX} values of 12 GHz and 10 GHz, respectively. This device is very suitable for low-power complementary HBT circuit applications, while the aluminum-free emitter structure eliminates issues typically associated with AlGaAs.
Whole ecosystem approaches for assessing the coupling of N and P cycles in small streams
Schade, J. D.; Thomas, S. A.; Seybold, E. C.; Drake, T.; Lewis, K.; MacNeill, K.; Zimov, N.
2010-12-01
to increased P addition. We speculate that weak coupling of N and P uptake may occur in streams in which P uptake is mainly due to physical sorption, which would not be expected to respond to N addition. We suspect that, in general, differences between streams in the degree of coupling of N and P uptake reflects variation in the relative importance of several abiotic and biotic mechanisms of nutrient uptake, including the composition of the microbial community (autotrophs vs. heterotrophs, the importance of N fixation), changes in transient storage of water, and physical P sorption.
Influence of surface states on deep level transient spectroscopy in AlGaN/GaN heterostructure
International Nuclear Information System (INIS)
Zhu Qing; Ma Xiao-Hua; Chen Wei-Wei; Hou Bin; Zhu Jie-Jie; Zhang Meng; Chen Li-Xiang; Cao Yan-Rong; Hao Yue
2016-01-01
Deep level transient spectroscopy (DLTS) as a method to investigate deep traps in AlGaN/GaN heterostructure or high electron mobility transistors (HEMTs) has been widely utilized. The DLTS measurements under different bias conditions are carried out in this paper. Two hole-like traps with active energies of E v + 0.47 eV, and E v + 0.10 eV are observed, which are related to surface states. The electron traps with active energies of E c − 0.56 eV are located in the channel, those with E c − 0.33 eV and E c − 0.88 eV are located in the AlGaN layer. The presence of surface states has a strong influence on the detection of electron traps, especially when the electron traps are low in density. The DLTS signal peak height of the electron trap is reduced and even disappears due to the presence of plentiful surface state. (paper)
Vu, Thi Kim Oanh; Lee, Kyoung Su; Lee, Sang Jun; Kim, Eun Kyu
2018-09-01
We studied defect states in In0.53Ga0.47As/InP heterojunctions with interface control by group V atoms during metalorganic chemical vapor (MOCVD) deposition. From deep level transient spectroscopy (DLTS) measurements, two defects with activation energies of 0.28 eV (E1) and 0.15 eV (E2) below the conduction band edge, were observed. The defect density of E1 for In0.53Ga0.47As/InP heterojunctions with an addition of As and P atoms was about 1.5 times higher than that of the heterojunction added P atom only. From the temperature dependence of current- voltage characteristics, the thermal activation energies of In0.53Ga0.47As/InP of heterojunctions were estimated to be 0.27 and 0.25 eV, respectively. It appeared that the reverse light current for In0.53Ga0.47As/InP heterojunction added P atom increased only by illumination of a 940 nm-LED light source. These results imply that only the P addition at the interface can enhance the quality of InGaAs/InP heterojunction.
International Nuclear Information System (INIS)
Balatz, M.Ya.; Belyaev, I.M.; Dorofeev, V.A.
1993-01-01
In the experiments at the SPHINX facility on the 70 GeV proton beam of the IHEP accelerator a wide program of studying of the baryon diffractive production and search for exotic baryons in these processes is being carried out. The first data for the reactions p + N → (K + K - p) + N, p + N → (pφ) + N and p + N → [Λ(1520)K + ] + N are presented. The very sensitive upper limits for the cross sections for diffractive production of heavy narrow cryptoexotic baryon resonances with hidden strangeness in the mass region up to 4.5 GeV are obtained. 14 refs., 12 figs., 2 tabs
International Nuclear Information System (INIS)
Khan Baiocchi Jacobson, Tamiel; Cunha Bustamante, Mercedes Maria da; Rodrigues Kozovits, Alessandra
2011-01-01
This study investigated changes in diversity of shrub-tree layer, leaf decomposition rates, nutrient release and soil NO fluxes of a Brazilian savanna (cerrado sensu stricto) under N, P and N plus P additions. Simultaneous addition of N and P affected density, dominance, richness and diversity patterns more significantly than addition of N or P separately. Leaf litter decomposition rates increased in P and NP plots but did not differ in N plots in comparison to control plots. N addition increased N mass loss, while the combined addition of N and P resulted in an immobilization of N in leaf litter. Soil NO emissions were also higher when N was applied without P. The results indicate that if the availability of P is not increased proportionally to the availability of N, the losses of N are intensified. - Highlights: → Simultaneous addition of N and P affected richness and diversity of the shrub-tree layer of a Brazilian savanna more significantly than addition of N or P separately. → Leaf litter decomposition rates increased in P and NP plots but did not differ in N plots in comparison to control plots. N addition increased N mass loss, while the combined addition of N and P resulted in an immobilization of N in leaf litter. Soil NO emissions were also higher when N was applied without P. → The results indicated that if increases in N deposition in Cerrado ecosystems are not accompanied by P additions, higher N losses through leaching and gas emissions can occur with other ecosystem impacts. - Shrub-tree diversity and functioning of Brazilian savanna are affected by increasing nutrient availability.
Energy Technology Data Exchange (ETDEWEB)
Khan Baiocchi Jacobson, Tamiel, E-mail: tamiel@unb.br [Departamento de Ecologia, Universidade de Brasilia, Brasilia-DF 70919-970 (Brazil); Cunha Bustamante, Mercedes Maria da, E-mail: mercedes@unb.br [Departamento de Ecologia, Universidade de Brasilia, Brasilia-DF 70919-970 (Brazil); Rodrigues Kozovits, Alessandra, E-mail: kozovits@icep.ufop.br [Departamento de Ecologia, Universidade de Brasilia, Brasilia-DF 70919-970 (Brazil)
2011-10-15
This study investigated changes in diversity of shrub-tree layer, leaf decomposition rates, nutrient release and soil NO fluxes of a Brazilian savanna (cerrado sensu stricto) under N, P and N plus P additions. Simultaneous addition of N and P affected density, dominance, richness and diversity patterns more significantly than addition of N or P separately. Leaf litter decomposition rates increased in P and NP plots but did not differ in N plots in comparison to control plots. N addition increased N mass loss, while the combined addition of N and P resulted in an immobilization of N in leaf litter. Soil NO emissions were also higher when N was applied without P. The results indicate that if the availability of P is not increased proportionally to the availability of N, the losses of N are intensified. - Highlights: > Simultaneous addition of N and P affected richness and diversity of the shrub-tree layer of a Brazilian savanna more significantly than addition of N or P separately. > Leaf litter decomposition rates increased in P and NP plots but did not differ in N plots in comparison to control plots. N addition increased N mass loss, while the combined addition of N and P resulted in an immobilization of N in leaf litter. Soil NO emissions were also higher when N was applied without P. > The results indicated that if increases in N deposition in Cerrado ecosystems are not accompanied by P additions, higher N losses through leaching and gas emissions can occur with other ecosystem impacts. - Shrub-tree diversity and functioning of Brazilian savanna are affected by increasing nutrient availability.
P-n junction diodes with polarization induced p-type graded InxGa1-xN layer
Enatsu, Yuuki; Gupta, Chirag; Keller, Stacia; Nakamura, Shuji; Mishra, Umesh K.
2017-10-01
In this study, p-n junction diodes with polarization induced p-type layer are demonstrated on Ga polar (0001) bulk GaN substrates. A quasi-p-type region is obtained by linearly grading the indium composition in un-doped InxGa1-xN layers from 0% to 5%, taking advantage of the piezoelectric and spontaneous polarization fields which exist in group III-nitride heterostructures grown in the typical (0001) or c-direction. The un-doped graded InxGa1-xN layers needed to be capped with a thin Mg-doped InxGa1-xN layer to make good ohmic contacts and to reduce the on-resistance of the p-n diodes. The Pol-p-n junction diodes exhibited similar characteristics compared to reference samples with traditional p-GaN:Mg layers. A rise in breakdown voltage from 30 to 110 V was observed when the thickness of the graded InGaN layer was increased from 100 to 600 nm at the same grade composition.
International Nuclear Information System (INIS)
Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen
2013-01-01
Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device
DEFF Research Database (Denmark)
Rasmussen, Mikkel Aabech; Holst, Bjørn; Tümer, Zeynep
2014-01-01
The discovery of human-induced pluripotent stem cells (iPSCs) has sparked great interest in the potential treatment of patients with their own in vitro differentiated cells. Recently, knockout of the Tumor Protein 53 (p53) gene was reported to facilitate reprogramming but unfortunately also led...... to genomic instability. Here, we report that transient suppression of p53 during nonintegrative reprogramming of human fibroblasts leads to a significant increase in expression of pluripotency markers and overall number of iPSC colonies, due to downstream suppression of p21, without affecting apoptosis...... and DNA damage. Stable iPSC lines generated with or without p53 suppression showed comparable expression of pluripotency markers and methylation patterns, displayed normal karyotypes, contained between 0 and 5 genomic copy number variations and produced functional neurons in vitro. In conclusion...
Mechanical response of FFTF reference and P1 cladding tubes under transient heating
International Nuclear Information System (INIS)
Youngahl, C.A.; Ariman, T.; Lepacek, B.E.
1977-01-01
Burst tests of Type 316 stainless steel cladding tube samples subjected to increasing temperature and relatively constant internal pressure were conducted to assist in the pretest analysis of the P1 experiment performed in the Sodium Loop Safety Facility. This paper reports and analyzes the burst test results and those of subsequent transient heating work. The use of a modified extensometer in obtaining mechanical response data for stainless steel in the high temperature range is illustrated, some of such data is provided, and the potential of further experiments and analysis is indicated. Tubing of the same design as Fast Flux Test Facility (FFTF) cladding (20% cold worked, 0.230 in. OD, 15 mil wall) was tested as-received and after annealing or electrolytic thinning. P1 tubing (38% cold worked, 0.230 in. OD, 10 mil wall) was tested before and after aging under conditions anticipated in the P1 reactor experiment. The P1 cladding was designed to simulate FFTF tubing that had experienced irradiation embrittlement and attack by cesium oxide and sodium impurities
International Nuclear Information System (INIS)
Pacifico, Nicola; Dolenc Kittelmann, Irena; Fahrer, Manuel; Moll, Michael; Militaru, Otilia
2011-01-01
Transient Current Technique (TCT) and Charge Collection Efficiency (CCE) measurements were performed on low resistivity (280Ωcm) n-bulk, p-readout magnetic Czochralski ministrip sensors and diodes. The detectors were irradiated with neutrons and 24 GeV/c protons up to a total NIEL equivalent fluence of 8×10 15 /cm 2 . The study was addressed to assess the radiation tolerance of the detectors up to fluences expected in the next generations of High Energy Physics experiments. The charge collection efficiency after irradiation was found to be much higher than for standard FZ silicon p-in-n sensors. The underlying physics of this remarkable result was investigated by performing Edge-TCT measurements on one of the neutron irradiated ministrip sensors to extract detailed informations about the field and efficiency profiles of the detector.
CELULE FOTOVOLTAICE CU HETEROJONCŢIUNEA nCdS-pInP
Directory of Open Access Journals (Sweden)
Vasile BOTNARIUC
2015-12-01
Full Text Available Au fost studiate proprietăţile electrice şi fotoelectrice ale heterojoncţiunilor nCdS-pInP cu şi fără strat epitaxial inter-mediar poInP. S-a stabilit că la polarizări directe în mecanismul de transport al curentului predomină procesele de recom-binare în regiunea de sarcină spaţială. La polarizări inverse predomină procesele de tunelare. Prezenţa stratului epitaxial poInP depus repetat măreşte ISC până la 28,2 mA·cm-2, UCD până la 0,780 V, iar eficienţa conversiei energiei până la 15% la 300 K şi iluminare 100 mW/cm2. Fotosensibilitatea CF nCdS-poInP-pInP corespunde intervalului λ=550...950 nm cu un maximum plat localizat în intervalul λ=700...850 nm.HETEROJONCTION nCdS–pInP FOTOVOLTAIC CELLSElectrical and photoelectrical properties of nCdS-pInP hetero-junctions with and without intermediate poInP epitaxial layer were studied. It was established that the current flow mechanism at direct biases is determined mainly by the recombi-nation processes in the space charge region of the junction. At the reverse biases the tunneling processes are predominant. The presence of poInP layer leads to the photo-electrical parameters enhancing of hetero-junction: short circuit current increases up to 28,2 mA·cm -2, open circuit voltage up to 0,780V and the efficiency of solar energy conversion up to 15 % (at 300 K and illumination of 100mw/cm2. The photo-sensitivity of nCdS- poInP -pInP is in the wavelength region of λ= 550-950nm with a maximum localized to λ=700-850nm.
Annealed n-vector p spin model
International Nuclear Information System (INIS)
Taucher, T.; Frankel, N.E.
1992-01-01
A disordered n-vector model with p spin interactions is introduced and studied in mean field theory for the annealed case. The complete solutions for the cases n = 2 and n = 3, is presented and explicit order parameter equations is given for all the stable solutions for arbitrary n. For all n and p was found on stable high temperature phase and one stable low temperature phase. The phase transition is of first order. For n = 2, it is continuous in the order parameters for p ≤ 4 and has a jump discontinuity in the order parameters if p > 4. For n = 3, it has a jump discontinuity in the order parameters for all p. 11 refs., 4 figs
Electronic transmission through p-n and n-p-n junctions of graphene
Energy Technology Data Exchange (ETDEWEB)
Setare, M R [Department of Science of Bijar, University of Kurdistan, Bijar (Iran, Islamic Republic of); Jahani, D, E-mail: rezakord@ipm.co, E-mail: Dariush110@gmail.co [Department of Physics, Razi University, Kermanshah (Iran, Islamic Republic of)
2010-06-23
In this paper, we first evaluate the electronic transmission of Dirac fermions into a p-n junction of gapped graphene and show that the final result depends on the sign of the refractive index, n. We also, by considering the appropriate wavefunctions in the region of the electrostatic potential, show that both transmission and the reflection probability turn out to be positive and less than unity instead of the negative transmission and higher than unity reflection coefficient commonly referred to as the Klein paradox. We then obtain the transmission probability corresponding to a special p-n junction for which there exists a region in which the low energy excitations of graphene acquire a finite mass and, interestingly, find that in this case the transmission is independent of the index of refraction, in contrast with the corresponding result for gapped graphene. We then discuss the validity of the solutions reported in some of the papers cited in this work which, considering the Buettiker formula, turn out to lead to the wrong results for conductivity.
Directory of Open Access Journals (Sweden)
Yandi Anibal Gallego Landera
2015-04-01
Full Text Available En plantas generadoras y en subestaciones se requieren sistemas de puesta a tierra (SPT espaciosos, con configuraciones complejas como parte del sistema de protección contra rayos. Las corrientes provocadas por una descarga atmosférica pueden causar altas tensiones en los cables de señales ubicados cerca de los SPT. Estas tensiones transitorias pueden causar falsas señales, las cuales provocan un mal funcionamiento de la instrumentación electrónica. Determinar medidas de protección contra rayos requiere del conocimiento de la máxima elevación de la tensión entre el SPT y la tierra remota. El presente trabajo propone una metodología para analizar el comportamiento transitorio de redes de tierra. La técnica se basa en considerar los diferentes segmentos de la malla de tierra como elementos de líneas de transmisión. Para desarrollar esta metodología se utilizó el software MATLAB-Simulink. Los resultados se validan por comparación con modelos basados en la teoría del campo electromagnético publicados en la literatura. In generating plants and substations spacious grounding systems with complex configuration as part of the protection system against lighting, are required. The current caused by an atmospheric discharge can cause high voltages in the signal cables located near the grounding systems. These transient voltages may be the reason for the occurrence of false signals, which can cause malfunctioning and of electronic instruments. The knowledge of maximum elevation of voltage between the grounding systems and the remote ground is required to determine protection against lightning. This paper proposes a methodology to analyze the transient behavior of grounding grid. The technique is based on considering the different segments of the ground grid as elements of transmission lines. For modeling the grounding systems behavior in its transient state faster, the MATLAB-Simulink software was used. The results were validated by
Ultrafast carrier dynamics in a p-type GaN wafer under different carrier distributions
Fang, Yu; Yang, Junyi; Yang, Yong; Wu, Xingzhi; Xiao, Zhengguo; Zhou, Feng; Song, Yinglin
2016-02-01
The dependence of the carrier distribution on photoexcited carrier dynamics in a p-type Mg-doped GaN (GaN:Mg) wafer were systematically measured by femtosecond transient absorption (TA) spectroscopy. The homogeneity of the carrier distribution was modified by tuning the wavelength of the UV pulse excitation around the band gap of GaN:Mg. The TA kinetics appeared to be biexponential for all carrier distributions, and only the slower component decayed faster as the inhomogeneity of the carrier distribution increased. It was concluded that the faster component (50-70 ps) corresponded to the trap process of holes by the Mg acceptors, and the slower component (150-600 ps) corresponded to the combination of non-radiative surface recombination and intrinsic carrier recombination via dislocations. Moreover, the slower component increased gradually with the incident fluence due to the saturation of surface states.
Directory of Open Access Journals (Sweden)
Selvaraju Kanagarajan
Full Text Available The influenza A virus is of global concern for the poultry industry, especially the H5 and H7 subtypes as they have the potential to become highly pathogenic for poultry. In this study, the hemagglutinin (HA of a low pathogenic avian influenza virus of the H7N7 subtype isolated from a Swedish mallard Anas platyrhynchos was sequenced, characterized and transiently expressed in Nicotiana benthamiana. Recently, plant expression systems have gained interest as an alternative for the production of vaccine antigens. To examine the possibility of expressing the HA protein in N. benthamiana, a cDNA fragment encoding the HA gene was synthesized de novo, modified with a Kozak sequence, a PR1a signal peptide, a C-terminal hexahistidine (6×His tag, and an endoplasmic retention signal (SEKDEL. The construct was cloned into a Cowpea mosaic virus (CPMV-based vector (pEAQ-HT and the resulting pEAQ-HT-HA plasmid, along with a vector (pJL3:p19 containing the viral gene-silencing suppressor p19 from Tomato bushy stunt virus, was agro-infiltrated into N. benthamiana. The highest gene expression of recombinant plant-produced, uncleaved HA (rHA0, as measured by quantitative real-time PCR was detected at 6 days post infiltration (dpi. Guided by the gene expression profile, rHA0 protein was extracted at 6 dpi and subsequently purified utilizing the 6×His tag and immobilized metal ion adsorption chromatography. The yield was 0.2 g purified protein per kg fresh weight of leaves. Further molecular characterizations showed that the purified rHA0 protein was N-glycosylated and its identity confirmed by liquid chromatography-tandem mass spectrometry. In addition, the purified rHA0 exhibited hemagglutination and hemagglutination inhibition activity indicating that the rHA0 shares structural and functional properties with native HA protein of H7 influenza virus. Our results indicate that rHA0 maintained its native antigenicity and specificity, providing a good source of
Benchmarking theoretical formalisms for (p ,p n ) reactions: The 15C(p ,p n )14C case
Yoshida, K.; Gómez-Ramos, M.; Ogata, K.; Moro, A. M.
2018-02-01
Background: Proton-induced knockout reactions of the form (p ,p N ) have experienced a renewed interest in recent years due to the possibility of performing these measurements with rare isotopes, using inverse kinematics. Several theoretical models are being used for the interpretation of these new data, such as the distorted-wave impulse approximation (DWIA), the transition amplitude formulation of the Faddeev equations due to Alt, Grassberger, and Sandhas (FAGS) and, more recently, a coupled-channels method here referred to as transfer-to-the- continuum (TC). Purpose: Our goal is to compare the momentum distributions calculated with the DWIA and TC models for the same reactions, using whenever possible the same inputs (e.g., distorting potential). A comparison with already published results for the FAGS formalism is performed as well. Method: We choose the 15C(p ,p n )14C reaction at an incident energy of 420 MeV/u, which has been previously studied with the FAGS formalism. The knocked-out neutron is assumed to be in a 2 s single-particle orbital. Longitudinal and transverse momentum distributions are calculated for different assumed separation energies. Results: For all cases considered, we find a very good agreement between DWIA and TC results. The energy dependence of the distorting optical potentials is found to affect in a modest way the shape and magnitude of the momentum distributions. Moreover, when relativistic kinematics corrections are omitted, our calculations reproduce remarkably well the FAGS result. Conclusions: The results found in this work provide confidence on the consistency and accuracy of the DWIA and TC models for analyzing momentum distributions for (p ,p n ) reactions at intermediate energies.
Effects of electron and proton irradiations on n/p and p/n GaAs cells grown by MOCVD
International Nuclear Information System (INIS)
Weinberg, I.; Swartz, C.K.; Hart, R.E. Jr.
1987-01-01
State-of-the-art n/p and p/n heteroface GaAs cells, processed by metal organic chemical vapor deposition, were irradiated by 1 MeV electrons and 37 MeV protons and their performance determined as a function of fluence. It was found that the p/n cells were more radiation resistant than the n/p cells. The increased loss in the n/p cells was attributed to increases in series resistance and losses in the p-region resulting from the irradiation. The greater loss in fill factor observed for the n/p cells introduces the possibility that the presently observed superiority of the p/n cells may not be an intrinsic property of this configuration in GaAs
Directory of Open Access Journals (Sweden)
Franco Valenza
Full Text Available INTRODUCTION: Lactic acidosis is a frequent cause of poor outcome in the intensive care settings. We set up an experimental model of lactic acid infusion in normoxic and normotensive rats to investigate the systemic effects of lactic acidemia per se without the confounding factor of an underlying organic cause of acidosis. METHODOLOGY: Sprague Dawley rats underwent a primed endovenous infusion of L(+ lactic acid during general anesthesia. Normoxic and normotensive animals were then randomized to the following study groups (n = 8 per group: S sustained infusion of lactic acid, S+B sustained infusion+sodium bicarbonate, T transient infusion, T+B transient infusion+sodium bicarbonate. Hemodynamic, respiratory and acid-base parameters were measured over time. Lactate pharmacokinetics and muscle phosphofructokinase enzyme's activity were also measured. PRINCIPAL FINDINGS: Following lactic acid infusion blood lactate rose (P<0.05, pH (P<0.05 and strong ion difference (P<0.05 drop. Some rats developed hemodynamic instability during the primed infusion of lactic acid. In the normoxic and normotensive animals bicarbonate treatment normalized pH during sustained infusion of lactic acid (from 7.22 ± 0.02 to 7.36 ± 0.04, P<0.05 while overshoot to alkalemic values when the infusion was transient (from 7.24 ± 0.01 to 7.53 ± 0.03, P<0.05. When acid load was interrupted bicarbonate infusion affected lactate wash-out kinetics (P<0.05 so that blood lactate was higher (2.9 ± 1 mmol/l vs. 1.0 ± 0.2, P<0.05, group T vs. T+B respectively. The activity of phosphofructokinase enzyme was correlated with blood pH (R2 = 0.475, P<0.05. CONCLUSIONS: pH decreased with acid infusion and rose with bicarbonate administration but the effects of bicarbonate infusion on pH differed under a persistent or transient acid load. Alkalization affected the rate of lactate disposal during the transient acid load.
Study of transient current induced by heavy-ion microbeams in Si and GaAs
Energy Technology Data Exchange (ETDEWEB)
Hirao, Toshio; Nashiyama, Isamu; Kamiya, Tomihiro; Suda, Tamotu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment
1997-03-01
Heavy-ion microbeams were applied to the study of mechanism of single event upset (SEU). Transient current induced in p{sup +}n junction diodes by strike of heavy ion microbeam were measured by using a high-speed digitizing sampling system. (author)
Investigation of p-n-junctions in n-InP based on voltage dependence of differential capacity
International Nuclear Information System (INIS)
Agaev, Ja.; Atabaev, Kh.; Gazakov, O.; Sadykov, K.B.
1976-01-01
The barrier capacity of alloyed p-n transitions on n-InP crystals grown by the crystallization method has been investigated. The transitions have been obtained by fusing In + 3 - 10% Zn. Step-by-step distribution of the impurity concentration in the space charge layer takes place in the alloyed diodes under investigation. The coefficient characterizing the impurity distribution in the space charge layer has been determined. The well-expressed dependence of I/C 2 =f/u) observed both at a room temperature and at the temperature of liquid nitrogen indicates that the density of ground carriers in the p-n regions are constant at a definite distance from the p-n transition. The main parameters of p-n transitions have been determined
Ultrafast Carrier Dynamics Measured by the Transient Change in the Reflectance of InP and GaAs Film
Energy Technology Data Exchange (ETDEWEB)
Klopf, John [Helmholtz Association of German Research Centers, Dresden (Germany)
2005-10-31
Advancements in microfabrication techniques and thin film growth have led to complex integrated photonic devices, also known as optoelectronics. The performance of these devices relies upon precise control of the band gap and optical characteristics of the thin film structures, as well as a fundamental understanding of the photoexcited carrier thermalization, relaxation, and recombination processes. An optical pump-probe technique has been developed to measure the transient behavior of these processes on a sub-picosecond timescale. This method relies upon the generation of hot carriers by theabsorption of an intense ultrashort laser pulse (~ 135 fs). The transient changes in reflectance due to the pump pulse excitation are monitored using a weaker probe pulse. Control of the relative time delay between the pump and probe pulses allows for temporal measurements with resolution limited only by the pulse width. The transient change in reflectance is the result of a transient change in the carrier distribution. Observation of the reflectance response of indium phosphide (InP) and gallium arsenide (GaAs) films on a sub-picosecond timescale allows for detailed examination of thermalization and relaxation processes of the excited carriers. Longer timescales (> 100 ps) are useful for correlating the transient reflectance response to slower processes such as the diffusion and recombination of the photoexcited carriers. This research investigates the transient hot carrier processes in several InP and GaAs based films similar to those commonly used in optoelectronics. This technique is especially important as it provides a non-destructive means of evaluating these materials; whereas much of the research performed in this field has relied upon the measurement of transient changes in the transmission of transparent films. The process of preparing films that are transparent renders them unusable in functioning devices. This research should not only extend the understanding of
Control rod drop transient analysis with the coupled parallel code pCTF-PARCSv2.7
International Nuclear Information System (INIS)
Ramos, Enrique; Roman, Jose E.; Abarca, Agustín; Miró, Rafael; Bermejo, Juan A.
2016-01-01
Highlights: • An MPI parallel version of the thermal–hydraulic subchannel code COBRA-TF has been developed. • The parallel code has been coupled to the 3D neutron diffusion code PARCSv2.7. • The new codes are validated with a control rod drop transient. - Abstract: In order to reduce the response time when simulating large reactors in detail, a parallel version of the thermal–hydraulic subchannel code COBRA-TF (CTF) has been developed using the standard Message Passing Interface (MPI). The parallelization is oriented to reactor cells, so it is best suited for models consisting of many cells. The generation of the Jacobian matrix is parallelized, in such a way that each processor is in charge of generating the data associated with a subset of cells. Also, the solution of the linear system of equations is done in parallel, using the PETSc toolkit. With the goal of creating a powerful tool to simulate the reactor core behavior during asymmetrical transients, the 3D neutron diffusion code PARCSv2.7 (PARCS) has been coupled with the parallel version of CTF (pCTF) using the Parallel Virtual Machine (PVM) technology. In order to validate the correctness of the parallel coupled code, a control rod drop transient has been simulated comparing the results with the real experimental measures acquired during an NPP real test.
Energy Technology Data Exchange (ETDEWEB)
Saha, M.; Sen, S. (Saha Institute of Nuclear Physics, Calcutta 700 064 (India))
1993-02-01
It is shown that the well known phenomenon of the saturation in the [ital B]([ital E]2;0[sub 1][sup +][r arrow]2[sub 1][sup +]), as well as the [ital E][sub 21][sup +] values near midshell in the even rare-earth and actinide nuclei, can be reproduced in the [ital N][sub [ital p]N[ital n
Dark properties and transient current response of Si0.95Ge0.05 n+p devices
International Nuclear Information System (INIS)
Ruzin, Arie; Marunko, S.; Abrosimov, N.V.; Riemann, H.
2004-01-01
In this study we present the dark properties of 'pin' devices fabricated with Czochralski grown Si 0.95 Ge 0.05 bulk single crystals. The growth of such material is most challenging because of the constitutional supercooling effect. The potential advantages of Si 1-x Ge x to be used for X- and gamma-ray detection applications are overviewed. At room temperature the generation current in the devices is too high for spectroscopy applications, but enables transient current technique (TCT) measurements. The current however drops significantly with moderate cooling. The effective majority carrier concentration is shown to be ∼2x10 14 cm -3 , and hole mobility ∼320 cm 2 /V s
Study of J/psi -> p(p)over-bar and J/psi -> n(n)over-bar
Ablikim, M.; Achasov, M. N.; Ambrose, D. J.; An, F. F.; An, Q.; An, Z. H.; Bai, J. Z.; Ban, Y.; Becker, J.; Berger, N.; Bertani, M.; Bian, J. M.; Boger, E.; Bondarenko, O.; Boyko, I.; Briere, R. A.; Bytev, V.; Cai, X.; Calcaterra, A.; Cao, G. F.; Chang, J. F.; Chelkov, G.; Chen, G.; Chen, H. S.; Chen, J. C.; Chen, M. L.; Chen, S. J.; Chen, Y.; Chen, Y. B.; Cheng, H. P.; Chu, Y. P.; Cronin-Hennessy, D.; Dai, H. L.; Dai, J. P.; Dedovich, D.; Deng, Z. Y.; Denig, A.; Denysenko, I.; Destefanis, M.; Ding, W. M.; Ding, Y.; Dong, L. Y.; Dong, M. Y.; Du, S. X.; Fang, J.; Fang, S. S.; Fava, L.; Feldbauer, F.; Feng, C. Q.; Ferroli, R. B.; Fu, C. D.; Fu, J. L.; Gao, Y.; Geng, C.; Goetzen, K.; Gong, W. X.; Gradl, W.; Greco, M.; Gu, M. H.; Gu, Y. T.; Guan, Y. H.; Guo, A. Q.; Guo, L. B.; Guo, Y. P.; Han, Y. L.; Hao, X. Q.; Harris, F. A.; He, K. L.; He, M.; He, Z. Y.; Held, T.; Heng, Y. K.; Hou, Z. L.; Hu, H. M.; Hu, J. F.; Hu, T.; Huang, B.; Huang, G. M.; Huang, J. S.; Huang, X. T.; Huang, Y. P.; Hussain, T.; Ji, C. S.; Ji, Q.; Ji, X. B.; Ji, X. L.; Jia, L. K.; Jiang, L. L.; Jiang, X. S.; Jiao, J. B.; Jiao, Z.; Jin, D. P.; Jin, S.; Jing, F. F.; Kalantar-Nayestanaki, N.; Kavatsyuk, M.; Kuehn, W.; Lai, W.; Lange, J. S.; Leung, J. K. C.; Li, C. H.; Li, Cheng; Li, Cui; Li, D. M.; Li, F.; Li, G.; Li, H. B.; Li, J. C.; Li, K.; Li, Lei; Li, N. B.; Li, Q. J.; Li, S. L.; Li, W. D.; Li, W. G.; Li, X. L.; Li, X. N.; Li, X. Q.; Li, X. R.; Li, Z. B.; Liang, H.; Liang, Y. F.; Liang, Y. T.; Liao, G. R.; Liao, X. T.; Liu, B. J.; Liu, B. J.; Liu, C. L.; Liu, C. X.; Liu, C. Y.; Liu, F. H.; Liu, Fang; Liu, Feng; Liu, H.; Liu, H. B.; Liu, H. H.; Liu, H. M.; Liu, H. W.; Liu, J. P.; Liu, K. Y.; Liu, Kai; Liu, Kun; Liu, P. L.; Liu, S. B.; Liu, X.; Liu, X. H.; Liu, Y.; Liu, Y. B.; Liu, Z. A.; Liu, Zhiqiang; Liu, Zhiqing; Loehner, H.; Lu, G. R.; Lu, H. J.; Lu, J. G.; Lu, Q. W.; Lu, X. R.; Lu, Y. P.; Luo, C. L.; Luo, M. X.; Luo, T.; Luo, X. L.; Lv, M.; Ma, C. L.; Ma, F. C.; Ma, H. L.; Ma, Q. M.; Ma, S.; Ma, T.; Ma, X. Y.; Ma, Y.; Maas, F. E.; Maggiora, M.; Malik, Q. A.; Mao, H.; Mao, Y. J.; Mao, Z. P.; Messchendorp, J. G.; Min, J.; Min, T. J.; Mitchell, R. E.; Mo, X. H.; Morales, C. Morales; Motzko, C.; Muchnoi, N. Yu.; Nefedov, Y.; Nicholson, C.; Nikolaev, I. B.; Ning, Z.; Olsen, S. L.; Ouyang, Q.; Pacetti, S.; Park, J. W.; Pelizaeus, M.; Peters, K.; Ping, J. L.; Ping, R. G.; Poling, R.; Prencipe, E.; Pun, C. S. J.; Qi, M.; Qian, S.; Qiao, C. F.; Qin, X. S.; Qin, Y.; Qin, Z. H.; Qiu, J. F.; Rashid, K. H.; Rong, G.; Ruan, X. D.; Sarantsev, A.; Schulze, J.; Shao, M.; Shen, C. P.; Shen, X. Y.; Sheng, H. Y.; Shepherd, M. R.; Song, X. Y.; Spataro, S.; Spruck, B.; Sun, D. H.; Sun, G. X.; Sun, J. F.; Sun, S. S.; Sun, X. D.; Sun, Y. J.; Sun, Y. Z.; Sun, Z. J.; Sun, Z. T.; Tang, C. J.; Tang, X.; Thorndike, E. H.; Tian, H. L.; Toth, D.; Ullrich, M.; Varner, G. S.; Wang, B.; Wang, B. Q.; Wang, K.; Wang, L. L.; Wang, L. S.; Wang, M.; Wang, P.; Wang, P. L.; Wang, Q.; Wang, Q. J.; Wang, S. G.; Wang, X. F.; Wang, X. L.; Wang, Y. D.; Wang, Y. F.; Wang, Y. Q.; Wang, Z.; Wang, Z. G.; Wang, Z. Y.; Wei, D. H.; Weidenkaff, P.; Wen, Q. G.; Wen, S. P.; Werner, M.; Wiedner, U.; Wu, L. H.; Wu, N.; Wu, S. X.; Wu, W.; Wu, Z.; Xia, L. G.; Xiao, Z. J.; Xie, Y. G.; Xiu, Q. L.; Xu, G. F.; Xu, G. M.; Xu, H.; Xu, Q. J.; Xu, X. P.; Xu, Y.; Xu, Z. R.; Xue, F.; Xue, Z.; Yan, L.; Yan, W. B.; Yan, Y. H.; Yang, H. X.; Yang, T.; Yang, Y.; Yang, Y. X.; Ye, H.; Ye, M.; Ye, M. H.; Yu, B. X.; Yu, C. X.; Yu, J. S.; Yu, S. P.; Yuan, C. Z.; Yuan, W. L.; Yuan, Y.; Zafar, A. A.; Zallo, A.; Zeng, Y.; Zhang, B. X.; Zhang, B. Y.; Zhang, C. C.; Zhang, D. H.; Zhang, H. H.; Zhang, H. Y.; Zhang, J.; Zhang, J. G.; Zhang, J. Q.; Zhang, J. W.; Zhang, J. Y.; Zhang, J. Z.; Zhang, L.; Zhang, S. H.; Zhang, T. R.; Zhang, X. J.; Zhang, X. Y.; Zhang, Y.; Zhang, Y. H.; Zhang, Y. S.; Zhang, Z. P.; Zhang, Z. Y.; Zhao, G.; Zhao, H. S.; Zhao, J. W.; Zhao, K. X.; Zhao, Lei; Zhao, Ling; Zhao, M. G.; Zhao, Q.; Zhao, S. J.; Zhao, T. C.; Zhao, X. H.; Zhao, Y. B.; Zhao, Z. G.; Zhemchugov, A.; Zheng, B.; Zheng, J. P.; Zheng, Y. H.; Zheng, Z. P.; Zhong, B.; Zhong, J.; Zhou, L.; Zhou, X. K.; Zhou, X. R.; Zhu, C.; Zhu, K.; Zhu, K. J.; Zhu, S. H.; Zhu, X. L.; Zhu, X. W.; Zhu, Y. M.; Zhu, Y. S.; Zhu, Z. A.; Zhuang, J.; Zou, B. S.; Zou, J. H.; Zuo, J. X.
2012-01-01
The decays J/psi -> p (p) over bar and J/psi -> n (n) over bar have been investigated with a sample of 225.2 x 10(6) J/psi events collected with the BESIII detector at the BEPCII e(+)e(-) collider. The branching fractions are determined to be B(J/psi -> p (p) over bar) = (2.112 +/- 0.004 +/- 0.031 x
Energy Technology Data Exchange (ETDEWEB)
Pacifico, Nicola, E-mail: nicola.pacifico@cern.ch [CERN, Geneva (Switzerland); Dolenc Kittelmann, Irena; Fahrer, Manuel; Moll, Michael [CERN, Geneva (Switzerland); Militaru, Otilia [UCL, Louvain (Belgium)
2011-12-01
Transient Current Technique (TCT) and Charge Collection Efficiency (CCE) measurements were performed on low resistivity (280{Omega}cm) n-bulk, p-readout magnetic Czochralski ministrip sensors and diodes. The detectors were irradiated with neutrons and 24 GeV/c protons up to a total NIEL equivalent fluence of 8 Multiplication-Sign 10{sup 15}/cm{sup 2}. The study was addressed to assess the radiation tolerance of the detectors up to fluences expected in the next generations of High Energy Physics experiments. The charge collection efficiency after irradiation was found to be much higher than for standard FZ silicon p-in-n sensors. The underlying physics of this remarkable result was investigated by performing Edge-TCT measurements on one of the neutron irradiated ministrip sensors to extract detailed informations about the field and efficiency profiles of the detector.
P-GaN/ZnO nanorod heterojunction LEDs—effect of carrier concentration in p-GaN
Ng, A. M. C.; Chen, X. Y.; Fang, F.; Djurišić, A. B.; Chan, W. K.; Cheah, K. W.
2011-12-01
We studied the effect of carrier concentration in p-GaN substrate on the performance of p-GaN/n-ZnO nanorod heterojunction LEDs. ZnO nanorods were electrodeposited on commercial p-GaN wafers in a two electrode system from aqueous solutions of zinc nitrate and hexamethylenetetramine. The morphology and optical properties of ZnO nanorods were studied using photoluminescence and electron microscopy, and the LED device performance was studied by electroluminescence (EL) and I-V measurements.
International Nuclear Information System (INIS)
Zolotarev, K.I.
2008-08-01
Re-evaluations of cross sections and their associated covariance matrices have been carried out for ten dosimetry reactions: - excitation functions for the 63 Cu(n,2n) 62 Cu, 65 Cu(n,2n) 64 Cu, 64 Zn(n,p) 64 Cu, 115 In(n,2n) 114m In and 199 Hg(n,n') 199m Hg reactions were re-evaluated over the neutron energy range from threshold to 20 MeV; - excitation functions for the 24 Mg(n,p) 24 Na, 32 S(n,p) 32 P and 60 Ni(n,p) 60m+g Co were reevaluated in the energy range from threshold to 21 MeV; - excitation functions for the 127 I(n,2n) 126 I and 197 Au(n,2n) 196 Au reactions were reevaluated in the energy range from threshold to 32 and 40 MeV, respectively. Benchmark calculations performed for 235 U thermal fission and 252 Cf spontaneous fission neutron spectra show that the integral cross sections derived from the newly evaluated excitation functions exhibit improved agreement with related experimental data when compared with the equivalent data from the IRDF-2002 library. (author)
Systematics in p-n interaction vs deformation
International Nuclear Information System (INIS)
Singh, M.; Singh, Yuvraj; Kumar, Rajesh; Vrshney, A.K.; Gupta, K.K.
2017-01-01
The correlation of integrated valance p-n interaction in the onset of collectivity and deformation has been described phenomenologically in terms of N p N n scheme. L. Esser et al. presented the graphs between N p N n and deformation β and γ for some heavy nuclei
Multiplication in Silicon p-n Junctions
DEFF Research Database (Denmark)
Moll, John L.
1965-01-01
Multiplication values were measured in the collector junctions of silicon p-n-p and n-p-n transistors before and after bombardment by 1016 neutrons/cm2. Within experimental error there was no change either in junction fields, as deduced from capacitance measurements, or in multiplication values i...
(p, p') and (p, n) reactions on halo nuclei
International Nuclear Information System (INIS)
Satou, Y; Nakamura, T; Fukuda, N; Sugimoto, T; Kondo, Y; Matsui, N; Hashimoto, Y; Nakabayashi, T; Okumura, T; Shinohara, M; Motobayashi, T; Yanagisawa, Y; Aoi, N; Takeuchi, S; Gomi, T; Togano, Y; Kawai, S; Sakurai, H; Ong, H J; Onishi, T K; Shimoura, S; Tamaki, M; Kobayashi, T; Otsu, H; Matsuda, Y; Endo, N; Kitayama, M; Ishihara, M
2006-01-01
We have measured the 1 H( 19 C, 18 C+n) 1 H and 1 H( 14 Be, 13 B+n)n reactions at 70 MeV/nucleon using the invariant mass method in inverse kinematics. A new state was identified at 1.49 MeV in 19 C. In the latter (p, n) reaction, a resonance peak corresponding to the 1.28 MeV state in 14 B recently reported in a beta-decay study was clearly observed. Forward peaked character in the differential cross section supports the 1 + assignment for this state
Transient performance of EBR-II driver fuel
International Nuclear Information System (INIS)
Buzzell, J.A.; Hudman, G.D.; Porter, D.L.
1981-01-01
The first phases of qualification of the EBR-II driver fuel for repeated transient overpower operation have recently been completed. The accomplishments include prediction of the transient fuel and cladding performance through ex-core testing and fuel-element modeling studies, localized in-core power testing during steady-state operation, and whole-core multiple transient testing. The metallic driver fuel successfully survived 56 transients, spaced over a 45-day period, with power increases of approx. 160% at rates of approx. 1%/s with a 720-second hold at full power. The performance results obtained from both ex-core and n-core tests indicate that the fuel is capable of repeated transient operation
Optimization and utilization of Agrobacterium-mediated transient protein production in Nicotiana.
Shamloul, Moneim; Trusa, Jason; Mett, Vadim; Yusibov, Vidadi
2014-04-19
Agrobacterium-mediated transient protein production in plants is a promising approach to produce vaccine antigens and therapeutic proteins within a short period of time. However, this technology is only just beginning to be applied to large-scale production as many technological obstacles to scale up are now being overcome. Here, we demonstrate a simple and reproducible method for industrial-scale transient protein production based on vacuum infiltration of Nicotiana plants with Agrobacteria carrying launch vectors. Optimization of Agrobacterium cultivation in AB medium allows direct dilution of the bacterial culture in Milli-Q water, simplifying the infiltration process. Among three tested species of Nicotiana, N. excelsiana (N. benthamiana × N. excelsior) was selected as the most promising host due to the ease of infiltration, high level of reporter protein production, and about two-fold higher biomass production under controlled environmental conditions. Induction of Agrobacterium harboring pBID4-GFP (Tobacco mosaic virus-based) using chemicals such as acetosyringone and monosaccharide had no effect on the protein production level. Infiltrating plant under 50 to 100 mbar for 30 or 60 sec resulted in about 95% infiltration of plant leaf tissues. Infiltration with Agrobacterium laboratory strain GV3101 showed the highest protein production compared to Agrobacteria laboratory strains LBA4404 and C58C1 and wild-type Agrobacteria strains at6, at10, at77 and A4. Co-expression of a viral RNA silencing suppressor, p23 or p19, in N. benthamiana resulted in earlier accumulation and increased production (15-25%) of target protein (influenza virus hemagglutinin).
Energy Technology Data Exchange (ETDEWEB)
Kyoung-Shin Choi
2013-06-30
The major goal of our research was to gain the ability in electrochemical synthesis to precisely control compositions and morphologies of various oxide-based polycrystalline photoelectrodes in order to establish the composition-morphology-photoelectrochemical property relationships while discovering highly efficient photoelectrode systems for use in solar energy conversion. Major achievements include: development of porous n-type BiVO{sub 4} photoanode for efficient and stable solar water oxidation; development of p-type CuFeO{sub 2} photocathode for solar hydrogen production; and junction studies on electrochemically fabricated p-n Cu{sub 2}O homojunction solar cells for efficiency enhancement.
International Nuclear Information System (INIS)
Bonetti, R.; Milazzo, L.C.; Melanotte, M.
1983-01-01
A number of (p,n), (n,p), and ( 3 He, p) reactions have been interpreted on the basis of the statistical multistep compound emission mechanism. Good agreement with experiment is found both in spectrum shape and in the value of the coherence widths
International Nuclear Information System (INIS)
Zolotarev, K.I.; Zolotarev, P.K.
2013-12-01
Cross section data for the 54 Fe(n,p) 54 Mn, 58 Ni(n,2n) 57 Ni, 67 Zn(n,p) 67 Cu, 92 Mo(n,p) 92m Nb, 93 Nb(n,γ) 94 Nb, 113 In(n,n') 113m In, 115 In(n,γ) 116m In, 169 Tm(n,3n) 167 Tm reactions are needed to solve a wide spectrum of scientific and technical tasks. Activation detectors based on these reactions may be used in the field of reactor dosimetry. Furthermore, the 54 Fe(n,p) 54 Mn reaction is often used in experimental nuclear physics as a monitor reaction for measurements of unknown cross sections by means of the activation method over the neutron energy range from 5 to 15 MeV. The 93 Nb(n,γ) 94 Nb reaction is also very promising for using in retrospective neutron dosimetry for determination of total neutron fluence during a campaign of a reactor. In the existing version of the International Reactor Dosimetry File and the new extended version named as IRDFF data for excitation functions of 67 Zn(n,p) 67 Cu, 92 Mo(n,p) 92m Nb, 113 In(n,n') 113m In, and 169 Tm(n,3n) 167 Tm reactions are absent. Data for these reactions are also absent in the JENDL/D-99 dosimetry file. Excitation functions of 67 Zn(n,p) 67 Cu and 169 Tm(n,3n) 167 Tm are presented in the TENDL-2012, EAF-2010, JENDL-4.0, JEFF-3.1/A, MENDL-2 libraries. Cross section data for the 67 Zn(n,p) 67 Cu reaction up to 20 MeV are given also in the JENDL/HE-2007 library. Excitation functions of the 92 Mo(n,p) 92m Nb and 113 In(n,n') 113m In reactions are evaluated in the EAF-2010 and JEFF-3.1/A libraries. Cross section data for the 113 In(n,n') 113m In reaction are given also in the TENDL-2010 library. It is necessary to note that neutron data in the JEFF-3.1/A and JENDL-4.0 libraries were evaluated up to 20 MeV. Neutron data in the TENDL-2012, EAF-2010, MENDL-2 and TENDL-2010 libraries had been evaluated up to 30 MeV, 60 MeV, 100 MeV and 200 MeV, respectively. Neutron cross sections in the MENDL-2, TENDL-2010 and TENDL-2012 libraries had been obtained on the basis of pure theoretical model calculations
(p,n) and (n,p) reactions as probes of isovector giant monopole resonances
International Nuclear Information System (INIS)
Auerbach, N.; Bowman, J.D.; Franey, M.A.; Love, W.G.
1983-01-01
Nucleon charge exchange reactions are explored as prospective probes of isovector giant monopole resonances. Using charge exchange transition densities based on random-phase approximation sum rules, distorted wave impulse approximation calculations are made for the (p,n) and (n,p) reactions exciting the isovector giant monopole resonances in several nuclei at bombarding energies of 120 and 800 MeV. Based on our calculations, the charge exchange reactions at 800 MeV appear more promising
International Nuclear Information System (INIS)
Tatekawa, Takayuki
2014-01-01
We study the initial conditions for cosmological N-body simulations for precision cosmology. In general, Zel'dovich approximation has been applied for the initial conditions of N-body simulations for a long time. These initial conditions provide incorrect higher-order growth. These error caused by setting up the initial conditions by perturbation theory is called transients. We investigated the impact of transient on non-Gaussianity of density field by performing cosmological N-body simulations with initial conditions based on first-, second-, and third-order Lagrangian perturbation theory in previous paper. In this paper, we evaluates the effect of the transverse mode in the third-order Lagrangian perturbation theory for several statistical quantities such as power spectrum and non-Gaussianty. Then we clarified that the effect of the transverse mode in the third-order Lagrangian perturbation theory is quite small
Messenger, S. R.; Walters, R. J.; Summers, G. P.
1993-01-01
Deep level transient spectroscopy was used to monitor thermal annealing of trapping centers in electron irradiated n(+)p InP junctions grown by metalorganic chemical vapor deposition, at temperatures ranging from 500 up to 650K. Special emphasis is given to the behavior of the minority carrier (electron) traps EA (0.24 eV), EC (0.12 eV), and ED (0.31 eV) which have received considerably less attention than the majority carrier (hole) traps H3, H4, and H5, although this work does extend the annealing behavior of the hole traps to higher temperatures than previously reported. It is found that H5 begins to anneal above 500K and is completely removed by 630K. The electron traps begin to anneal above 540K and are reduced to about half intensity by 630K. Although they each have slightly different annealing temperatures, EA, EC, and ED are all removed by 650K. A new hole trap called H3'(0.33 eV) grows as the other traps anneal and is the only trap remaining at 650K. This annealing behavior is much different than that reported for diffused junctions.
Energy Technology Data Exchange (ETDEWEB)
Bhattacherjee, Aditi, E-mail: abhattacherjee@berkeley.edu, E-mail: andrewattar@berkeley.edu; Attar, Andrew R., E-mail: abhattacherjee@berkeley.edu, E-mail: andrewattar@berkeley.edu [Department of Chemistry, University of California, Berkeley, California 94720 (United States); Chemical Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Leone, Stephen R., E-mail: srl@berkeley.edu [Department of Chemistry, University of California, Berkeley, California 94720 (United States); Chemical Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Department of Physics, University of California, Berkeley, California 94720 (United States)
2016-03-28
Femtosecond extreme ultraviolet (XUV) transient absorption spectroscopy based on a high-harmonic generation source is used to study the 266 nm induced A-band photodissociation dynamics of allyl iodide (CH{sub 2} =CHCH{sub 2}I). The photolysis of the C—I bond at this wavelength produces iodine atoms both in the ground ({sup 2}P{sub 3/2}, I) and spin-orbit excited ({sup 2}P{sub 1/2}, I*) states, with the latter as the predominant channel. Using XUV absorption at the iodine N{sub 4/5} edge (45–60 eV), the experiments constitute a direct probe of not only the long-lived atomic iodine reaction products but also the fleeting transition state region of the repulsive n{sub I}σ{sup ∗}{sub C—I} excited states. Specifically, three distinct features are identified in the XUV transient absorption spectrum at 45.3 eV, 47.4 eV, and 48.4 eV (denoted transients A, B, and C, respectively), which arise from the repulsive valence-excited nσ{sup ∗} states and project onto the high-lying core-excited states of the dissociating molecule via excitation of 4d(I) core electrons. Transients A and B originate from 4d(I) → n(I) core-to-valence transitions, whereas transient C is best assigned to a 4d(I) →σ{sup ∗}(C—I) transition. The measured differential absorbance of these new features along with the I/I* branching ratios known from the literature is used to suggest a more definitive assignment, albeit provisional, of the transients to specific dissociative states within the A-band manifold. The transients are found to peak around 55 fs–65 fs and decay completely by 145 fs–185 fs, demonstrating the ability of XUV spectroscopy to map the evolution of reactants into products in real time. The similarity in the energies of transients A and B with analogous features observed in methyl iodide [Attar et al. J. Phys. Chem. Lett. 6, 5072, (2015)] together with the new observation of transient C in the present work provides a more complete picture of the valence electronic
Total (p,n), (p,γ), (p,p'γ) and differential (p,p) cross-sections measurements for /sup 61,64/Ni
International Nuclear Information System (INIS)
Hershberger, R.L.; Gabbard, F.; Laird, C.E.
1985-01-01
Absolute total (p,n) and differential elastic (p,p) cross sections have been measured for /sup 61,64/Ni in the energy range of E/sub p/ = 2 to 7 MeV. The (p,γ) and (p,p'γ) cross sections were measured from as low an energy as feasible to approximately one MeV above the (p,n) threshold. Standard optical potentials have been used with a Hauser-Feshbach model to analyze the data. The adopted model values are used to deduce a total proton strength function which displays features of the 3s single particle resonance
Kruglik, S.; Kruglik, Sergei G.; Ermolenkov, Vladimir V.; Shvedko, Alexander G.; Orlovich, Valentine A.; Galievsky, Victor A.; Chirvony, Vladimir S.; Otto, Cornelis; Turpin, Pierre-Yves
1997-01-01
photoinduced complex between Cu(TMpy-P4) and water molecules, reversibly axially coordinated to the central metal, was observed in picosecond transient absorption and nanosecond resonance Raman experiments. This complex is rapidly created (τ1 = 15 ± 5 ps) in the excited triplet (π, π*) state of
Transient MutS-Based Hypermutation System for Adaptive Evolution of Lactobacillus casei to Low pH.
Overbeck, Tom J; Welker, Dennis L; Hughes, Joanne E; Steele, James L; Broadbent, Jeff R
2017-10-15
This study explored transient inactivation of the gene encoding the DNA mismatch repair enzyme MutS as a tool for adaptive evolution of Lactobacillus casei MutS deletion derivatives of L. casei 12A and ATCC 334 were constructed and subjected to a 100-day adaptive evolution process to increase lactic acid resistance at low pH. Wild-type parental strains were also subjected to this treatment. At the end of the process, the Δ mutS lesion was repaired in representative L. casei 12A and ATCC 334 Δ mutS mutant isolates. Growth studies in broth at pH 4.0 (titrated with lactic acid) showed that all four adapted strains grew more rapidly, to higher cell densities, and produced significantly more lactic acid than untreated wild-type cells. However, the adapted Δ mutS derivative mutants showed the greatest increases in growth and lactic acid production. Further characterization of the L. casei 12A-adapted Δ mutS derivative revealed that it had a significantly smaller cell volume, a rougher cell surface, and significantly better survival at pH 2.5 than parental L. casei 12A. Genome sequence analysis confirmed that transient mutS inactivation decreased DNA replication fidelity in both L. casei strains, and it identified genetic changes that might contribute to the lactic acid-resistant phenotypes of adapted cells. Targeted inactivation of three genes that had acquired nonsense mutations in the adapted L. casei 12A Δ mutS mutant derivative showed that NADH dehydrogenase ( ndh ), phosphate transport ATP-binding protein PstB ( pstB ), and two-component signal transduction system (TCS) quorum-sensing histidine protein kinase ( hpk ) genes act in combination to increase lactic acid resistance in L. casei 12A. IMPORTANCE Adaptive evolution has been applied to microorganisms to increase industrially desirable phenotypes, including acid resistance. We developed a method to increase the adaptability of Lactobacillus casei 12A and ATCC 334 through transient inactivation of the DNA
Single P-N junction tandem photovoltaic device
Walukiewicz, Wladyslaw [Kensington, CA; Ager, III, Joel W.; Yu, Kin Man [Lafayette, CA
2011-10-18
A single P-N junction solar cell is provided having two depletion regions for charge separation while allowing the electrons and holes to recombine such that the voltages associated with both depletion regions of the solar cell will add together. The single p-n junction solar cell includes an alloy of either InGaN or InAlN formed on one side of the P-N junction with Si formed on the other side in order to produce characteristics of a two junction (2J) tandem solar cell through only a single P-N junction. A single P-N junction solar cell having tandem solar cell characteristics will achieve power conversion efficiencies exceeding 30%.
International Nuclear Information System (INIS)
Kaska, Katharina; Moll, Michael; Fahrer, Manuel
2010-01-01
A study on 150μm epitaxial (EPI) n- and p-type silicon diodes irradiated with neutrons up to 8x10 15 n/cm 2 and protons up to 1.7x10 15 p/cm 2 has been performed by means of CV/IV, charge collection efficiency (CCE) and transient current technique (TCT) measurements. It is found that the effective space charge density increases three times faster after proton than after neutron irradiation with a slightly higher effective space charge generation rate for n-type material compared to p-type material. A drop in charge collection efficiency already at fluences of 1x10 12 n eq /cm 2 can be seen in n-type material, but is absent in p-type material. TCT measurements show space charge sign inversion from positive to negative charge in n-type material after neutron irradiation and from negative to positive space charge in p-type material after proton irradiation. No difference was found in the response of diodes manufactured by different producers out of the same wafer material.
Tumor-promoting phorbol ester transiently down-modulates the p53 level and blocks the cell cycle
DEFF Research Database (Denmark)
Skouv, J.; Jensen, P O; Forchhammer, J
1994-01-01
Activation of the protein kinase C signaling pathway by tumor-promoting phorbol esters, such as 4 beta-phorbol 12-myristate 13-acetate (PMA), induced a decrease in the level of p53 mRNA in several serum-starved human cell lines. Also, the tumor-promoting phosphatase inhibitor okadaic acid induced...... a decrease in the p53 mRNA level in the cell lines. Normal diploid as well as various tumor cell lines were tested. Two tumor cell lines, HeLa and A549, both containing the wild-type p53 gene, but very different levels of p53 protein, were studied in detail. In both cell lines, the level of p53 m......RNA was minimal after 9 h of exposure to PMA. After approximately 120 h, the p53 mRNA level was similar to the pretreatment level. PMA induced a similar transient decrease in the level of p53 protein in the A549 cell line. The decrease in the p53 mRNA level could not be explained by changes in the transcriptional...
Furusawa, Hiroyuki; Takano, Hiroki; Okahata, Yoshio
2008-02-15
pH-Dependent kinetic parameters (k(on), k(off), and k(cat)) of protein (myoglobin) hydrolyses catalyzed by exo-enzyme (carboxypeptidase P, CPP) were obtained by using a protein-immobilized quartz crystal microbalance (QCM) in acidic aqueous solutions. The formation of the enzyme-substrate (ES) complex (k(on)), the decay of the ES complex (k(off)), and the formation of the product (k(cat)) could be analyzed by transient kinetics as mass changes on the QCM plate. The Kd (k(off)/k(on)) value was different from the Michaelis constant Km calculated from (k(off) + k(cat))/k(on) due to k(cat) > k(off). The rate-determining step was the binding step (k(on), and the catalytic rate k(cat) was faster than other k(on) and k(off) values. In the range of pH 2.5-5.0, values of k(on) gradually increased with decreasing pH showing a maximum at pH 3.7, values of k(off) were independent of pH, and k(cat) increased gradually with decreasing pH. As a result, the apparent rate constant (k(cat)/Km) showed a maximum at pH 3.7 and gradually increased with decreasing pH. The optimum pH at 3.7 of k(on) is explained by the optimum binding ability of CPP to the COOH terminus of the substrate with hydrogen bonds. The increase of k(cat) at the lower pH correlated with the decrease of alpha-helix contents of the myoglobin substrate on the QCM.
Directory of Open Access Journals (Sweden)
Bianco Linda
2009-11-01
Full Text Available Abstract Background In recent years, different HIV antigens have been successfully expressed in plants by either stable transformation or transient expression systems. Among HIV proteins, Nef is considered a promising target for the formulation of a multi-component vaccine due to its implication in the first steps of viral infection. Attempts to express Nef as a single protein product (not fused to a stabilizing protein in transgenic plants resulted in disappointingly low yields (about 0.5% of total soluble protein. In this work we describe a transient expression system based on co-agroinfiltration of plant virus gene silencing suppressor proteins in Nicotiana benthamiana, followed by a two-step affinity purification protocol of plant-derived Nef. Results The effect of three gene silencing viral suppressor proteins (P25 of Potato Virus X, P19 of either Artichoke Mottled Crinckle virus and Tomato Bushy Stunt virus on Nef transient expression yield was evaluated. The P19 protein of Artichoke Mottled Crinckle virus (AMCV-P19 gave the highest expression yield in vacuum co-agroinfiltration experiments reaching 1.3% of total soluble protein, a level almost three times higher than that previously reported in stable transgenic plants. The high yield observed in the co-agroinfiltrated plants was correlated to a remarkable decrease of Nef-specific small interfering RNAs (siRNAs indicating an effective modulation of RNA silencing mechanisms by AMCV-P19. Interestingly, we also showed that expression levels in top leaves of vacuum co-agroinfiltrated plants were noticeably reduced compared to bottom leaves. Moreover, purification of Nef from agroinfiltrated tissue was achieved by a two-step immobilized metal ion affinity chromatography protocol with yields of 250 ng/g of fresh tissue. Conclusion We demonstrated that expression level of HIV-1 Nef in plant can be improved using a transient expression system enhanced by the AMCV-P19 gene silencing suppressor
Liñán-Rico, A; Wunderlich, J E; Enneking, J T; Tso, D R; Grants, I; Williams, K C; Otey, A; Michel, K; Schemann, M; Needleman, B; Harzman, A; Christofi, F L
2015-08-01
The role of purinergic signaling in human ENS is not well understood. We sought to further characterize the neuropharmacology of purinergic receptors in human ENS and test the hypothesis that endogenous purines are critical regulators of neurotransmission. LSCM-Fluo-4/(Ca(2+))-imaging of postsynaptic Ca(2+) transients (PSCaTs) was used as a reporter of synaptic transmission evoked by fiber tract electrical stimulation in human SMP surgical preparations. Pharmacological analysis of purinergic signaling was done in 1,556 neurons (identified by HuC/D-immunoreactivity) in 235 ganglia from 107 patients; P2XR-immunoreactivity was evaluated in 19 patients. Real-time MSORT (Di-8-ANEPPS) imaging tested effects of adenosine on fast excitatory synaptic potentials (fEPSPs). Synaptic transmission is sensitive to pharmacological manipulations that alter accumulation of extracellular purines: Apyrase blocks PSCaTs in a majority of neurons. An ecto-NTPDase-inhibitor 6-N,N-diethyl-D-β,γ-dibromomethyleneATP or adenosine deaminase augments PSCaTs. Blockade of reuptake/deamination of eADO inhibits PSCaTs. Adenosine inhibits fEPSPs and PSCaTs (IC50 = 25 µM), sensitive to MRS1220-antagonism (A3AR). A P2Y agonist ADPβS inhibits PSCaTs (IC50 = 111 nM) in neurons without stimulatory ADPbS responses (EC50 = 960 nM). ATP or a P2X1,2,2/3 (α,β-MeATP) agonist evokes fast, slow, biphasic Ca(2+) transients or Ca(2+) oscillations (ATP,EC50 = 400 mM). PSCaTs are sensitive to P2X1 antagonist NF279. Low (20 nM) or high (5 µM) concentrations of P2X antagonist TNP-ATP block PSCaTs in different neurons; proportions of neurons with P2XR-immunoreactivity follow the order P2X2 > P2X1 > P2X3; P2X1 + P2X2 and P2X3 + P2X2 are co-localized. RT-PCR identified mRNA-transcripts for P2X1-7, P2Y1,2,12-14R. Purines are critical regulators of neurotransmission in human ENS. Purinergic signaling involves P2X1, P2X2, P2X3 channels, P2X1 + P2X2 co-localization and inhibitory P2Y or A3 receptors. These are
Searching for MHz Transients with the VLA Low-band Ionosphere and Transient Experiment (VLITE)
Polisensky, Emil; Peters, Wendy; Giacintucci, Simona; Clarke, Tracy; Kassim, Namir E.; hyman, Scott D.; van der Horst, Alexander; Linford, Justin; Waldron, Zach; Frail, Dale
2018-01-01
NRL and NRAO have expanded the low frequency capabilities of the VLA through the VLA Low-band Ionosphere and Transient Experiment (VLITE, http://vlite.nrao.edu/ ), effectively making the instrument two telescopes in one. VLITE is a commensal observing system that harvests data from the prime focus in parallel with normal Cassegrain focus observing on a subset of VLA antennas. VLITE provides over 6000 observing hours per year in a > 5 square degree field-of-view using 64 MHz bandwidth centered on 352 MHz. By operating in parallel, VLITE offers invaluable low frequency data to targeted observations of transient sources detected at higher frequencies. With arcsec resolution and mJy sensitivity, VLITE additionally offers great potential for blind searches of rarer radio-selected transients. We use catalog matching software on the imaging products from the daily astrophysics pipeline and the LOFAR Transients Pipeline (TraP) on repeated observations of the same fields to search for coherent and incoherent astronomical transients on timescales of a few seconds to years. We present the current status of the VLITE transient science program from its initial deployment on 10 antennas in November 2014 through its expansion to 16 antennas in the summer of 2017. Transient limits from VLITE’s first year of operation (Polisensky et al. 2016) are updated per the most recent analysis.
Stupica, John; Goradia, Chandra; Swartz, Clifford K.; Weinberg, Irving
1987-01-01
Two lithium-counterdoped n+p silicon solar cells with different lithium concentrations were irradiated by 10-MeV protons. Cell performance was measured as a function of fluence, and it was found that the cell with the highest concentration of lithium had the highest radiation resistance. Deep level transient spectroscopy which showed two deep level defects that were lithium related. Relating the defect energy levels obtained from this study with those from earlier work using 1-MeV electron irradiation shows no correlation of the defect energy levels. There is one marked similarity: the absence of the boron-interstitial-oxygen-interstitial defect. This consistency strengthens the belief that lithium interacts with oxygen to prevent the formation of the boron interstitial-oxygen interstitial defect. The results indicate that, in general, addition of lithium in small amounts to the p-base of a boron doped silicon solar cell such that the base remains p-type, tends to increase the radiation resistance of the cell.
Cross sections for the (n,p), (n,α) and (n,2n) threshold reactions
International Nuclear Information System (INIS)
Bychkov, V.M.; Manokhin, V.N.; Pashchenko, A.B.; Plyaskin, V.I.
1980-07-01
The (n,p), (n,α), (n,2n) threshold reactions are reviewed for nuclei with Z>=20 in the neutron energy range from the threshold up to 20MeV. The review consists of four parts. In the first part the theoretical methods of cross-section calculations and the empirical or semi-empirical systematics are considered. In the second, third and fourth parts the experimental data compilations on the (n,p), (n,α) and (n,2n) excitation functions are given. The recommended values of cross-sections at 14.5MeV neutron energy are given in tables. For some isotopes the recommended curves of excitation functions also are given in graphs. (author)
Optoelectronic properties of p-diamond/n-GaN nanowire heterojunctions
International Nuclear Information System (INIS)
Schuster, Fabian; Hetzl, Martin; Weiszer, Saskia; Garrido, Jose A.; Stutzmann, Martin; Wolfer, Marco; Nebel, Christoph E.; Kato, Hiromitsu
2015-01-01
In this work, nanodiodes comprised of n-GaN nanowires on p-diamond substrates are investigated. The electric transport properties are discussed on the basis of simulations and determined experimentally for individual p-diamond/n-GaN nanodiodes by applying conductive atomic force microscopy. For low doping concentrations, a high rectification ratio is observed. The fabrication of a prototype nanoLED device on the basis of ensemble nanowire contacts is presented, showing simultaneous electroluminescence in the UV and the green spectral range which can be ascribed to hole injection into the n-GaN nanowires and electron injection into the p-diamond, respectively. In addition, the operation and heat distribution of the nanoLED device are visualized by active thermographic imaging
Directory of Open Access Journals (Sweden)
Wenqing Wu
2015-01-01
Full Text Available This paper analyzes a k-out-of-n:G repairable system with N-policy, repairmen’s multiple synchronous vacations, and redundant dependency. When there is no failed component in the system, the repairmen leave for a vacation, the duration of which follows a phase type distribution. Upon returning from vacation, they should take another vacation if there are less than N failed components waiting in the system. This pattern continues until at least N failed components are waiting. Moreover, the redundant dependency which is a special kind of failure dependency is taken into account in the multicomponent system. Under such assumptions, the availability, the rate of occurrence of failures, and the reliability of the system are derived in transient regime by applying the quasi-birth-and-death process. Furthermore, the Runge-Kutta method is carried out to numerically discuss the time-dependent behavior of the system reliability measures. Finally, a special case of the system is presented to show the validity of our model.
Constitutional SAMD9L mutations cause familial myelodysplastic syndrome and transient monosomy 7.
Pastor, Victor B; Sahoo, Sushree S; Boklan, Jessica; Schwabe, Georg C; Saribeyoglu, Ebru; Strahm, Brigitte; Lebrecht, Dirk; Voss, Matthias; Bryceson, Yenan T; Erlacher, Miriam; Ehninger, Gerhard; Niewisch, Marena; Schlegelberger, Brigitte; Baumann, Irith; Achermann, John C; Shimamura, Akiko; Hochrein, Jochen; Tedgård, Ulf; Nilsson, Lars; Hasle, Henrik; Boerries, Melanie; Busch, Hauke; Niemeyer, Charlotte M; Wlodarski, Marcin W
2018-03-01
Familial myelodysplastic syndromes arise from haploinsufficiency of genes involved in hematopoiesis and are primarily associated with early-onset disease. Here we describe a familial syndrome in seven patients from four unrelated pedigrees presenting with myelodysplastic syndrome and loss of chromosome 7/7q. Their median age at diagnosis was 2.1 years (range, 1-42). All patients presented with thrombocytopenia with or without additional cytopenias and a hypocellular marrow without an increase of blasts. Genomic studies identified constitutional mutations (p.H880Q, p.R986H, p.R986C and p.V1512M) in the SAMD9L gene on 7q21, with decreased allele frequency in hematopoiesis. The non-random loss of mutated SAMD9L alleles was attained via monosomy 7, deletion 7q, UPD7q, or acquired truncating SAMD9L variants p.R1188X and p.S1317RfsX21. Incomplete penetrance was noted in 30% (3/10) of mutation carriers. Long-term observation revealed divergent outcomes with either progression to leukemia and/or accumulation of driver mutations (n=2), persistent monosomy 7 (n=4), and transient monosomy 7 followed by spontaneous recovery with SAMD9L -wildtype UPD7q (n=2). Dysmorphic features or neurological symptoms were absent in our patients, pointing to the notion that myelodysplasia with monosomy 7 can be a sole manifestation of SAMD9L disease. Collectively, our results define a new subtype of familial myelodysplastic syndrome and provide an explanation for the phenomenon of transient monosomy 7. Registered at: www.clinicaltrials.gov; #NCT00047268 . Copyright© 2018 Ferrata Storti Foundation.
International Nuclear Information System (INIS)
Leslie, William D; Levin, Daniel P; Demeter, Sandor J
2007-01-01
Transient arrhythmias can affect transient ischemic dilation (TID) ratios. This study was initiated to evaluate the frequency and effect of normal heart rate change on TID measures in routine clinical practice. Consecutive patients undergoing stress/rest sestamibi gated myocardial perfusion scintigraphy were studied (N = 407). Heart rate at the time of stress and rest imaging were recorded. TID ratios were analyzed in relation to absolute change in heart rate (stress minus rest) for subjects with normal perfusion and systolic function (Group 1, N = 169) and those with abnormalities in perfusion and/or function (Group 2, N = 238). In Group 1, mean TID ratio was inversely correlated with the change in heart rate (r = -0.47, P < 0.0001). For every increase of 10 BPM in heart rate change, the TID ratio decreased by approximately 0.06 (95% confidence interval 0.04–0.07). In Group 2, multiple linear regression demonstrated that the change in heart rate (beta = -0.25, P < 0.0001) and the summed difference score (beta = 0.36, P < 0.0001) were independent predictors of the TID ratio. Normal variation in heart rate between the stress and rest components of myocardial perfusion scans is common and can influence TID ratios in patients with normal and abnormal cardiac scans
Garvey-Kelson mass relations and n-p interaction
International Nuclear Information System (INIS)
Gao Zaochun; Meng Jie; Chen Yongshou
2001-01-01
Two Garvey-Kelson mass relations are found to be directly related to the n-p interaction. In the case of the same nuclear core, the neutron-proton interaction energy between two neutrons and one proton ε 2n-1p is experimentally found to be close to that between one neutron and two protons ε 1n-2p , which is equivalent to the first Garvey-Kelson mass relation. The sum of ε 2n-1p and ε 1n-2p is close to the n-p interaction energy between two neutrons and two protons ε 2n-2p , which leads to the second Garvey-Kelson mass relation. An explanation of these two n-p interaction relations is presented. It is shown that both of these mass relations hold more accurately when the core is an even-even core
An analysis of transient thermal properties for high power GaN-based laser diodes
Energy Technology Data Exchange (ETDEWEB)
Kim, Jae Min; Kim, Seungtaek; Kang, Sung Bok; Kim, Young Jin; Jeong, Hoon; Lee, Kyeongkyun; Kim, Jongseok [Korea Institute of Industrial Technology, 35-3 Hongcheon-Ri, Ipjang-Myeon, Cheonan, Chungnam 331-825 (Korea); Lee, Sangdon; Suh, Dongsik [QSI Co., Ltd., 315-9 Cheonheung-Ri, Sungger-Eup, Cheonan, Chungnam 330-836 (Korea); Yi, Jeong Hoon; Choi, Yoonho; Jung, Seok Gu; Noh, Minsoo [LG Electronics Advanced Research Institute, 16 Woomyeon-Dong, Seocho-Gu, Seoul 137-724 (Korea)
2010-07-15
Thermal properties of 405 nm GaN-based laser diodes were investigated by employing a transient heating response method based on the temperature dependence of diode forward voltage. Thermal resistances of materials consisting of packaged laser diodes were differentiated in transient thermal response curves at a current below threshold current. With a current above threshold current, no significant change in thermal resistances and difference between junction-up and junction-down laser diodes was observed at pulses shorter than 3 sec. From an analysis with long current injections, thermal resistance of a packaged laser diode with a junction-up bonding was {proportional_to}45 C/W which was higher than that of a junction-down bonded laser diode by {proportional_to}10 C/W. Further analyses based on parameters obtained from voltage recovery curves indicated that the time constant for cooling is directly related to the thermal resistance and thermal capacitance of a laser diode package. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Homojunction silicon solar cells doping by ion implantation
Milési, Frédéric; Coig, Marianne; Lerat, Jean-François; Desrues, Thibaut; Le Perchec, Jérôme; Lanterne, Adeline; Lachal, Laurent; Mazen, Frédéric
2017-10-01
Production costs and energy efficiency are the main priorities for the photovoltaic (PV) industry (COP21 conclusions). To lower costs and increase efficiency, we are proposing to reduce the number of processing steps involved in the manufacture of N-type Passivated Rear Totally Diffused (PERT) silicon solar cells. Replacing the conventional thermal diffusion doping steps by ion implantation followed by thermal annealing allows reducing the number of steps from 7 to 3 while maintaining similar efficiency. This alternative approach was investigated in the present work. Beamline and plasma immersion ion implantation (BLII and PIII) methods were used to insert n-(phosphorus) and p-type (boron) dopants into the Si substrate. With higher throughput and lower costs, PIII is a better candidate for the photovoltaic industry, compared to BL. However, the optimization of the plasma conditions is demanding and more complex than the beamline approach. Subsequent annealing was performed on selected samples to activate the dopants on both sides of the solar cell. Two annealing methods were investigated: soak and spike thermal annealing. Best performing solar cells, showing a PV efficiency of about 20%, was obtained using spike annealing with adapted ion implantation conditions.
Hypernuclear weak decay experiments at KEK: n-n and n-p coincidence measurement
International Nuclear Information System (INIS)
Outa, H.; Ajimura, S.; Aoki, K.; Banu, A.; Bhang, H.C.; Fukuda, T.; Hashimoto, O.; Hwang, J.I.; Kameoka, S.; Kang, B.H.; Kim, E.H.; Kim, J.H.; Kim, M.J.; Maruta, T.; Miura, Y.; Miyake, Y.; Nagae, T.; Nakamura, M.; Nakamura, S.N.; Noumi, H.; Okada, S.; Okayasu, Y.; Park, H.; Saha, P.K.; Sato, Y.; Sekimoto, M.; Takahashi, T.; Tamura, H.; Tanida, K.; Toyoda, A.; Tsukada, K.; Watanabe, T.; Yim, H.J.
2005-01-01
We performed a coincidence measurement of two nucleons emitted from the nonmesonic weak decay (NMWD) of 5 Λ He and 12 Λ C formed via the (π+,K+) reaction. In both of n+p and n+n pair coincidence spectra, we observed a clean back-to-back correlation coming from the two-body decay of Λp->np and Λn->nn, respectively. We obtained the ratio of the nucleon pair numbers, Nnn/Nnp ( 5 Λ He)=0.45-bar +/--bar 0.11-bar (stat)-bar +/--bar 0.03-bar (syst) in the kinematic region of cosθNN-0.8. Since each decay mode was exclusively detected, the measured ratio should be close to the ratio of Γ(Λp->nn)/Γ(Λn->np). The Γn/Γp ratio was measured also for the NMWD of 12 Λ C. It is also close to 0.5. Those ratios are consistent with recent theoretical calculations based on the heavy meson/direct quark exchange picture
International Nuclear Information System (INIS)
Zolotarev, K.I.
2014-10-01
Cross section data for "2"8Si(n,p)"2"8Al, "3"1P(n,p)"3"1Si and "1"1"3In(n,γ)"1"1"4"mIn reactions are needed for solving a wide spectrum of scientific and technical tasks. The excitation function of "2"8Si(n,p)"2"8Al reaction refers to the nuclear data involved in fusion reactor design calculations. The "2"8Si(n,p)"2"8Al reaction is interesting also as the monitor reaction for measurements at fusion facilities. Activation detectors on the basis of the 31P(n,p)31Si reaction are commonly used in the reactor dosimetry. The "1"1"3In(n,γ)"1"1"4"mIn reaction is promising regarding reactor dosimetry application for two reasons. First, due to the "1"1"4"mIn decay parameters which are rather suitable for activation measurements. Half-life of "1"1"4"mIn is equal to T_1/_2 = (49.51 ± 0.01) days and gamma spectrum accompanying decay has only one line with energy 190.27 keV and intensity (15.56 ± 0.15)%. Second, the "1"1"3In(n,γ)"1"1"4"mIn reaction rate may be measured by using one activation detector simultaneously with the "1"1"5In(n,γ)"1"1"6"mIn reaction. Preliminary analysis of existing evaluated excitation functions for "2"8Si(n,p)"2"8Al, "3"1P(n,p)"3"1Si and "1"1"3In(n,γ)"1"1"4"mIn reactions show that new evaluations are needed for all above mentioned reactions. This report is devoted to the preparation of the new evaluations of cross sections data and related covariance matrixes of uncertainties for the "2"8Si(n,p)"2"8Al, "3"1P(n,p)"3"1Si and "1"1"3In(n,γ)"1"1"4"mIn reactions.
N/sub p/.N/sub n/ systematics and their implications
International Nuclear Information System (INIS)
Casten, R.F.
1985-01-01
A substantial simplification of the systematics in nuclear phase transition regions is obtained if the data are plotted against the product, N/sub p/.N/sub n/, of the number of valence protons and neutrons instead of against N, Z, or A as is usually done. Such a scheme leads to a unified view of nuclear transition regions and to a simplified scheme for collective model calculations. 7 refs., 5 figs
Transient photoconductive gain in a-Si:H devices and its applications in radiation detection
International Nuclear Information System (INIS)
Lee, H.K.; Suh, T.S.; Choe, B.Y.; Shinn, K.S.; Perez-Mendez, V.
1997-01-01
Using the transient behavior of the photoconductive-gain mechanism, a signal gain in radiation detection with a-Si:H devices may be possible. The photoconductive gain mechanism in two types of hydrogenated amorphous silicon devices, p-i-n and n-i-n configurations, was investigated in connection with applications to radiation detection. Photoconductive gain was measured in two time scales: one for short pulses of visible light ( 2 . Various gain results are discussed in terms of the device structure, applied bias and dark-current density. (orig.)
Measurement of the $\\bar{p}p \\rightarrow \\bar{n}n$ Charge-Exchange Differential Cross-Section
2002-01-01
The aim of this proposal is a measurement of the differential cross-section of the $\\bar{p}$p $\\rightarrow$ $\\bar{n}$n charge-exchange reaction with a point-to-point precision of 1\\% in the forward direction, and an absolute normalization error of 3\\%. The high precision of the data should allow, inter alia, a determination of the $\\pi$NN coupling constant to better than 2\\%.\\\\ \\\\ The measurement will be done using the existing neutron and antineutron detectors built for experiment PS199 and liquid hydrogen target. In one week of running time, with a $\\bar{p}$ beam intensity of 3 $ 10 ^{5} $ $\\bar{p}$/sec, the reaction will be measured at a few $\\bar{p}$ momenta, in the range 500 to 900~MeV/c.
The effects of lithium counterdoping on radiation damage and annealing in n(+)p silicon solar cells
Weinberg, I.; Brandhorst, H. W., Jr.; Mehta, S.; Swartz, C. K.
1984-01-01
Boron-doped silicon n(+)p solar cells were counterdoped with lithium by ion implantation and the resultant n(+)p cells irradiated by 1 MeV electrons. Performance parameters were determined as a function of fluence and a deep level transient spectroscopy (DLTS) study was conducted. The lithium counterdoped cells exhibited significantly increased radiation resistance when compared to boron doped control cells. Isochronal annealing studies of cell performance indicate that significant annealing occurs at 100 C. Isochronal annealing of the deep level defects showed a correlation between a single defect at E sub v + 0.43 eV and the annealing behavior of short circuit current in the counterdoped cells. The annealing behavior was controlled by dissociation and recombination of this defect. The DLTS studies showed that counterdoping with lithium eliminated three deep level defects and resulted in three new defects. The increased radiation resistance of the counterdoped cells is due to the interaction of lithium with oxygen, single vacancies and divacancies. The lithium-oxygen interaction is the most effective in contributing to the increased radiation resistance.
Efficacy and Tolerability of Indiplon in Transient Insomnia
Rosenberg, Russell; Roth, Thomas; Scharf, Martin B.; Lankford, D. Alan; Farber, Robert
2007-01-01
Objectives: The efficacy of indiplon was evaluated by polysomnography (PSG) in an experimental model of transient insomnia consisting of the first night effect combined with a 2-hour phase advance. Methods: Healthy volunteers age 21–64 years (N=593; 62% female; mean (± SEM) years, 32±0.39) were randomized to double-blind treatment with a single nighttime dose of indiplon (10 mg or 20 mg) or placebo. PSG assessments included latency to persistent sleep (LPS, primary endpoint) and total sleep time (TST); self-report assessments included sleep quality (SQ); next day residual effects were evaluated by the Digit Symbol Substitution Test (DSST), Symbol Copying Test (SCT), and a Visual Analog Scale of sleepiness (VAS). Results: LPS mean (± SEM) values were significantly reduced on indiplon 10 mg (21.2±1.5 minutes) and indiplon 20 mg (16.8±1.1 minutes) compared to placebo (33.1±2.5minutes; p inducing sleep, increasing sleep duration, and improving overall sleep quality without next day residual effects in healthy volunteers in a model of transient insomnia. Citation: Rosenberg R; Roth T; Scharf MB et al. Efficacy and tolerability of indiplon in transient insomnia. J Clin Sleep Med 2007;3(4):374-379. PMID:17694726
Steady state and transient critical heat flux examinations
International Nuclear Information System (INIS)
Szabados, L.
1978-02-01
In steady state conditions within the P.W.R. parameter range the critical heat flux correlations based on local parameters reproduce the experimental data with less deviations than those based on system parameters. The transient experiments were restricted for the case of power transients. A data processing method for critical heat flux measurements has been developed and the applicability of quasi steady state calculation has been verified. (D.P.)
Fukuda, Koichi; Asai, Hidehiro; Hattori, Junichi; Shimizu, Mitsuaki; Hashizume, Tamotsu
2018-04-01
In this study, GaN MOS capacitance-voltage device simulations considering various interface and bulk traps are performed in the transient mode. The simulations explain various features of capacitance-voltage curves, such as plateau, hysteresis, and frequency dispersions, which are commonly observed in measurements of GaN MOS capacitors and arise from complicated combinations of interface and bulk deep-level traps. The objective of the present study is to provide a good theoretical tool to understand the physics of various nonideal measured curves.
Charging transient in polyvinyl formal
Indian Academy of Sciences (India)
Unknown
401–406. © Indian Academy of Sciences. 401. Charging transient in polyvinyl formal. P K KHARE*, P L JAIN† and R K PANDEY‡. Department of Postgraduate Studies & Research in Physics & Electronics, Rani Durgavati University,. Jabalpur 482 001, India. †Department of Physics, Government PG College, Damoh 470 ...
"Puuttuva palanen" : kristillinen päihdehoito päihdeasiakkaan näkökulmasta
Nygård, Sari
2008-01-01
Suomessa kristillinen päihdehoito on saamassa yhä enemmän jalansijaa suomalaisessa päihdepalvelujärjestelmässä. Kysyntä laadukkaasta päihdehoidosta kasvaa ja tämän takia on tärkeää saada tutkittua tietoa aiheesta. Kristillisestä päihdehoidosta tutkimusta on tehty melko vähän verrattuna tavanomaiseen päihdehoitotyöhön. Opinnäytetyö on tehty Hoitokoti Seukkalaan, joka hoitaa mielenterveys- ja päihdeongelmista kärsiviä ihmisiä kristillisiä periaatteita kunnioittaen. Opinnäytetyön tehtävänä on se...
Indian Academy of Sciences (India)
Volume 33 Issue 4 August 2010 pp 377-382 Electrical Properties. Dielectric and thermal studies on gel grown strontium tartrate pentahydrate crystals ... High resolution X-ray diffraction studies on unirradiated and irradiated strontium hexaferrite crystals · Balwinder Kaur Monita Bhat F Licci Ravi Kumar K K Bamzai P N Kotru.
International Nuclear Information System (INIS)
Dawes, W.R. Jr.; Fischer, T.A.; Huang, C.C.C.; Meyer, W.J.; Smith, C.S.; Blanchard, R.A.; Fortier, T.J.
1986-01-01
N-channel power FETs offer significant advantages in power conditioning circuits. Similiarily to all MOS technologies, power FET devices are vulnerable to ionizing radiation, and are particularily susceptible to burn-out in high dose rate irradiations (>1E10 rads(Si)/sec.), which precludes their use in many military environments. This paper will summarize the physical mechanisms responsible for burn-out, and discuss various fabrication techniques designed to improve the transient hardness of power FETs. Power FET devices were fabricated with several of these techniques, and data will be presented which demonstrates that transient hardness levels in excess of 1E12 rads(Si)/sec. are easily achievable
Directory of Open Access Journals (Sweden)
Arnaldo Noguera
2013-08-01
Full Text Available Las plantas representan un sistema de expresión alternativo para la producción de proteínas heterólogas complejas tales como vacunas, antígenos, anticuerpos, eritroproyetina e insulina humana. Este sistema posee diversas ventajas sobre los sistemas de expresión tradicional basados en el cultivo de células de bacterias, levaduras, insectos y mamíferos, como su bajo costo de producción, menor tiempo para alcanzar la producción a gran escala, expresión, modificación y ensamblaje apropiado de la proteína recombinante y ausencia de riesgo de contaminación con patógenos humanos. En la última década la ingeniería genética de plantas ha utilizado ampliamente los sistemas de expresión estable basados en la bacteria Agrobacterium tumefaciens y la expresión transitoria basada en los vectores virales de plantas para la obtención de compuestos beneficiosos para la salud y el bienestar del hombre. La introducción de estos elementos virales en los sistemas de producción de proteínas recombinantes ha simplificado la manipulación y el proceso de infección de las plantas con el gen quimérico. Aunado a esto, el entendimiento de la inmunogenicidad que poseen los glicanos de origen vegetal sobre la actividad de los péptidos heterólogos, ha permitido dilucidar cuales son las vías moleculares más convenientes para expresar, ensamblar, compartamentalizar e incrementar la acumulación de las proteínas de interés. Con la presente revisión se busca proporcionar al lector una información detallada acerca de las estrategias de expresión heterólogas que utilizan sistemas vegetales, los principales agentes terapéuticos desarrollados basados en estos sistemas y la importancia biológica de las modificaciones post–traduccionales de los péptidos recombinantes. In plant expression platforms of therapeutic human peptides: transient and stable expression Abstract Plants represent an alternative expression system for the production of
International Nuclear Information System (INIS)
Usami, A.; Katayama, M.; Wada, T.; Tokuda, Y.
1987-01-01
p + n diodes were fabricated by rapid thermal annealing (RTA) of boron implanted silicon in the annealing temperature range 700-1100 0 C for around 7 s, and the RTA temperature dependence of electrical characteristics of these diodes was studied. Deep-level transient spectroscopy (DLTS) measurements were made to evaluate residual deep-level defects in the n-type bulk. Three electron traps were observed in p + n diodes fabricated by RTA at 700 0 C. It was considered that these three traps were residual point defects near the tail of the implantation damage after RTA. Residual defect concentrations increased in the range 700-900 0 C and decreased in the range 1000-1100 0 C. The growth of defects in the bulk was ascribed to the diffusion of defects from the implanted layer during RTA. Concentrations of electron traps observed in p + n diodes fabricated by RTA at 1100 0 C were approx. 10 12 cm -3 . It was found that these residual deep-level defects observed by DLTS were inefficient generation-recombination centres since the reverse current was independent of the RTA temperatures. (author)
A consistent analysis of (p,p`) and (n,n`) reactions using the Feshbach-Kerman-Koonin model
Energy Technology Data Exchange (ETDEWEB)
Yoshioka, S.; Watanabe, Y.; Harada, M. [Kyushu Univ., Fukuoka (Japan)] [and others
1997-03-01
Double-differential proton emission cross sections were measured for proton-induced reactions on several medium-heavy nuclei ({sup 54,56}Fe, {sup 60}Ni, {sup 90}Zr, and {sup 93}Nb) at two incident energies of 14.1 and 26 MeV. The (p,p`) data for {sup 56}Fe and {sup 93}Nb were compared with available data of (n,n`) scattering for the same target nuclei and incident energies, and both data were analyzed using the Feshbach-Kerman-Koonin model to extract the strength V{sub 0} of the effective N-N interaction which is the only free parameter used in multistep direct calculations. (author)
Energy Technology Data Exchange (ETDEWEB)
Saxena, Pooja; Ranjan, Kirti [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Bhardwaj, Ashutosh, E-mail: abhardwaj@physics.du.ac.in [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Shivpuri, R.K.; Bhattacharya, Satyaki [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India)
2011-12-01
Silicon Detector (SiD) is one of the proposed detectors for the future International Linear Collider (ILC). In the innermost vertex of the ILC, Si micro-strip sensors will be exposed to the neutron background of around 1-1.6 Multiplication-Sign 10{sup 10} 1 MeV equivalent neutrons cm{sup -2} year{sup -1}. The p{sup +}n{sup -}n{sup +} double-sided Si strip sensors are supposed to be used as position sensitive sensors for SiD. The shortening due to electron accumulation on the n{sup +}n{sup -} side of these sensors leads to uniform spreading of signal over all the n{sup +} strips and thus ensuring good isolation between the n{sup +} strips becomes one of the major issues in these sensors. One of the possible solutions is the use of floating p-type implants introduced between the n{sup +} strips (p-stops) and another alternative is the use of uniform layer of p-type implant on the entire n-side (p-spray). However, pre-breakdown micro-discharge is reported because of the high electric field at the edge of the p-stop/p-spray. An optimization of the implant dose profile of the p-stop and p-spray is required to achieve good electrical isolation while ensuring satisfactory breakdown performance of the Si sensors. Preliminary results of the simulation study performed on the n{sup +}n{sup -} Si sensors having p-stop and p-spray using device simulation program, ATLAS, are presented.
Counterdoped very shallow p+/n junctions obtained by B and Sb implantation and codiffusion in Si
Solmi, Sandro
1998-02-01
In this article we investigate the B and Sb codiffusion upon postimplantation annealing in order to fabricate very shallow p+/n junctions (⩽70 nm), suitable for a complementary metal-oxide-semiconductor technology with a channel length of 0.18 μm. The junctions are prepared by implanting Sb and subsequently BF2, at a higher dose, in an n-type Si substrate. The preamorphization with Sb avoids the B channeling and increases the n-type doping in the junction region, thus confining the depth of the p layer. Furthermore, both the transient enhanced diffusion, being the B implanted in a preamorphized layer, and the standard diffusion, due to the pairing between donors and acceptors, are strongly reduced. This procedure allows us to obtain very shallow junctions even after annealings with relatively high thermal budget, like 800 °C/8 h or 900 °C/1 h, or 950 °C/10 min or 1000 °C/60 s. We verified that dopant diffusion is strongly affected by a direct donor-acceptor interaction, and that good prediction of the experimental results can only be obtained using a simulation code which takes into account the formation of neutral, near immobile, Sb-B pairs.
Characterization of an Mg-implanted GaN p-i-n Diode
2016-03-31
Characterization of an Mg- implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J...Kub Naval Research Laboratory, Washington, DC 20375 Abstract: A p-i-n diode formed by the implantation of Mg in GaN was fabricated and...characterized. After implantation , Mg was activated using the symmetrical multicycle rapid thermal annealing technique with heating pulses up to 1340C
Silicon fiber with p-n junction
International Nuclear Information System (INIS)
Homa, D.; Cito, A.; Pickrell, G.; Hill, C.; Scott, B.
2014-01-01
In this study, we fabricated a p-n junction in a fiber with a phosphorous doped silicon core and fused silica cladding. The fibers were fabricated via a hybrid process of the core-suction and melt-draw techniques and maintained overall diameters ranging from 200 to 900 μm and core diameters of 20–800 μm. The p-n junction was formed by doping the fiber with boron and confirmed via the current-voltage characteristic. The demonstration of a p-n junction in a melt-drawn silicon core fiber paves the way for the seamless integration of optical and electronic devices in fibers.
Directory of Open Access Journals (Sweden)
Hadi Arabshahi
2010-04-01
Full Text Available We have studied how electrons, initially in thermal equilibrium, drift under the action of an applied electric field within bulk zincblende InAsxP1-x, InAs and InP. Calculations are made using a non-parabolic effective-mass energy band model. Monte Carlo simulation includes all of the major scattering mechanisms. The band parameters used in the simulation are extracted from optimised pseudo-potential band calculations to ensure excellent agreement with experimental information and ab-initio band models. The effects of alloy scattering on the electron transport physics are examined. For all materials, it is found that electron velocity overshoot only occurs when the electric field is increased to a value above a certain critical field, unique to each material. This critical field is strongly dependent on the material parameters. Transient velocity overshoot has also been simulated, with the sudden application of fields up to 1600 kVm-1, appropriate to the gate-drain fields expected within an operational field-effect transistor. The electron drift velocity relaxes to the saturation value of about 1.5105 ms-1 within 4 pico-seconds for all crystal structures. The steady-state and transient velocity overshoot characteristics are in fair agreement with other recent calculations.
Does the delta quench Gamow-Teller strength in (p,n)- and (p vector,p vector')-reactions
International Nuclear Information System (INIS)
Osterfeld, F.; Schulte, A.; Udagawa, T.; Yabe, M.
1986-01-01
Microscopic analyses of complete forward angle intermediate energy (p,n)-, ( 3 He,t)- and (p vector,p vector')-spin-flip spectra are presented for the reactions 90 Zr(p,n), 90 Zr( 3 He,t) and 90 Zr(p vector,p vector'). It is shown that the whole spectra up to high excitation energies (E X ∝50 MeV) are the result of correlated one-particle-one-hole (1p1h) spin-isospin transitions only. The spectra reflect, therefore, the linear spin-isospin response of the target nucleus to the probing external hadronic fields. Our results suggest that the measured (p,n)-, ( 3 He,t)- and (p vector,p vector')-cross sections are compatible with the transition strength predictions as obtained from random phase approximation (RPA) calculations. This means that the Δ isobar quenching mechanism is likely to be rather small. (orig.)
Influence of wavelength on transient short-circuit current in polycrystalline silicon solar cells
International Nuclear Information System (INIS)
Ba, B.; Kane, M.
1993-10-01
The influence of the wavelength of a monochromatic illumination on transient short-circuit current in an n/p polycrystalline silicon part solar cell junction is investigated. A wavelength dependence in the initial part of the current decay is observed in the case of cells with moderate grain boundary effects. This influence is attenuated in polycrystalline cells with strong grain boundary activity. (author). 10 refs, 6 figs
International Nuclear Information System (INIS)
Flynn, D.S.; Sekharan, K.K.; Hiller, B.A.; Laumer, H.; Weil, J.L.; Gabbard, F.
1978-01-01
The total neutron production cross sections for the 23 Na(p,n) 23 Mg, 27 Al(p,n) 27 Si, 27 Al(α,n) 30 P, 29 Si(α,n) 32 S, and 30 Si(α,n) 33 S reactions have been measured for bombarding energies from threshold to 6.3 MeV. The neutron detector was a 60-cm diameter sphere of polyethylene with eight 10 BF 3 counters and was insensitive to the angle and energy of the emitted neutrons. Cross sections for inverse reactions have been obtained using the principle of detailed balance. The data have been used to determine parameters for statistical model calculations to facilitate extrapolation of cross sections to higher bombarding energies. These reactions are relevant to problems of nucleosynthesis and stellar evolution and to studies of radiation damage. Nucleosynthesis reaction rates, N/sub A/(sigmav), were determined for the reactions studied and are tabulated for temperatures ranging from 0.4 x 10 9 to 10.0 x 10 9 K
Transient tachypnea of the newborn and congenital pneumonia: a comparative study.
Costa, Sandra; Rocha, Gustavo; Leitão, Andreia; Guimarães, Hercília
2012-07-01
Identify perinatal risk factors for transient tachypnea and pneumonia in neonates, and compare the outcome of these clinical conditions during the Neonatal Intensive Care Unit (NICU) stay. Retrospective review of newborns admitted to a level III NICU, comparing patients with transient tachypnea, pneumonia and a control group of healthy neonates. We included 202 patients with transient tachypnea, 29 with pneumonia and 498 controls. Perinatal infectious risk factors were more frequent in patients with pneumonia than in transient tachypnea (p ventilatory support more frequently and for a longer period. Comparing with controls, Apgar score at one and five minutes was higher in controls than in patients with pneumonia (p0.032 and p newborns with transient tachypnea and pneumonia are indistinguishable at presentation but clinical evolution is significantly different. The presence of perinatal infectious risk supports the diagnosis of pneumonia. Low Apgar score at one and five minutes was associated with both diseases, suggesting that etiologic factors may already be present at birth.
Directory of Open Access Journals (Sweden)
Diana Vicher
2017-09-01
Full Text Available En el escenario mundial configurado como resultado de la retracción del Estado y escasez de recursos, grupos económicos nacionales e internacionales, sobre todo empresas trasnacionales y capital financiero, han encontrado (tal vez ayudados a generar o incidido para hacerlo posible, nuevas oportunidades de negocio (ganancias que se han abierto gracias a la desregulación de varios sectores del gobierno que incluyen servicios públicos y la creciente inclusión de compras gubernamentales en los tratados de libre comercio. Por ello de manera creciente, desde la década de 1980, esos sectores empresariales (consultorías trasnacionales, calificadoras de riesgo, certificadoras como ISO y financieros (deuda pública y asociaciones público privadas se han posicionado en importantes espacios de toma de decisiones, al tiempo que han tenido acceso a explotar actividades que estaban a cargo de gobiernos y administraciones públicas. Estas "nuevas formas de financiamiento" y opciones de prestación de servicios públicos, presentan diferentes contradicciones que se deben analizar para valorar los efectivos beneficios que pueden aportar, o bien para revelar sus consecuencias reales. Este trabajo revisa la directriz general de la contratación y concesión gubernamental que incluye carteras de servicios de las consultorías trasnacionales al gobierno nacional, la expansión del mercado de compras gubernamentales y formas de financiamiento de asociaciones público privadas.
VO2 OFF TRANSIENT KINETICS IN EXTREME INTENSITY SWIMMING
Directory of Open Access Journals (Sweden)
Ana Sousa
2011-09-01
Full Text Available Inconsistencies about dynamic asymmetry between the on- and off- transient responses in oxygen uptake are found in the literature. Therefore, the purpose of this study was to characterize the oxygen uptake off-transient kinetics during a maximal 200-m front crawl effort, as examining the degree to which the on/off regularity of the oxygen uptake kinetics response was preserved. Eight high level male swimmers performed a 200-m front crawl at maximal speed during which oxygen uptake was directly measured through breath-by-breath oxymetry (averaged every 5 s. This apparatus was connected to the swimmer by a low hydrodynamic resistance respiratory snorkel and valve system. Results: The on- and off-transient phases were symmetrical in shape (mirror image once they were adequately fitted by a single-exponential regression models, and no slow component for the oxygen uptake response was developed. Mean (± SD peak oxygen uptake was 69.0 (± 6.3 mL·kg-1·min-1, significantly correlated with time constant of the off- transient period (r = 0.76, p < 0.05 but not with any of the other oxygen off-transient kinetic parameters studied. A direct relationship between time constant of the off-transient period and mean swimming speed of the 200-m (r = 0.77, p < 0.05, and with the amplitude of the fast component of the effort period (r = 0.72, p < 0.05 were observed. The mean amplitude and time constant of the off-transient period values were significantly greater than the respective on- transient. In conclusion, although an asymmetry between the on- and off kinetic parameters was verified, both the 200-m effort and the respectively recovery period were better characterized by a single exponential regression model
Single n+-i-n+ InP nanowires for highly sensitive terahertz detection.
Peng, Kun; Parkinson, Patrick; Gao, Qian; Boland, Jessica L; Li, Ziyuan; Wang, Fan; Mokkapati, Sudha; Fu, Lan; Johnston, Michael B; Tan, Hark Hoe; Jagadish, Chennupati
2017-03-24
Developing single-nanowire terahertz (THz) electronics and employing them as sub-wavelength components for highly-integrated THz time-domain spectroscopy (THz-TDS) applications is a promising approach to achieve future low-cost, highly integrable and high-resolution THz tools, which are desirable in many areas spanning from security, industry, environmental monitoring and medical diagnostics to fundamental science. In this work, we present the design and growth of n + -i-n + InP nanowires. The axial doping profile of the n + -i-n + InP nanowires has been calibrated and characterized using combined optical and electrical approaches to achieve nanowire devices with low contact resistances, on which the highly-sensitive InP single-nanowire photoconductive THz detectors have been demonstrated. While the n + -i-n + InP nanowire detector has a only pA-level response current, it has a 2.5 times improved signal-to-noise ratio compared with the undoped InP nanowire detector and is comparable to traditional bulk THz detectors. This performance indicates a promising path to nanowire-based THz electronics for future commercial applications.
Fabrication of p-type porous GaN on silicon and epitaxial GaN
Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm
2013-01-01
Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...
Biological N2 fixation mainly controlled by Sphagnum tissue N:P ratio in ombrotrophic bogs
Zivkovic, Tatjana; Moore, Tim R.
2017-04-01
Most of the 18 Pg nitrogen (N) accumulated in northern nutrient-poor and Sphagnum-dominated peatlands (bogs and fens) can be attributed to N2-fixation by diazotrophs either associated with the live Sphagnum or non-symbiotically in the deeper peat such as through methane consumption close to the water table. Where atmospheric N deposition is low (Sphagnum, suggested by the increase in tissue N:P to >16. It is unclear how Sphagnum-hosted diazotrophic activity may be affected by N deposition and thus changes in N:P ratio. First, we investigated the effects of long-term addition of different sources of nitrogen (0, 1.6, 3.2 and 6.4 g N m-2 y-1as NH4Cl and NaNO3), and phosphorus (5 g P m-2 y-1as KH2PO4) on Sphagnum nutrient status (N, P and N:P ratio), net primary productivity (NPP) and Sphagnum-associated N2fixation at Mer Bleue, a temperate ombrotrophic bog. We show that N concentration in Sphagnum tissue increased with larger rates of N addition, with a stronger effect on Sphagnum from NH4 than NO3. The addition of P created a 3.5 fold increase in Sphagnum P content compared to controls. Sphagnum NPP decreased linearly with the rise in N:P ratio, while linear growth declined exponentially with increase in Sphagnum N content. Rates of N2-fixation determined in the laboratory significantly decreased in response to even the smallest addition of both N species. In contrast, the addition of P increased N2 fixation by up to 100 times compared to N treatments and up to 5-30 times compared to controls. The change in N2-fixation was best modeled by the N:P ratio, across all experimental treatments. Secondly, to test the role of N:P ratio on N2-fixation across a range of bogs, eight study sites along the latitudinal gradient from temperate, boreal to subarctic zone in eastern Canada were selected. From each bog, two predominant microptopographies, hummocks and hollows, were tested for both N2-fixation activity in the laboratory and Sphagnum tissue concentrations of N, P and N:P
Schulte, Peter; Bornemann, André; Speijer, Robert P.
2010-05-01
The Paleocene to early Eocene is punctuated by several transient, ˜20-200 ky lasting hyperthermal events of which the Paleocene-Eocene Thermal Maximum (PETM) was the most prominent one. Abrupt shallowing of the lysocline/CCD, negative carbon isotope excursions, and benthic faunal turnover all imply a major perturbation of the ocean system during these events. Our recent research at the Southern Tethyan shelf suggests the presence of an additional hyperthermal event associated with sea-level fluctuations, the Latest Danian Event (LDE; Speijer, 2003; Bornemann et al., 2009). At Zumaia, Northern Spain, a negative ~0.5 per mil carbon isotope excursion is present in the uppermost Danian that may correlate to the LDE (Arenillas et al. 2008). Moreover, cyclostratigraphic studies have shown that several deep-sea sites are characterized by a prominent peak in both Fe and MS data at cycle Pc100-38 in the uppermost Danian: this applies to all Walvis Ridge (Atlantic) and Shatsky Rise (Pacific) sites as well as Site 1001 in the Caribbean Sea (Top Chron C27n Event; Westerhold et al., 2007). These results suggest that the LDE in the Tethys and the Top Chron C27n Event in the Atlantic may be correlative. We have conducted mineralogical, geochemical, and micropaleontological investigations to characterize this event in the Western Atlantic. Our first results from ODP Leg 165 Site 1001 show that the Top Chron 27n Event shown by Westerhold el al. (2008) corresponds to a ~12 cm thick clay layer. Mineralogical analyses reveal a sharp ~50% drop of the carbonate content in the clay layer and a disproportionally high increase of the phyllosilicate content in the insoluble residue compared to the quartz and illite content. Bulk rock isotope analyses show an abrupt negative ~0.6 per mil carbon isotope excursion at the onset of the clay layer, followed by a 1-m thick interval where carbon isotopes shows a tailing back to pre-event values. The magnitude and pattern of the carbon isotope
Efectos a la salud y exposición a p,p'-DDT y p,p'-DDE: el caso de México
Torres-Sánchez,Luisa; López-Carrillo,Lizbeth
2007-01-01
Basado en la revisión sistemática de 32 artículos publicados en PubMed-Medline hasta enero del 2006 y utilizando como palabras clave DDT exposure, human, milk y Mexico; este estudio analiza la situación acerca de la exposición en México a difenildicloroetano (DDT) y su principal metabolito p,p,'-DDE, así como, su posible repercusión sobre la salud humana. Aún cuando, el uso del DDT se suspendió en 1999, los estudios evaluados reportan niveles importantes de p,p'-DDE, en muestras biológicas de...
Liñán-Rico, A.; Wunderlich, JE.; Enneking, JT.; Tso, DR.; Grants, I.; Williams, KC.; Otey, A.; Michel, K.; Schemann, M.; Needleman, B.; Harzman, A.; Christofi, FL.
2015-01-01
Rationale The role of purinergic signaling in the human ENS is not well understood. We sought to further characterize the neuropharmacology of purinergic receptors in human ENS and test the hypothesis that endogenous purines are critical regulators of neurotransmission. Experimental Approach LSCM-Fluo-4-(Ca2+)-imaging of postsynaptic Ca2+ transients (PSCaTs) was used as a reporter of neural activity. Synaptic transmission was evoked by fiber tract electrical stimulation in human SMP surgical preparations. Pharmacological analysis of purinergic signaling was done in 1,556 neurons from 234 separate ganglia 107 patients; immunochemical labeling for P2XRs of neurons in ganglia from 19 patients. Real-time MSORT (Di-8-ANEPPS) imaging was used to test effects of adenosine on fast excitatory synaptic potentials (fEPSPs). Results Synaptic transmission is sensitive to pharmacological manipulations that alter accumulation of extracellular purines. Apyrase blocks PSCaTs in a majority of neurons. An ecto-NTPDase-inhibitor 6-N,N-diethyl-D-β,γ-dibromomethyleneATP or adenosine deaminase augments PSCaTs. Blockade of reuptake/deamination of eADO inhibits PSCaTs. Adenosine inhibits fEPSPs and PSCaTs (IC50=25μM), sensitive to MRS1220-antagonism (A3AR). A P2Y agonist ADPβS inhibits PSCaTs (IC50=111nM) in neurons without stimulatory ADPβS responses (EC50=960nM). ATP or a P2X1,2,2/3 (α,β-MeATP) agonist evokes fast, slow, biphasic Ca2+ transients or Ca2+ oscillations (EC50=400μM). PSCaTs are sensitive to P2X1 antagonist NF279. Low (20nM) or high (5μM) concentrations of P2X antagonist TNP-ATP block PSCaTs in different neurons; proportions of neurons with P2XR-ir follow the order P2X2>P2X1≫P2X3; P2X1+ P2X2 and P2X3+P2X2 are co-localized. RT-PCR identified mRNA-transcripts for P2X1-7,P2Y1,2,12-14R. Responsive neurons were also identified by HuC/D-ir. Conclusions Purines are critical regulators of neurotransmission in the human enteric nervous system. Purinergic signaling involves
Manufacturing P-N junctions in germanium bodies
International Nuclear Information System (INIS)
Hall, R.N.
1980-01-01
A method of producing p-n junctions in Ge so as to facilitate their use as radiation detectors involves forming a body of high purity p-type germanium, diffusing lithium deep into the body, in the absence of electrolytic processes, to form a junction between n-type and p-type germanium greater than 1 mm depth. (UK)
Suzuki, Haruka; Miyashita, Yuri; Choi, Sun Hee; Hisa, Yusuke; Rihei, Shunsuke; Shimada, Ryoko; Jeon, Eun Jin; Abe, Junya; Uyeda, Ichiro
2016-01-01
ABSTRACT Peas carrying the cyv1 recessive resistance gene are resistant to clover yellow vein virus (ClYVV) isolates No.30 (Cl-No.30) and 90-1 (Cl-90-1) but can be infected by a derivative of Cl-90-1 (Cl-90-1 Br2). The main determinant for the breaking of cyv1 resistance by Cl-90-1 Br2 is P3N-PIPO produced from the P3 gene via transcriptional slippage, and the higher level of P3N-PIPO produced by Cl-90-1 Br2 than by Cl-No.30 contributes to the breaking of resistance. Here we show that P3N-PIPO is also a major virulence determinant in susceptible peas that possess another resistance gene, Cyn1, which does not inhibit systemic infection with ClYVV but causes hypersensitive reaction-like lethal systemic cell death. We previously assumed that the susceptible pea cultivar PI 226564 has a weak allele of Cyn1. Cl-No.30 did not induce cell death, but Cl-90-1 Br2 killed the plants. Our results suggest that P3N-PIPO is recognized by Cyn1 and induces cell death. Unexpectedly, heterologously strongly expressed P3N-PIPO of Cl-No.30 appears to be recognized by Cyn1 in PI 226564. The level of P3N-PIPO accumulation from the P3 gene of Cl-No.30 was significantly lower than that of Cl-90-1 Br2 in a Nicotiana benthamiana transient assay. Therefore, Cyn1-mediated cell death also appears to be determined by the level of P3N-PIPO. The more efficiently a ClYVV isolate broke cyv1 resistance, the more it induced cell death systemically (resulting in a loss of the environment for virus accumulation) in susceptible peas carrying Cyn1, suggesting that antagonistic pleiotropy of P3N-PIPO controls the resistance breaking of ClYVV. IMPORTANCE Control of plant viral disease has relied on the use of resistant cultivars; however, emerging mutant viruses have broken many types of resistance. Recently, we revealed that Cl-90-1 Br2 breaks the recessive resistance conferred by cyv1, mainly by accumulating a higher level of P3N-PIPO than that of the nonbreaking isolate Cl-No.30. Here we show that a
International Nuclear Information System (INIS)
Strohmaier, B.; Tagesen, S.; Vonach, H.
1980-01-01
The cross-sections for the four important neutron dosimetry reactions 19 F(n,2n) 18 F, 31 P(n,p) 31 Si, 93 Nb(n,n')sup(93m)Nb and 103 Rh(n,n')sup(103m)Rh were evaluated in the neutron energy range from threshold to 20 MeV. For the 19 F(n,2n) reaction the evaluation could be based entirely on experimental data; for the reactions 31 P(n,p) 31 Si and 103 Rh(n,n')sup(103m)Rh large gaps in the experimental excitation functions and large discrepancies between the existing data made it necessary to supplement the experimental data by cross-section calculations and to give about equal weight to the experimental and calculated cross-sections. For the 93 Nb(n,n')sup(93m)Nb reaction the evaluation had to be based entirely on the theoretically calculated cross-sections. All data sets were critically reviewed and obviously erroneous data sets were disregarded. If necessary, the data were renormalized in order to take into account adjustments in corresponding standard cross-sections and decay schemes. For each evaluated cross-sections also an uncertainty (on a 1sigma confidence level) was derived taking into account the errors given by the experimentalists, the general consistency of the experimental data and the estimated errors of the theoretically calculated cross-sections. (orig.) [de
Directory of Open Access Journals (Sweden)
Hayeon Kim
2017-09-01
Full Text Available pH has been recognized as one of the key environmental parameters with significant impacts on the nitrogen cycle in the environment. In this study, the effects of pH on NO3–/NO2– fate and N2O emission were examined with Shewanella loihica strain PV-4, an organism with complete denitrification and respiratory ammonification pathways. Strain PV-4 was incubated at varying pH with lactate as the electron donor and NO3–/NO2– and N2O as the electron acceptors. When incubated with NO3– and N2O at pH 6.0, transient accumulation of N2O was observed and no significant NH4+ production was observed. At pH 7.0 and 8.0, strain PV-4 served as a N2O sink, as N2O concentration decreased consistently without accumulation. Respiratory ammonification was upregulated in the experiments performed at these higher pH values. When NO2– was used in place of NO3–, neither growth nor NO2– reduction was observed at pH 6.0. NH4+ was the exclusive product from NO2– reduction at both pH 7.0 and 8.0 and neither production nor consumption of N2O was observed, suggesting that NO2– regulation superseded pH effects on the nitrogen-oxide dissimilation reactions. When NO3– was the electron acceptor, nirK transcription was significantly upregulated upon cultivation at pH 6.0, while nrfA transcription was significantly upregulated at pH 8.0. The highest level of nosZ transcription was observed at pH 6.0 and the lowest at pH 8.0. With NO2– as the electron acceptor, transcription profiles of nirK, nrfA, and nosZ were statistically indistinguishable between pH 7.0 and 8.0. The transcriptions of nirK and nosZ were severely downregulated regardless of pH. These observations suggested that the kinetic imbalance between N2O production and consumption, but neither decrease in expression nor activity of NosZ, was the major cause of N2O accumulation at pH 6.0. The findings also suggest that simultaneous enhancement of nitrogen retention and N2O emission reduction may be
Investigation of deep levels in GaInNAs
International Nuclear Information System (INIS)
Abulfotuh, F.; Balcioglu, A.; Friedman, D.; Geisz, J.; Kurtz, S.
1999-01-01
This paper presents and discusses the first Deep-Level transient spectroscopy (DLTS) data obtained from measurements carried out on both Schottky barriers and homojunction devices of GaInNAs. The effect of N and In doping on the electrical properties of the GaNInAs devices, which results in structural defects and interface states, has been investigated. Moreover, the location and densities of deep levels related to the presence of N, In, and N+In are identified and correlated with the device performance. The data confirmed that the presence of N alone creates a high density of shallow hole traps related to the N atom and structural defects in the device. Doping by In, if present alone, also creates low-density deep traps (related to the In atom and structural defects) and extremely deep interface states. On the other hand, the co-presence of In and N eliminates both the interface states and levels related to structural defects. However, the device still has a high density of the shallow and deep traps that are responsible for the photocurrent loss in the GaNInAs device, together with the possible short diffusion length. copyright 1999 American Institute of Physics
Investigation of Deep Levels in GaInNas
International Nuclear Information System (INIS)
Balcioglu, A.; Friedman, D.; Abulfotuh, F.; Geisz, J.; Kurtz, S.
1998-01-01
This paper presents and discusses the first Deep-Level transient spectroscopy (DLTS) data obtained from measurements carried out on both Schottky barriers and homojunction devices of GaInNAs. The effect of N and In doping on the electrical properties of the GaNInAs devices, which results in structural defects and interface states, has been investigated. Moreover, the location and densities of deep levels related to the presence of N, In, and N+In are identified and correlated with the device performance. The data confirmed that the presence of N alone creates a high density of shallow hole traps related to the N atom and structural defects in the device. Doping by In, if present alone, also creates low-density deep traps (related to the In atom and structural defects) and extremely deep interface states. On the other hand, the co-presence of In and N eliminates both the interface states and levels related to structural defects. However, the device still has a high density of the shallow and deep traps that are responsible for the photocurrent loss in the GaNInAs device, together with the possible short diffusion length
Comunicación pública: repensar la comunicación para la democracia
Botero Montoya, Luis Horacio; Galvis Ortiz, Carlos Alberto
2011-01-01
Hablar de comunicación pública denota redundancia, dado que el concepto comunicación es un bien público, de interés general, abierto e integrador de la sociedad. Diversos elementos han fracturado el papel que la comunicación tiene como bien público...
International Nuclear Information System (INIS)
Stupica, J.; Goradia, C.; Swartz, C.K.; Weinberg, I.
1987-01-01
Two lithium-counterdoped n/sup +/p silicon solar cells with different lithium concentrations were irradiated by 10 MeV protons. Cell performance was measured as a function of fluence, and it was found that the cell with the highest concentration of lithium had the higher radiation resistance. Deep level defects were studied using deep level transient spectroscopy which yielded two defects that were lithium related. Relating the defect energy levels obtained from this study under 10 MeV protons, with an earlier work using 1 MeV electron irradiations shows no correlation of the defect energy levels. There is one marked comparison though. The absence of the boron interstitial-oxygen interstitial defect. This consistency strengthens the belief that lithium interacts with oxygen to prevent the formation of the boron interstitial-oxygen interstitial defect. The present results indicate that, in general, addition of lithium in small amounts to the p-base of a boron doped silicon solar cell such that the base remains p-type, tends to increase the radiation resistance of the cell
High Sensitive pH Sensor Based on AlInN/GaN Heterostructure Transistor
Directory of Open Access Journals (Sweden)
Yan Dong
2018-04-01
Full Text Available The AlInN/GaN high-electron-mobility-transistor (HEMT indicates better performances compared with the traditional AlGaN/GaN HEMTs. The present work investigated the pH sensor functionality of an analogous HEMT AlInN/GaN device with an open gate. It was shown that the Al0.83In0.17N/GaN device demonstrates excellent pH sense functionality in aqueous solutions, exhibiting higher sensitivity (−30.83 μA/pH for AlInN/GaN and −4.6 μA/pH for AlGaN/GaN and a faster response time, lower degradation and good stability with respect to the AlGaN/GaN device, which is attributed to higher two-dimensional electron gas (2DEG density and a thinner barrier layer in Al0.83In0.17N/GaN owning to lattice matching. On the other hand, the open gate geometry was found to affect the pH sensitivity obviously. Properly increasing the width and shortening the length of the open gate area could enhance the sensitivity. However, when the open gate width is too larger or too small, the pH sensitivity would be suppressed conversely. Designing an optimal ratio of the width to the length is important for achieving high sensitivity. This work suggests that the AlInN/GaN-based 2DEG carrier modulated devices would be good candidates for high-performance pH sensors and other related applications.
High Sensitive pH Sensor Based on AlInN/GaN Heterostructure Transistor.
Dong, Yan; Son, Dong-Hyeok; Dai, Quan; Lee, Jun-Hyeok; Won, Chul-Ho; Kim, Jeong-Gil; Chen, Dunjun; Lee, Jung-Hee; Lu, Hai; Zhang, Rong; Zheng, Youdou
2018-04-24
The AlInN/GaN high-electron-mobility-transistor (HEMT) indicates better performances compared with the traditional AlGaN/GaN HEMTs. The present work investigated the pH sensor functionality of an analogous HEMT AlInN/GaN device with an open gate. It was shown that the Al 0.83 In 0.17 N/GaN device demonstrates excellent pH sense functionality in aqueous solutions, exhibiting higher sensitivity (−30.83 μA/pH for AlInN/GaN and −4.6 μA/pH for AlGaN/GaN) and a faster response time, lower degradation and good stability with respect to the AlGaN/GaN device, which is attributed to higher two-dimensional electron gas (2DEG) density and a thinner barrier layer in Al 0.83 In 0.17 N/GaN owning to lattice matching. On the other hand, the open gate geometry was found to affect the pH sensitivity obviously. Properly increasing the width and shortening the length of the open gate area could enhance the sensitivity. However, when the open gate width is too larger or too small, the pH sensitivity would be suppressed conversely. Designing an optimal ratio of the width to the length is important for achieving high sensitivity. This work suggests that the AlInN/GaN-based 2DEG carrier modulated devices would be good candidates for high-performance pH sensors and other related applications.
Characteristics of InGaN designed for photovoltaic applications
International Nuclear Information System (INIS)
Trybus, E.; Jani, O.; Burnham, S.; Ferguson, I.; Doolittle, W.A.; Honsberg, C.; Steiner, M.
2008-01-01
This work addresses the required properties and device structures for an InGaN solar cell. Homojunction InGaN solar cells with a bandgap greater than 2.0 eV are specifically targeted due to material limitations. These devices are attractive because over half the available power in the solar spectrum is above 2.0 eV. Using high growth rates, InGaN films with indium compositions ranging from 1 to 32% have been grown by Molecular Beam Epitaxy with negligible phase separation according to X-ray diffraction analysis, and better than 190 arc-sec ω-2θ FWHM for ∝0.6 μm thick In 0.32 Ga 0.68 N film. Using measured transmission data, the absorption coefficient of InGaN at 2.4 eV was calculated as α≅2 x 10 5 cm -1 near the band edge. This results in the optimal solar cell thickness of less than a micron and may lead to high open circuit voltage while reducing the constraints on limited minority carrier lifetimes. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Characteristics of InGaN designed for photovoltaic applications
Energy Technology Data Exchange (ETDEWEB)
Trybus, E.; Jani, O.; Burnham, S.; Ferguson, I.; Doolittle, W.A. [Georgia Institute of Technology, School of Electrical and Computer Engineering, Atlanta, GA (United States); Honsberg, C. [University of Delaware, Department of Electrical and Computer Engineering, Newark, DE (United States); Steiner, M. [National Renewable Energy Laboratory, Golden, CO (United States)
2008-07-01
This work addresses the required properties and device structures for an InGaN solar cell. Homojunction InGaN solar cells with a bandgap greater than 2.0 eV are specifically targeted due to material limitations. These devices are attractive because over half the available power in the solar spectrum is above 2.0 eV. Using high growth rates, InGaN films with indium compositions ranging from 1 to 32% have been grown by Molecular Beam Epitaxy with negligible phase separation according to X-ray diffraction analysis, and better than 190 arc-sec {omega}-2{theta} FWHM for {proportional_to}0.6 {mu}m thick In{sub 0.32}Ga{sub 0.68}N film. Using measured transmission data, the absorption coefficient of InGaN at 2.4 eV was calculated as {alpha}{approx_equal}2 x 10{sup 5} cm{sup -1} near the band edge. This results in the optimal solar cell thickness of less than a micron and may lead to high open circuit voltage while reducing the constraints on limited minority carrier lifetimes. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Holzhacker, Christian; Stöger, Berthold; Carvalho, Maria Deus; Ferreira, Liliana P; Pittenauer, Ernst; Allmaier, Günter; Veiros, Luis F; Realista, Sara; Gil, Adrià; Calhorda, Maria José; Müller, Danny; Kirchner, Karl
2015-08-07
Treatment of anhydrous FeX2 (X = Cl, Br) with 1 equiv. of the asymmetric chiral PNP pincer ligands PNP-R,TAD (R = iPr, tBu) with an R,R-TADDOL (TAD) moiety afforded complexes of the general formula [Fe(PNP)X2]. In the solid state these complexes adopt a tetrahedral geometry with the PNP ligand coordinated in κ(2)P,N-fashion, as shown by X-ray crystallography and Mössbauer spectroscopy. Magnetization studies led to a magnetic moment very close to 4.9μB reflecting the expected four unpaired d-electrons (quintet ground state). In solution there are equilibria between [Fe(κ(3)P,N,P-PNP-R,TAD)X2] and [Fe(κ(2)P,N-PNP-R,TAD)X2] complexes, i.e., the PNP-R,TAD ligand is hemilabile. At -50 °C these equilibria are slow and signals of the non-coordinated P-TAD arm of the κ(2)P,N-PNP-R,TAD ligand can be detected by (31)P{(1)H} NMR spectroscopy. Addition of BH3 to a solution of [Fe(PNP-iPr,TAD)Cl2] leads to selective boronation of the pendant P-TAD arm shifting the equilibrium towards the four-coordinate complex [Fe(κ(2)P,N-PNP-iPr,TAD(BH3))Cl2]. DFT calculations corroborate the existence of equilibria between four- and five-coordinated complexes. Addition of CO to [Fe(PNP-iPr,TAD)X2] in solution yields the diamagnetic octahedral complexes trans-[Fe(κ(3)P,N,P-PNP-iPr,TAD)(CO)X2], which react further with Ag(+) salts in the presence of CO to give the cationic complexes trans-[Fe(κ(3)P,N,P-PNP-iPr,TAD)(CO)2X](+). CO addition most likely takes place at the five coordinate complex [Fe(κ(3)P,N,P-PNP-iPr,TAD)X2]. The mechanism for the CO addition was also investigated by DFT and the most favorable path obtained corresponds to the rearrangement of the pincer ligand first from a κ(2)P,N- to a κ(3)P,N,P-coordination mode followed by CO coordination to [Fe(κ(3)P,N,P-PNP-iPr,TAD)X2]. Complexes bearing tBu substituents do not react with CO. Moreover, in the solid state none of the tetrahedral complexes are able to bind CO.
Determination of carrier diffusion length in p- and n-type GaN
Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit
2014-03-01
Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.
Axial p-n junction and space charge limited current in single GaN nanowire
Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien
2018-01-01
The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 1017 at cm-3 assuming a donor level N d of 2-3 × 1018 at cm-3. The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.
Axial p-n junction and space charge limited current in single GaN nanowire.
Fang, Zhihua; Donatini, Fabrice; Daudin, Bruno; Pernot, Julien
2018-01-05
The electrical characterizations of individual basic GaN nanostructures, such as axial nanowire (NW) p-n junctions, are becoming indispensable and crucial for the fully controlled realization of GaN NW based devices. In this study, electron beam induced current (EBIC) measurements were performed on two single axial GaN p-n junction NWs grown by plasma-assisted molecular beam epitaxy. I-V characteristics revealed that both ohmic and space charge limited current (SCLC) regimes occur in GaN p-n junction NW. Thanks to an improved contact process, both the electric field induced by the p-n junction and the SCLC in the p-part of GaN NW were disclosed and delineated by EBIC signals under different biases. Analyzing the EBIC profiles in the vicinity of the p-n junction under 0 V and reverse bias, we deduced a depletion width in the range of 116-125 nm. Following our previous work, the acceptor N a doping level was estimated to be 2-3 × 10 17 at cm -3 assuming a donor level N d of 2-3 × 10 18 at cm -3 . The hole diffusion length in n-GaN was determined to be 75 nm for NW #1 and 43 nm for NW #2, demonstrating a low surface recombination velocity at the m-plane facet of n-GaN NW. Under forward bias, EBIC imaging visualized the electric field induced by the SCLC close to p-side contact, in agreement with unusual SCLC previously reported in GaN NWs.
International Nuclear Information System (INIS)
Walker, C.M.
1992-01-01
Hydrogenated amorphous silicon (a-Si:H) is a semiconductor material that has generated recent widespread interest because of its low manufacturing and processing costs compared with other semiconducting materials. The performance of devices incorporating a-Si:H depends to a large extent on the photoresponse of the a-Si:H. The work in this thesis involves the construction of an a-Si:H plasma-enhanced chemical vapor deposition (PECVD) system, characterization of the quality of the a-Si:H produced by this system, and measurement of the transient photoconductivity n response to pulses of laser illumination with different durations. The relationship of the design of the PECVD system to the quality of the a-Si:H is treated, emphasizing the features included in the system to reduce the incorporation of defects in the a-Si:H layers. These features include an ultra-high-vacuum deposition chamber, a load-lock chamber enabling samples to be loaded under vacuum, and an electrode assembly designed to produce a uniform electric field for decomposing the reactant gases. The quality of the A-Si:H films is examined. The dark conductivity activation energy, optical absorption, and photoconductivity are measured to characterize intrinsic, p-doped, and n-doped a-Si:H layers. The current vs. voltage characteristics under illuminated and dark conditions, and the quantum efficiency are measured on a-Si:H p-i-n diodes made in our system, and the results show that these diodes compare favorably to similar high-quality p-i-n diodes produced at other laboratories. An investigation into the effect of the light-induced degradation associated with a-Si:H on the performance of OASLMs is also presented. Finally, the transient photoresponse to laser pulses ranging in duration from 1 μs to 1 s over a range of temperatures from 100 to 300 K is investigated. We have discovered that the response time of the initial photoconductivity decay increases as the excitation-pulse duration increases
Efectividad en la gestión pública chilena
Directory of Open Access Journals (Sweden)
Mauricio Olavarría Gambi
2010-01-01
Full Text Available Al preguntarse ¿qué hace a un servicio público ser efectivo?, este trabajo identifica, primero, los organismos estatales considerados efectivos por diversos indicadores y tipos de públicos, y luego halla que las variables que explicarían la efectividad de esos servicios públicos son liderazgo y visión, reorientación de la misión, orientación al usuario, utilización intensiva de TIC y gestión estratégica. El trabajo también señala que así como los servicios pueden mejorar, también pueden decaer, y que por ello el ejercicio de identificación de las agencias estatales efectivas e inefectivas debe ser periódico. Ello contribuye a establecer procesos de mejora continua en la gestión pública, la herramienta más importante con la que dispone el Estado para implementar políticas públicas orientadas a resolver problemas públicos y, por lo tanto, a acrecentar el bienestar de los ciudadanos.
Ben Haj Othmen, Walid; Ben Hamed, Zied; Sieber, Brigitte; Addad, Ahmed; Elhouichet, Habib; Boukherroub, Rabah
2018-03-01
Nanocrystalline highly Fe-doped SnO2 thin films were prepared using a new simple sol-gel method with iron amounts of 5, 10, 15 and 20%. The obtained gel offers a long durability and high quality allowing to reach a sub-5 nm nanocrystalline size with a good crystallinity. The films were structurally characterized through X-ray diffraction (XRD) that confirms the formation of rutile SnO2. High Resolution Transmission Electron Microscopy (HRTEM) images reveals the good crystallinity of the nanoparticles. Raman spectroscopy shows that the SnO2 rutile structure is maintained even for high iron concentration. The variation of the PL intensity with Fe concentration reveals that iron influences the distribution of oxygen vacancies in tin oxide. The optical transmittance results indicate a redshift of the SnO2 band gap when iron concentration increases. The above optical results lead us to assume the presence of a compensation phenomenon between oxygen vacancies and introduced holes following Fe doping. From current-voltage measurements, an inversion of the conduction type from n to p is strongly predicted to follow the iron addition. Electrical characterizations of SnO2:Fe/p-Si and SnO2:Fe/n-Si heterojunctions seem to be in accordance with this deduction. The quantum tunneling mechanism is expected to be important at high Fe doping level, which was confirmed by current-voltage measurements at different temperatures. Both optical and electrical properties of the elaborated films present a particularity for the same iron concentration and adopt similar tendencies with Fe amount, which strongly correlate the experimental observations. In order to evaluate the applicability of the elaborated films, we proceed to the fabrication of the SnO2:Fe/SnO2 homojunction for which we note a good rectifying behavior.
Cross sections for the reactions 54Fe(n,α)51Cr, 54Fe(n,p)54Mn, and 56Fe(n,p)56Mn
International Nuclear Information System (INIS)
Paulsen, A.; Widera, R.; Arnotte, F.; Liskien, H.
1979-01-01
Ratios of cross sections for the reactions 54 Fe(n,α) 51 Cr, 54 Fe(n,p) 54 Mn, and 56 Fe(n,p) 56 Mn were measured by the activation technique. In the 6- to 10-MeV energy range, quasi-monoenergetic neutrons produced by the D(d,n) source reaction were used, while additional data were obtained between 12 and 17 MeV by use of the T(d,n) source reaction. The cross-section ratios have accuracies between 1.5 and 4.5%. 1 figure, 3 tables
International Nuclear Information System (INIS)
Fukuda, Hiroshi; Moroi, Masao
2005-01-01
The purpose of this study was to investigate cardiac events in patients with transient left ventricle (LV) dilation on stress myocardial perfusion single-photon emission computed tomography images (MPI). Consecutive patients (n=53, 31 males, mean age 71 years) with transient LV dilation on thallium-201 stress MPI (treadmill: 21, pharmacologic: 32) were followed for 17 months. Follow-up time was censored at the occurrence of cardiac death, congestive heart failure, acute coronary syndrome, or revascularization. Images were scored and then the summed stress score (SSS), summed rest score, and summed difference score were calculated. Cardiac death occurred in 3 patients, hospitalization occurred in 8 patients, and revascularization occurred in 20 patients. The combined cardiac event rate was 59% (76% for exercise stress vs 47% for pharmacologic stress, p=0.034.). Cox regression analysis demonstrated that a combination of higher SSS and slow washout rate was the best predictor of cardiac events (hazard ratio=3.3, p=0.029). A high cardiac event rate is associated with transient LV dilation on thallium-201 stress MPI. The event rate is particularly high for exercise stress MPI. Furthermore, a combination of the SSS and thallium-201 slow washout is the best predictor of cardiac events in patients with transient LV dilation. (author)
Graphene in ohmic contact for both n-GaN and p-GaN
Energy Technology Data Exchange (ETDEWEB)
Zhong, Haijian; Liu, Zhenghui; Shi, Lin; Xu, Gengzhao; Fan, Yingmin; Huang, Zengli [Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Wang, Jianfeng; Ren, Guoqiang; Xu, Ke, E-mail: kxu2006@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); Suzhou Nanowin Science and Technology Co., Ltd., Suzhou 215123 (China)
2014-05-26
The wrinkles of single layer graphene contacted with either n-GaN or p-GaN were found both forming ohmic contacts investigated by conductive atomic force microscopy. The local I–V results show that some of the graphene wrinkles act as high-conductive channels and exhibiting ohmic behaviors compared with the flat regions with Schottky characteristics. We have studied the effects of the graphene wrinkles using density-functional-theory calculations. It is found that the standing and folded wrinkles with zigzag or armchair directions have a tendency to decrease or increase the local work function, respectively, pushing the local Fermi level towards n- or p-type GaN and thus improving the transport properties. These results can benefit recent topical researches and applications for graphene as electrode material integrated in various semiconductor devices.
Yunta, Felipe; García-Marco, Sonia; Lucena, Juan J
2003-08-27
The presence of ethylenediamine-N-(o-hydroxyphenylacetic)-N'-(p-hydroxyphenylacetic) acid (o,p-EDDHA) as the second largest component in commercial EDDHA iron chelates has recently been demonstrated. Here is reported the speciation of o,p-EDDHA by the application of a novel methodology through the determination of the complexing capacity, protonation, and Ca(2+), Mg(2+), Cu(2+), and Fe(3+) stability constants. The pM values and species distribution in solution, hydroponic, and soil conditions were obtained. Due to the para position of one phenol group in o,p-EDDHA, the protonation constants and Ca and Mg stability constants have different values from those of o,o-EDDHA and p,p-EDDHA regioisomers. o,p-EDDHA/Fe(3+) stability constants are higher than those of EDTA/Fe(3+) but lower than those of o,o-EDDHA/Fe(3+). The sequence obtained for pFe is o,o-EDDHA/Fe(3+) >/= o,p-EDDHA/Fe(3+) > EDTA/Fe(3+). o,p-EDDHA/Fe(3+) can be used as an iron chelate in hydroponic conditions. Also, it can be used in soils with limited Cu availability.
International Nuclear Information System (INIS)
Choi, Jung Kwon; Kim, Yang Hee; Yoon, Min Joong; Lee, Seung Joon; Kim, Kwan; Jeoung, Sae Chae
2001-01-01
The silver colloidal effects on the excited-state structure and intramolecular charge transfer (ICT) of p-N,N-dimethylaminobenzoic acid (DMABA) in aqueous cyclodextrin (CD) solutions have been investigated by UV-VIS absorption, steady-state and time-resolved fluorescence, and transient Raman spectroscopy. As the concentration of silver colloids increases, the ratio of the ICT emission to the normal emission (I a /I b ) of DMABA in the aqueous α-CD solutions are greatly decreased while the I a /I b values in the aqueous β-CD solutions are significantly enhanced. It is also noteworthy that the ICT emission maxima are red-shifted by 15-40 nm upon addition of silver colloids, implying that DMABA encapsulated in α-CD or β-CD cavity is exposed to more polar environment. The transient resonance Raman spectra of DMABA in silver colloidal solutions demonstrate that DMABA in the excited-state is desorbed from silver colloidal surfaces as demonstrated by the disappearance of v s (CO 2 - )(1380 cm -1 ) with appearance of v (C-OH)(1280 cm -1 ) band, respectively. Thus, in the aqueous β-CD solutions the carboxylic acid group of DMABA in the excited-state can be readily hydrogen bonded with the secondary hydroxyl group of β-CD while in aqueous and α-CD solutions the carboxylic acid group of DMABA has the hydrogen-bonding interaction with water. Consequently, in the aqueous β-CD solutions the enhancement of the I a /I b value arises from the intermolecular hydrogen-bonding interaction between DMABA and the secondary hydroxyl group of β-CD as well as the lower polarity of the rim of the β-CD cavity compared to bulk water. This is also supported by the increase of the association constant for DMABA/β-CD complex in the presence of silver colloids
Lv, Shuliang; Zhou, Yawei; Xu, Wenwu; Mao, Wenfeng; Wang, Lingtao; Liu, Yong; He, Chunqing
2018-01-01
Various transparent GaN-doped SnO2 thin films were deposited on glass substrates by e-beam evaporation using GaN:SnO2 targets of different GaN weight ratios. It is interesting to find that carrier polarity of the thin films was converted from n-type to p-type with increasing GaN ratio higher than 15 wt.%. The n-p transition in GaN-doped SnO2 thin films was explained for the formation of GaSn and NO with increasing GaN doping level in the films, which was identified by Hall measurement and XPS analysis. A transparent thin film p-n junction was successfully fabricated by depositing p-type GaN:SnO2 thin film on SnO2 thin film, and a low leakage current (6.2 × 10-5 A at -4 V) and a low turn-on voltage of 1.69 V were obtained for the p-n junction.
Formation of p-n-p junction with ionic liquid gate in graphene
International Nuclear Information System (INIS)
He, Xin; Tang, Ning; Duan, Junxi; Zhang, Yuewei; Lu, Fangchao; Xu, Fujun; Yang, Xuelin; Gao, Li; Wang, Xinqiang; Shen, Bo; Ge, Weikun
2014-01-01
Ionic liquid gating is a technique which is much more efficient than solid gating to tune carrier density. To observe the electronic properties of such a highly doped graphene device, a top gate made of ionic liquid has been used. By sweeping both the top and back gate voltage, a p-n-p junction has been created. The mechanism of forming the p-n-p junction has been discussed. Tuning the carrier density by ionic liquid gate can be an efficient method to be used in flexible electronics
Interfacial microstructure of partial transient liquid phase bonded Si3N4-to-Inconel 718 joints
International Nuclear Information System (INIS)
Kim, Jae Joong; Park, Jin-Woo; Eagar, Thomas W.
2003-01-01
This work presents transmission electron microscopy (TEM) analysis of the interfacial microstructure in Si 3 N 4 -to-Inconel 718 joints with Ni interlayers produced by partial transient liquid phase bonding (PTLPB). Ti and Cu microfoils have been inserted between Si 3 N 4 and the Ni interlayer and joining has been performed at lower temperatures than previous PTLPBs of Si 3 N 4 with the same insert metals. The TEM work is focused on phase identification of the reaction layers between the Si 3 N 4 and the Ni interlayer. According to the TEM analysis, most of the Cu precipitates without reacting with Ti and Ni. Si diffused in the filler metal and thin reaction layer formed at the interface between Si 3 N 4 and the filler metal producing good bond-formation and hence, high interfacial strength. No interfacial fractures occurred after cooling from the bonding temperature of 900 deg. C, which supports the results observed in the TEM analysis. This work confirms that this joining process can produce a more heat resistant Si 3 N 4 -to-Inconel 718 joint than active brazing using Ag-Cu-Ti alloys
Stochastic resonance of ensemble neurons for transient spike trains: Wavelet analysis
International Nuclear Information System (INIS)
Hasegawa, Hideo
2002-01-01
By using the wavelet transformation (WT), I have analyzed the response of an ensemble of N (=1, 10, 100, and 500) Hodgkin-Huxley neurons to transient M-pulse spike trains (M=1 to 3) with independent Gaussian noises. The cross correlation between the input and output signals is expressed in terms of the WT expansion coefficients. The signal-to-noise ratio (SNR) is evaluated by using the denoising method within the WT, by which the noise contribution is extracted from the output signals. Although the response of a single (N=1) neuron to subthreshold transient signals with noises is quite unreliable, the transmission fidelity assessed by the cross correlation and SNR is shown to be much improved by increasing the value of N: a population of neurons plays an indispensable role in the stochastic resonance (SR) for transient spike inputs. It is also shown that in a large-scale ensemble, the transmission fidelity for suprathreshold transient spikes is not significantly degraded by a weak noise which is responsible to SR for subthreshold inputs
Role of cell death in the propagation of PrP(Sc) in immune cells.
Takahashi, Kenichi; Inoshima, Yasuo; Ishiguro, Naotaka
2015-03-01
A number of studies have suggested that macrophages, dendritic cells, and follicular dendritic cells play an important role in the propagation of PrP(Sc). Both accumulation and proteolysis of PrP(Sc) have been demonstrated in peripheral macrophages. Macrophages may act as reservoirs for PrP(Sc) particles if the cells die during transient PrP(Sc) propagation. However, whether cell death plays a role in PrP(Sc) propagation in macrophages remains unclear. In this study, we investigated the possibility of propagation and transmission of PrP(Sc) between dead immune cells and living neural cells. We found that under specific conditions, transient PrP(Sc) propagation occurs in dead cells, indicating that interaction between PrP(C) and PrP(Sc) on plasma membrane lipid rafts might be important for PrP(Sc) propagation. Co-culturing of killed donor PrP(Sc)-infected macrophages with recipient N2a-3 neuroblastoma cells accelerated PrP(Sc) transmission. Our results suggest that cell death may play an important role in PrP(Sc) propagation, whereas transient PrP(Sc) propagation in macrophages has little effect on PrP(Sc) transmission.
Wide-bandgap epitaxial heterojunction windows for silicon solar cells
Landis, Geoffrey A.; Loferski, Joseph J.; Beaulieu, Roland; Sekula-Moise, Patricia A.; Vernon, Stanley M.
1990-01-01
It is shown that the efficiency of a solar cell can be improved if minority carriers are confined by use of a wide-bandgap heterojunction window. For silicon (lattice constant a = 5.43 A), nearly lattice-matched wide-bandgap materials are ZnS (a = 5.41 A) and GaP (a = 5.45 A). Isotype n-n heterojuntions of both ZnS/Si and GaP/Si were grown on silicon n-p homojunction solar cells. Successful deposition processes used were metalorganic chemical vapor deposition (MO-CVD) for GaP and ZnS, and vacuum evaporation of ZnS. Planar (100) and (111) and texture-etched - (111)-faceted - surfaces were used. A decrease in minority-carrier surface recombination compared to a bare surface was seen from increased short-wavelength spectral response, increased open-circuit voltage, and reduced dark saturation current, with no degradation of the minority carrier diffusion length.
Política pública de biblioteca pública en Medellín : hacia la construcción de una guía de evaluación
Bornacelly, Jaime; Quintero Castro, Nathalia; Cuartas Celis, Deiman; Restrepo, María Camila; Gil Aristizábal, Duban
2014-01-01
Desde un enfoque cualitativo e interpretativo, se indaga sobre la política pública en biblioteca pública en Medellín y su evaluación; para ello se adelanta una investigación documental, para saber sobre la definición y los objetivos de la biblioteca pública en la normatividad existente; los aportes de los académicos y lo dicho por algunos representantes del sector bibliotecario local; se identifica qué es una política pública, sus pasos y el análisis para configurar una propuesta de referente...
International Nuclear Information System (INIS)
Cho, Bumhee; Cho, Nam Zin
2015-01-01
In this study, the steady-state p-CMFD adjoint flux is used as the weighting function to obtain PK parameters instead of the computationally expensive transport adjoint angular flux. Several numerical problems are investigated to see the capability of the PCQS method applied to the NLG iteration. CRX-2K adopts the nonoverlapping local/global (NLG) iterative method with the 2-D/1-D fusion transport kernel and the global p-CMFD wrapper. The parallelization of the NLG iteration has been recently implemented in CRX-2K and several numerical results are reported in a companion paper. However, the direct time discretization leads to a fine time step size to acquire an accurate transient solution, and the step size involved in the transport transient calculations is millisecond-order. Therefore, the transient calculations need much longer computing time than the steady-state calculation. To increase the time step size, Predictor-Corrector Quasi-Static (PCQS) method can be one option to apply to the NLG iteration. The PCQS method is a linear algorithm, so the shape function does not need to be updated more than once at a specific time step like a conventional quasi-static (QS) family such as Improved Quasi-Static (IQS) method. Moreover, the shape function in the PCQS method directly comes from the direct transport calculation (with a large time step), so one can easily implement the PCQS method in an existing transient transport code. Any QS method needs to solve the amplitude function in the form of the point kinetics (PK) equations, and accurate PK parameters can be obtained by the transport steady-state adjoint angular flux as a weighting function. The PCQS method is applied to the transient NLG iteration with the 2-D/1-D fusion transport kernel and the global p-CMFD wrapper, and has been implemented in CRX-2K. In the numerical problems, the PCQS method with the NLG iteration shows more accurate solutions compared to the direct transient calculations with large time step
New insight into the parasitic bipolar amplification effect in single event transient production
International Nuclear Information System (INIS)
Chen Jian-Jun; Chen Shu-Ming; Liang Bin; Deng Ke-Feng
2012-01-01
In this paper, a new method is proposed to study the mechanism of charge collection in single event transient (SET) production in 90 nm bulk complementary metal oxide semiconductor (CMOS) technology. We find that different from the case in the pMOSFET, the parasitic bipolar amplification effect (bipolar effect) in the balanced inverter does not exist in the nMOSFET after the ion striking. The influence of the substrate process on the bipolar effect is also studied in the pMOSFET. We find that the bipolar effect can be effectively mitigated by a buried deep P + -well layer and can be removed by a buried SO 2 layer. (condensed matter: structural, mechanical, and thermal properties)
P-spray implant optimization for the fabrication of n-in-p microstrip detectors
International Nuclear Information System (INIS)
Fleta, Celeste; Lozano, Manuel; Pellegrini, Giulio; Campabadal, Francesca; Rafi, Joan Marc; Ullan, Miguel
2007-01-01
This work reports on an optimization study of the p-spray profile for the fabrication of n-in-p microstrip silicon detectors. A thorough simulation process of the expected electrical performance of different p-spray technologies was carried out. The best technological options for the p-spray implantation were chosen for the fabrication of miniature n-in-p microstrip detectors on high resistivity FZ wafers at the IMB-CNM clean room. The main conclusions derived from the simulations, and the electrical performance of a sample of the fabricated devices is presented
Investigations of thin p-GaN light-emitting diodes
DEFF Research Database (Denmark)
Fadil, Ahmed; Ou, Yiyu; Iida, Daisuke
2016-01-01
We investigate device performance of InGaN light-emitting diodes with a 30-nm p-GaN layer. The metallization used to separate the p-contact from plasmonic metals, reveals limitations on current spreading which reduces surface plasmonic enhancement.......We investigate device performance of InGaN light-emitting diodes with a 30-nm p-GaN layer. The metallization used to separate the p-contact from plasmonic metals, reveals limitations on current spreading which reduces surface plasmonic enhancement....
International Nuclear Information System (INIS)
Darwich, R.; Massarani, B.; Kaaka, M.; Awad, F.
2000-01-01
Deep-level transient spectroscopy (DLTS) has been used to study the dominant deep-level H4 produced in InP by electron irradiation. The characteristics of the H4 peak in Zn-doped Inp has been studied as a function of pulse duration (t p ) before and after annealing. The results show that at least two traps contribute to the H4 peak: one is a fast trap (labeled H4 f ) and the other is a show trap (labeled H4 s ). This is show through several results concerning the activation energy, the capture cross section, the full width at half-maximum, and the peak temperature shift. It is shown that both traps are irradiation defects created in P sublattice. (authors)
Shan, Zhendong; Ling, Daosheng
2018-02-01
This article develops an analytical solution for the transient wave propagation of a cylindrical P-wave line source in a semi-infinite elastic solid with a fluid layer. The analytical solution is presented in a simple closed form in which each term represents a transient physical wave. The Scholte equation is derived, through which the Scholte wave velocity can be determined. The Scholte wave is the wave that propagates along the interface between the fluid and solid. To develop the analytical solution, the wave fields in the fluid and solid are defined, their analytical solutions in the Laplace domain are derived using the boundary and interface conditions, and the solutions are then decomposed into series form according to the power series expansion method. Each item of the series solution has a clear physical meaning and represents a transient wave path. Finally, by applying Cagniard's method and the convolution theorem, the analytical solutions are transformed into the time domain. Numerical examples are provided to illustrate some interesting features in the fluid layer, the interface and the semi-infinite solid. When the P-wave velocity in the fluid is higher than that in the solid, two head waves in the solid, one head wave in the fluid and a Scholte wave at the interface are observed for the cylindrical P-wave line source.
Role of Transient Mobility on Submonolayer Island Growth: Extensions and Testing
Morales Cifuentes, Josue; Einstein, Theodore; Pimpinelli, Alberto
In studies of epitaxial growth a major goal is assessing the smallest stable cluster (i + 1 monomers, with i the critical nucleus size), by analyzing the capture zone distribution (CZD) or the scaling of incident flux F to the density of stable islands N (N ~Fα , with α the growth exponent). As noted in the previous talk, the GWD has well described the data in several experiments, including submonolayer para-hexaphenyl (6P) on amorphous mica (i ~ 3). Different scaling (Fα) for 6P at (small) large F is attributed to (DLA) ALA dynamics, i.e. i = (5) 7 +/- 2. Our recent theoretical work considered monomers propagating ballistically before thermalizing or attaching to islands, leading to scaling, non-monotonic crossover, and activation energies that account for the data and reconciling the values of i. We present applications to other experimental systems: 6P on SiO2 and pentacene (5A) on amorphous mica. We describe useful simplifying approximations, and preliminary kinetic Monte Carlo simulations including transient effects on growth. Work at UMD supported by NSF CHE 13-05892.
Effects of multiple substitution upon the P...N noncovalent interaction
International Nuclear Information System (INIS)
Scheiner, Steve
2011-01-01
Graphical abstract: The presence of one halogen opposite the N results in strong attraction between P and N. This force is little affected by identity of Y atoms, whether H or halogen. Highlights: → Strong attractive force directly between trivalent P and N atoms. → P...N force is unlike H-bonds or halogen bonds, but stronger than both. → Multiple halogenation beyond a single atom on P slightly weakens the interaction. - Abstract: The attractive noncovalent interaction of a P atom with N is derived primarily from two sources. Charge transfer from the N lone pair into the σ * antibonding orbital of a P-X bond that is turned away from the N atom combines with attractive Coulombic forces. As in the case of H-bonding, which is parallel in some ways to P...N attraction, placement of an electron-withdrawing substituent on the P atom enhances both of these components, and strengthens the overall interaction. However, in stark contrast with H-bonding, halogenation beyond monosubstitution does not lead to any further strengthening of the P...N noncovalent bond. Indeed, di and tri-substitution lead to small reductions in the interaction energy. In all cases, the geometry which contains a P...N bond is more stable than other candidate structures, some of which contain hydrogen or halogen bonds.
La gestión de recursos humanos en la administración pública
Directory of Open Access Journals (Sweden)
Manuel Martins Kidito Xavier da Gama
2014-06-01
Full Text Available La administración es un concepto que se refiere tanto a la actividad privada como a la pública, por ello se dice que la administración es universal, dado que cualquier organización requiere tomar decisiones, coordinar actividades, manejar personal, así como evaluar la ejecución dirigida hacia objetivos de grupo. Por esto es necesario establecer las diferencias entre la administración pública y la privada, consideran que la administración pública aprovecha todas las experiencias de la administración en general, así como las técnicas, métodos, principios y procedimientos de la administración privada.
Adaptive sampling of AEM transients
Di Massa, Domenico; Florio, Giovanni; Viezzoli, Andrea
2016-02-01
This paper focuses on the sampling of the electromagnetic transient as acquired by airborne time-domain electromagnetic (TDEM) systems. Typically, the sampling of the electromagnetic transient is done using a fixed number of gates whose width grows logarithmically (log-gating). The log-gating has two main benefits: improving the signal to noise (S/N) ratio at late times, when the electromagnetic signal has amplitudes equal or lower than the natural background noise, and ensuring a good resolution at the early times. However, as a result of fixed time gates, the conventional log-gating does not consider any geological variations in the surveyed area, nor the possibly varying characteristics of the measured signal. We show, using synthetic models, how a different, flexible sampling scheme can increase the resolution of resistivity models. We propose a new sampling method, which adapts the gating on the base of the slope variations in the electromagnetic (EM) transient. The use of such an alternative sampling scheme aims to get more accurate inverse models by extracting the geoelectrical information from the measured data in an optimal way.
[Juzhnoselkupskij slovar N. P. Grigorovskogo] / Ago Künnap
Künnap, Ago, 1941-
2007-01-01
Juzhnoselkupskij slovar N. P. Grigorovskogo. Obrabotka i izdanie Jevgenija Helimskogo. Südselkupisches Wörterbuch von N. P. Grigorovski. Bearbeitet und herausgegeben von Eugen Helimski, Hamburg 2007. (Hamburger Sibirische Finnougrische Materialien. Habent Sua Fata Manuscripta. Band 4)
Ultralow noise midwave infrared InAs-GaSb strain layer superlattice avalanche photodiode
International Nuclear Information System (INIS)
Mallick, Shubhrangshu; Banerjee, Koushik; Ghosh, Siddhartha; Plis, Elena; Rodriguez, Jean Baptiste; Krishna, Sanjay; Grein, Christoph
2007-01-01
Eye-safe midwavelength infrared InAs-GaSb strain layer superlattice p + -n - -n homojunction avalanche photodiodes (APDs) grown by solid source molecular beam epitaxy were fabricated and characterized. Maximum multiplication gain of 1800 was measured at -20 V at 77 K. Excess noise factors between 0.8 and 1.2 were measured up to gain of 300. Gain of 200 was measured at 120 K. Exponential nature of the gain as a function of reverse bias along with low excess noise factor at higher gain confirms single carrier electron-only impact ionization in the avalanche regime. Decrease in the multiplication gain at higher temperatures correlates with standard APD characteristics
ATLAS irradiation studies of n-in-n and p-in-n silicon microstrip detectors
Allport, P P; Buttar, C M; Carter, J; Drage, L M; Ferrère, D; Morgan, D; Riedler, P; Robinson, D
1999-01-01
Prior to the module production of the ATLAS silicon microstrip tracker for the barrel and the forward wheels, the characterisation of full-size prototype silicon detectors after radiation to fluences corresponding to 10 years of ATLAS operation is required. The behaviour of p-in-n and n-in-n detectors produced by several manufacturers before and after irradiation to a fluence of 3*10/sup 14/ protons/cm/sup 2/ at the CERN PS facility is discussed. This article summarises some recent results from the ATLAS SCT collaboration. The measurements of leakage current, full depletion voltage, signal-to-noise ratio and charge collection efficiency are presented. Despite the better efficiency performance of n-in-n detectors below depletion, the collaboration chose the p-in-n technology due to its simpler and less costly production since good charge collection efficiencies were achieved at the desired maximum bias voltage. (14 refs).
Demir, Hakan; Tan, Yusuf Z; Isgoren, Serkan; Gorur, Gozde D; Kozdag, Guliz; Ural, Ertan; Berk, Fatma
2008-06-01
Transient left ventricular contractile dysfunction (TLVD) is observed owing to post-exercise stunning in patients with coronary artery disease (CAD). Pharmacological stimulation differs from exercise stress because it does not cause demand ischemia. The aim of this study was to determine whether TLVD could also be seen after pharmacological stress (dipyridamole). Of the patients in whom gated single-photon emission computed tomography (GSPECT) was performed in our institution from January 2004 to April 2007, 439 subjects with known or suspected CAD were included in the study. GSPECT was performed for all patients following exercise (group I, n = 220) or pharmacological stress (group II, n = 219) according to a 2-day (stress-rest) protocol after injection of Tc-99m methoxyisobutyl-isonitrile (MIBI). Stress, rest, and difference (stress-rest value) left ventricular ejection fractions (SLVEF, RLVEF, and DLVEF) and transient ischemic dilatation (TID) ratio were derived automatically. Summed stress score, summed rest score, and summed difference score (SDS) for myocardial perfusion were calculated using a 20-segment model and a five-point scoring system. An SDS > 3 was considered as ischemic. On the basis of the perfusion findings, patients were subdivided into a normal (group A, n = 216) and ischemia group (group B, n = 223). DLVEF and perfusion scores of all groups were compared. Relationships between DLVEF and perfusion, and between TID ratio and DLVEF were also evaluated. Stress-induced ischemia was observed in 223 of 439 patients (50.8%). In group A, the difference between stress and rest LVEF values was not significant (P = 0.670 and P = 0.200 for groups IA and IIA, respectively). However, LVEF was significantly decreased after stress compared with rest values for group B (P good correlations between TID ratios and DLVEF values in four subgroups (r = -0.55, r = -0.62, r = -0.59, and r = -0.41; for groups IA, IB, IIA, and IIB, respectively, P stress was observed
Iodine (p,n) and (d,2n) excitation function measurements
International Nuclear Information System (INIS)
West, H.I. Jr.; Nuckolls, R.M.; Mustafa, M.G.; Lanier, R.G.
1991-01-01
We have measured the nuclear excitation functions for the reactions 127 I (p,n) 127 Xe and 127 I(d,2n) 127 Xe. These results are being used in the interpretation of data obtained from nuclear test diagnostics. 15 refs., 3 figs., 3 tabs
International Nuclear Information System (INIS)
Koopman, R.P.
1977-01-01
A series of experiments was performed in which gamma-ray spectra were measured, using a Ge(Li) detector, for incident 7 to 26-MeV protons on the even-even vibrational nuclei 56 Fe, 62 Ni, 64 Zn, 108 Pd, 110 Cd, 114 Cd, 116 Cd, 116 Sn, 120 Sn, and 206 Pb, and for incident 14-MeV neutrons on natural Fe, Ni, Zn, Cd, Sn, and Pb. These measurements yielded gamma-ray cross sections from which it was inferred that almost all of the gamma cascades from (p,p') and (n,n') reactions passed down through the first 2 + levels. Consequently, the strength of the 2 + → 0 + gamma transitions were found to be an indirect measure of the (p,p') or (n,n') cross sections. Several types of nuclear model calculations were performed and compared with experimental results. These calculations included coupled-channel calculations to reproduce the direct, collective excitation of the low-lying levels, and statistical plus pre-equilibrium model calculations to reproduce the (p,p') and the (n,n') cross sections for comparison with the 2 + → 0 + gamma measurements. The agreement between calculation and experiment was generally good except at high energies, where pre-equilibrium processes dominate (i.e. around 26-MeV). Here discrepancies between calculations from the two different pre-equilibrium models and between the data and the calculations were found. Significant isospin mixing of T/sub greater than/ into T/sub less than/ states was necessary in order to have the calculations match the data for the (p,p') reactions, up to about 18-MeV
Directory of Open Access Journals (Sweden)
Moumita Ghosh
2013-01-01
Full Text Available The authors have made an attempt to investigate the effect of electron versus hole photocurrent on the optoelectric properties of p+-p-n-n+ structured Wurtzite-GaN (Wz-GaN reach-through avalanche photodiodes (RAPDs. The photo responsivity and optical gain of the devices are obtained within the wavelength range of 300 to 450 nm using a novel modeling and simulation technique developed by the authors. Two optical illumination configurations of the device such as Top Mounted (TM and Flip Chip (FC are considered for the present study to investigate the optoelectric performance of the device separately due to electron dominated and hole dominated photocurrents, respectively, in the visible-blind ultraviolet (UV spectrum. The results show that the peak unity gain responsivity and corresponding optical gain of the device are 555.78 mA W−1 and 9.4144×103, respectively, due to hole dominated photocurrent (i.e., in FC structure; while those are 480.56 mA W−1 and 7.8800×103, respectively, due to electron dominated photocurrent (i.e., in TM structure at the wavelength of 365 nm and for applied reverse bias of 85 V. Thus, better optoelectric performance of Wz-GaN RAPDs can be achieved when the photocurrent is made hole dominated by allowing the UV light to be shined on the n+-layer instead of p+-layer of the device.
Analysis of the auger recombination rate in P+N-n-N-N HgCdTe detectors for HOT applications
Schuster, J.; Tennant, W. E.; Bellotti, E.; Wijewarnasuriya, P. S.
2016-05-01
Infrared (IR) photon detectors must be cryogenically cooled to provide the highest possible performance, usually to temperatures at or below ~ 150K. Such low operating temperatures (Top) impose very stringent requirements on cryogenic coolers. As such, there is a constant push in the industry to engineer new detector architectures that operate at higher temperatures, so called higher operating temperature (HOT) detectors. The ultimate goal for HOT detectors is room temperature operation. While this is not currently possibly for photon detectors, significant increases in Top are nonetheless beneficial in terms of reduced size, weight, power and cost (SWAP-C). The most common HgCdTe IR detector architecture is the P+n heterostructure photodiode (where a capital letter indicates a wide band gap relative to the active layer or "AL"). A variant of this architecture, the P+N-n-N-N heterostructure photodiode, should have a near identical photo-response to the P+n heterostructure, but with significantly lower dark diffusion current. The P+N-n-N-N heterostructure utilizes a very low doped AL, surrounded on both sides by wide-gap layers. The low doping in the AL, allows the AL to be fully depleted, which drastically reduces the Auger recombination rate in that layer. Minimizing the Auger recombination rate reduces the intrinsic dark diffusion current, thereby increasing Top. Note when we use the term "recombination rate" for photodiodes, we are actually referring to the net generation and recombination of minority carriers (and corresponding dark currents) by the Auger process. For these benefits to be realized, these devices must be intrinsically limited and well passivated. The focus of this proceeding is on studying the fundamental physics of the intrinsic dark currents in ideal P+N-n-N-N heterostructures, namely Auger recombination. Due to the complexity of these devices, specifically the presence of multiple heterojunctions, numerical device modeling techniques must be
Bishop, John G.; O'Hara, Niamh B.; Titus, Jonathan H.; Apple, Jennifer L.; Gill, Richard A.; Wynn, Louise
2010-01-01
Background The effect of low nutrient availability on plant-consumer interactions during early succession is poorly understood. The low productivity and complexity of primary successional communities are expected to limit diversity and abundance of arthropods, but few studies have examined arthropod responses to enhanced nutrient supply in this context. We investigated the effects of nitrogen (N) and phosphorus (P) addition on plant productivity and arthropod abundance on 24-yr-old soils at Mount St. Helens volcano. Methodology/Principal Findings We measured the relative abundance of eight arthropod orders and five families in plots that received N, P, or no nutrients for 3–5 years. We also measured plant % cover, leaf %N, and plant diversity. Vegetation responded rapidly to N addition but showed a lagged response to P that, combined with evidence of increased N fixation, suggested P-limitation to N availability. After 3 yrs of fertilization, orthopterans (primarily Anabrus simplex (Tettigoniidae) and Melanoplus spp (Acrididae)) showed a striking attraction to P addition plots, while no other taxa responded to fertilization. After 5 yrs of fertilization, orthopteran density in the same plots increased 80%–130% with P addition and 40% with N. Using structural equation modeling, we show that in year 3 orthopteran abundance was associated with a P-mediated increase in plant cover (or correlated increases in resource quality), whereas in year 5 orthopteran density was not related to cover, diversity or plant %N, but rather to unmeasured effects of P, such as its influence on other aspects of resource quality. Conclusions/Significance The marked surprising response to P by orthopterans, combined with a previous observation of P-limitation in lepidopteran herbivores at these sites, suggests that P-mediated effects of food quantity or quality are critical to insect herbivores in this N-P co-limited primary successional system. Our results also support a previous
International Nuclear Information System (INIS)
Yuan Junqian; Wang Yongchang; Kong Xiangzhong; Yang Jingkang
1992-01-01
The cross sections for the 50 Ti(n, α) 47 Ca, 46 Ti(n, p) 46 Sc, 48 Ti(n, p) 48 Sc and 58 Ni(n, 2n) 57 Ni, 58 Ni(n, p) 58m+g Co reactions have been measured by using the activation method relative to the cross sections of the 27 Al(n, α) 24 Na reaction in the neutron energy range of 13.50-14.81 MeV. The neutron energies were determined by the cross section ratios of the 90 Zr(n, 2n) 89m+g Zr and 93 Nb(n, 2n) 92m Nb reactions. The results obtained are compared with the published and to be published data of several authors
Mughal, Asad J.; Young, Erin C.; Alhassan, Abdullah I.; Back, Joonho; Nakamura, Shuji; Speck, James S.; DenBaars, Steven P.
2017-12-01
Improved turn-on voltages and reduced series resistances were realized by depositing highly Si-doped n-type GaN using molecular beam epitaxy on polarization-enhanced p-type InGaN contact layers grown using metal-organic chemical vapor deposition. We compared the effects of different Si doping concentrations and the addition of p-type InGaN on the forward voltages of p-n diodes and light-emitting diodes, and found that increasing the Si concentrations from 1.9 × 1020 to 4.6 × 1020 cm-3 and including a highly doped p-type InGaN at the junction both contributed to reductions in the depletion width, the series resistance of 4.2 × 10-3-3.4 × 10-3 Ω·cm2, and the turn-on voltages of the diodes.
Photocatalytic degradation of p,p'-DDT under UV and visible light using interstitial N-doped TiO₂.
Ananpattarachai, Jirapat; Kajitvichyanukul, Puangrat
2015-01-01
1,1,1-trichloro-2,2-bis(p-chlorophenyl)ethane (or p,p'-DDT) is one of the most persistent pesticides. It is resistant to breakdown in nature and cause the water contamination problem. In this work, a major objective was to demonstrate the application of N-doped TiO2 in degradation and mineralization of the p,p'-DDT under UV and visible light in aqueous solution. The N-doped TiO2 nanopowders were prepared by a simple modified sol-gel procedure using diethanolamine (DEA) as a nitrogen source. The catalyst characteristics were investigated using XRD, SEM, TEM, and XPS. The adsorption and photocatalytic oxidation of p,p'-DDT using the synthesized N-doped TiO2 under UV and visible light were conducted in a batch photocatalytic experiment. The kinetics and p,p'-DDT degradation performance of the N-doped TiO2 were evaluated. Results show that the N-doped TiO2 can degrade p,p'-DDT effectively under both UV and visible lights. The rate constant of the p,p'-DDT degradation under UV light was only 0.0121 min(-1), whereas the rate constant of the p,p'-DDT degradation under visible light was 0.1282 min(-1). Under visible light, the 100% degradation of p,p'-DDT were obtained from N-doped TiO2 catalyst. The reaction rate of p,p'-DDT degradation using N-doped TiO2 under visible light was sixfold higher than that under UV light. According to Langmuir-Hinshelwood model, the adsorption equilibrium constant (K) for the N-doped TiO2 under visible light was 0.03078 L mg(-1), and the apparent reaction rate constant (k) was 1.3941 mg L(-1)-min. Major intermediates detected during the p,p'-DDT degradation were p,p'-DDE, o,p'-DDE, p,p'-DDD and p,p'-DDD. Results from this work can be applied further for the breakdown of p,p'-DDT molecule in the real contaminated water using this technology.
Two-dimensional non-volatile programmable p-n junctions
Li, Dong; Chen, Mingyuan; Sun, Zhengzong; Yu, Peng; Liu, Zheng; Ajayan, Pulickel M.; Zhang, Zengxing
2017-09-01
Semiconductor p-n junctions are the elementary building blocks of most electronic and optoelectronic devices. The need for their miniaturization has fuelled the rapid growth of interest in two-dimensional (2D) materials. However, the performance of a p-n junction considerably degrades as its thickness approaches a few nanometres and traditional technologies, such as doping and implantation, become invalid at the nanoscale. Here we report stable non-volatile programmable p-n junctions fabricated from the vertically stacked all-2D semiconductor/insulator/metal layers (WSe2/hexagonal boron nitride/graphene) in a semifloating gate field-effect transistor configuration. The junction exhibits a good rectifying behaviour with a rectification ratio of 104 and photovoltaic properties with a power conversion efficiency up to 4.1% under a 6.8 nW light. Based on the non-volatile programmable properties controlled by gate voltages, the 2D p-n junctions have been exploited for various electronic and optoelectronic applications, such as memories, photovoltaics, logic rectifiers and logic optoelectronic circuits.
Cohen, M. J.; Douglass, R. L.; Martin, J. B.; Thomas, R. G.; Heffernan, J. B.; Foster, C. R.
2010-12-01
Diel variation in solutes offers insight into lotic ecosystem processes. Diel variation in dissolved oxygen (DO) is the standard method to estimate aquatic primary production (C fixation). Recently, diel variation in nitrate concentration was used to infer rates and pathways of N processing. From coupled C and N measurements, stoichiometric ratios of nutrient assimilation can be obtained, and variation therein linked back to environmental drivers and ecological changes. Here we present data obtained using an in situ phosphate sensor (Cycle-P, WetLabs, Philomath OR) that permits coupled high frequency C, N and P measurements. We collected hourly samples over 3 two-week deployments in the Ichetucknee River, a large (Q ~ 10 m3 s-1), productive (GPP ~ 6 g C m-2 d-1), entirely spring-fed river in north Florida. We observed average soluble reactive P (SRP) concentrations of 44, 41 and 45 µg/L for Dec-09, Feb-10 and Apr-10, respectively, and marked diel variation that averaged 6.7±0.9 µg/L. Observed river concentrations were consistently at or below the flow-weighted average input concentration of the 6 main springs that feed the river (49 µg/L) suggesting net SRP removal over the 5 km reach. Removal from co-precipitation with calcite or Fe-oxides is unlikely since variation in Fe and Ca is smaller than, and out of phase with, P variation. Since internal stores are presumed to be at steady-state given conditions of constant discharge, the balance of P export likely occurs as organic matter. Based on discharge during each deployment, diel variation of P concentrations indicate system-wide assimilation of 1505 ± 423 g P d-1, or 8.0 ± 2.3 mg P m-2 d-1 over the 17 ha of benthic surface area. Contemporaneous measurements of DO and nitrate implied average ecosystem stoichiometry (C:N:P) of 267:14:1, consistent with production dominated by submerged aquatic macrophytes rather than algae and other microflora. Of particular interest is the observation that diel variation in
Directory of Open Access Journals (Sweden)
Homero Ferracini Gumerato
2007-09-01
Full Text Available Foi estudada a transferência de calor transiente na agitação linear e intermitente (ALI de embalagens metálicas contendo simulantes de alimentos, objetivando-se sua aplicação em processos de pasteurização ou esterilização e conseqüentes tratamentos térmicos mais eficientes, homogêneos e com produto de melhor qualidade. Foram utilizados quatro meios fluidos simulantes de alimentos de diferentes viscosidades e massas específicas: três óleos e água. Foram combinados efeitos de cinco tratamentos, sendo: meio simulante (4 níveis, espaço livre (3 níveis, freqüência de agitação (4 níveis, amplitude de agitação (2 níveis e posição das latas (4 níveis. Os ensaios de aquecimento e resfriamento foram feitos em tanque com água à temperatura de 98 °C e 17-20 °C, respectivamente. Com os dados de penetração de calor em cada experimento, foram calculados os parâmetros de penetração de calor fh, jh, fc e jc. Os resultados foram modelados utilizando-se grupos de números adimensionais e expressos em termos de Nusselt, Prandtl, Reynolds e funções trigonométricas (com medidas de amplitude e freqüência de agitação, espaço livre e dimensões da embalagem. Foram estabelecidas as duas Equações gerais para as fases de aquecimento e resfriamento: Nu = ReA 0,199.Pr 0,288.sen(xa/AM0,406.cos(xf/FA1,039.cos((xf/FA.(EL/H.p4,556 Aquecimento Nu = 0,1295.ReA0,047.Pr 0,193.sen(xa/AM0,114.cos(xf/FA0,641.cos((xf/FA.(EL/H.p2,476 Resfriamento O processo de ALI pode ser aplicado em pasteurizadores ou autoclaves estáticas horizontais e verticais, com modificações simples. Concluiu-se que a ALI aumenta significativamente a taxa de transferência de calor, tanto no aquecimento como no resfriamento.The transient heat transfer during the intermittent and linear shaking of tin plate cans containing simulating food was studied in order to apply this technology in the pasteurization and sterilization processes, achieving
Nanoscale Cross-Point Resistive Switching Memory Comprising p-Type SnO Bilayers
Hota, Mrinal Kanti
2015-02-23
Reproducible low-voltage bipolar resistive switching is reported in bilayer structures of p-type SnO films. Specifically, a bilayer homojunction comprising SnOx (oxygen-rich) and SnOy (oxygen-deficient) in nanoscale cross-point (300 × 300 nm2) architecture with self-compliance effect is demonstrated. By using two layers of SnO film, a good memory performance is obtained as compared to the individual oxide films. The memory devices show resistance ratio of 103 between the high resistance and low resistance states, and this difference can be maintained for up to 180 cycles. The devices also show good retention characteristics, where no significant degradation is observed for more than 103 s. Different charge transport mechanisms are found in both resistance states, depending on the applied voltage range and its polarity. The resistive switching is shown to originate from the oxygen ion migration and subsequent formation/rupture of conducting filaments.
Talousveden pH-säädön optimointi
Elo, Hanne
2009-01-01
Tämän opinnäytetyön aiheena oli Talousveden pH-säädön optimointi. Työn tarkoituksena oli tutkia Forssan Vesihuoltolaitoksella raakaveden pH:n säätöä ja tutustua STEL-80A vedenkäsittelylaitteen toimintaan. Raakaveden pH:n säätökokeita tehtiin eri vahvuisilla lipeä- ja soodaliuoksilla. STEL-80A vedenkäsittelylaite tuottaa ruokasuolasta klooria ja lipeää, joita voidaan käyttää veden desinfiointiin ja pH-säätöön. STEL-80A vedenkäsittelylaitteella tehtiin kokeita eri virran voimakkuuksilla, joiden...
Programas de conciencia pública y prevención
Directory of Open Access Journals (Sweden)
. USIS
2015-01-01
Full Text Available Dossier Comunicación y Drogas. Las campañas de conciencia pública son vitales para el éxito de los programas de reducción de la demanda. Su propósito es hacer que el público comprenda los peligros de consumo de drogas y promover la participación pública en la guerra contra ellas. Estos programas pueden ser bastante efectivos en la creación de un clima que desaliente el uso ilegal de las drogas.
Energy Technology Data Exchange (ETDEWEB)
Molera, J M; Gamboa, J M; Val del Cob, M; Ortin, N
1964-07-01
The rearrangement of N-n-propyl aniline to p-amino propylbenzene has been studied at 250 degree centigrade using several catalysts: CoCl{sub 2}, ZnCl{sub 2} and HBr. N-propyl-1-14{sup C}-aniline has been synthesized from sodium propionate-1-14{sup C} through conversion to n-propyl-1-14{sup C}-iodide and further reaction with aniline. After the rearrangement and among the reaction products both p-aminopropylbenzene and p-aminoisopropylbencene were found. To determine the 14{sup C} position in both the starting aniline and reaction products two degradation schemes are followed. In the light of experimental evidence a mechanism is set forth based on the assumption of an organic cation as intermediate. (Author) 13 refs.
Gobierno abierto en bibliotecas públicas: planeación estratégica y el valor público
Directory of Open Access Journals (Sweden)
Jennifer Alejandra Voutssás Lara
2017-01-01
Full Text Available La información no es la única que ha cambiado sus modelos a través de las herramientas digitales, sino que la misma sociedad se transforma constantemente en nuevos modelos y relaciones sociales. Esto ha obligado a las instituciones públicas a replantear también su labor en cuanto a la oferta de servicios a los ciudadanos, por lo que ha planteado un modelo basado en la administración de empresas privadas para una mejor administración en el ámbito público y optimización de los servicios que se ofrecen. Esta investigación ofrece un panorama conceptual del gobierno abierto, los conceptos de planeación estratégica y valor público para ejemplificar cómo fueron implementados en las bibliotecas públicas que atienden ahora a ciudadanos en ejercicio principalmente del derecho a la información y, a su vez, permitiendo el ejercicio de otros derechos fundamentales. Para ello, fue utilizada como metodología a través del análisis documental de fuentes directas sobre gobierno abierto a nivel mundial y de instituciones públicas internacionales que vigilan este derecho; así como casos en diversas bibliotecas públicas en Estados Unidos donde se llevaron estrategias de gobierno abierto en bibliotecas públicas. Finalmente, se consideraron las fuentes indirectas; por ejemplo, información no referente a estos conceptos, pero que ejemplifican el valor público y la planeación estratégica de las bibliotecas públicas en México y que, por medio del encadenamiento deductivo, complementan la comprensión del gobierno abierto en las bibliotecas como instituciones públicas y proponer nuevas estrategias de valor público en estos espacios.
Directory of Open Access Journals (Sweden)
Sousa Gerimário F. de
2001-01-01
Full Text Available The reactions of 2-acetylpyridine-N(4-phenylthiosemicarbazone, HAP4P, and 2-hydroxyacetophenone-N(4-phenylthiosemicarbazone, H2DAP4P, with R4-mSnXm (m = 2, 3; R = Me, nBu, Ph and X = Cl, Br led to the formation of hexa- and penta-coordinated organotin(IV complexes, which were studied by microanalysis, IR, ¹H-NMR and Mössbauer spectroscopies. The molecular structures of [SnMe2(DAP4P] and [Sn nBu2(DAP4P] were determined by single-crystal X-ray diffraction studies. In the compounds [SnClMe2(AP4P] and [SnBrMe2(AP4P], the deprotonated ligand AP4P- is N,N,S-bonded to the Sn(IV atoms, which exhibit strongly distorted octahedral coordination. The structures of [SnMe2(DAP4P] and [Sn nBu2(DAP4P] revealed that the DAP4P2- anion acts as a O,N,S-tridentate ligand. In these cases, the Sn(IV atoms adopt a strongly distorted trigonal bipyramidal configuration where the azomethine N and the two C atoms are on the equatorial plane while the O and the S atoms occupy the axial positions.
Study on (n,2n) and (n,p) reactions of strontium nucleus
Energy Technology Data Exchange (ETDEWEB)
Yiğit, Mustafa, E-mail: mustafayigit@aksaray.edu.tr [Aksaray University, Department of Physics, Faculty of Arts and Science, Aksaray (Turkey); Tel, Eyyup [Osmaniye Korkut Ata University, Department of Physics, Faculty of Arts and Science, Osmaniye (Turkey)
2015-11-15
Highlights: • The cross sections for (n,2n) and (n,p) nuclear reactions on {sup 84,86,88,90}Sr target nuclei have been investigated. • The codes ALICE/ASH, PCROSS, CEM03.01, and cross section systematics are carried out in the calculations. • Cross section calculations are given at projectile energy range from the threshold up to 30 MeV. • Obtained results are compared with each other, experimental data, and ENDF/B-VII.1 and TENDL-2014 libraries. - Abstract: The cross sections for (n,2n) and (n,p) nuclear reactions on {sup 84,86,88,90}Sr target nuclei up to 30 MeV from threshold have been investigated. The determination of the cross sections has been done employing the codes ALICE/ASH, PCROSS, CEM03.01 which taking into consideration compound and precompound emissions. Calculations have been performed by using the Weisskopf Ewing model (WEM) of compound reaction mechanism, and the Hybrid model (HM), Geometry Dependent Hybrid model (GDHM) and Full Exciton model (FEM) of precompound reaction mechanism, and Cascade Exciton model (CEM) including Cascade interactions, and the empirical and semi-emipirical systematics. In order to test the theoretical nuclear models, the data obtained from the excitation function calculations are discussed and compared with available experimental values, ENDF/B-VII.1 and TENDL-2014 libraries. Finally the new cross section results calculated in the present paper may be useful for nuclear energy applications.
The (n,p) reaction as a probe of nuclear structure
International Nuclear Information System (INIS)
Jackson, K.P.; Celler, A.
1988-08-01
An account is given of some results of studies of the (n,p) reaction on nuclear targets at TRIUMF. The (n,p) reaction, inducing spin flip transitions in isospin space, appears to exhibit a unique sensitivity to certain aspects of nuclear structure. The TRIUMF facility is the first to exploit the (n,p) reaction as a detailed probe of nuclear structure at energies above 65 MeV. In the (n,p) reaction Fermi transitions are absent, but there is a dramatic impact on Gamow-Teller and other collective transactions. Some nuclear transition matrix elements can be estimated on the basis of (n,p) measurements. Experiments have been carried out at TRIUMF on Li 6 , Fe 5 4, and Zr 9 0 targets. The calibration of the (n,p) reaction as a probe of the Gamow-Teller strength B + GT has been achieved for three targets. (L.L.) (45 refs., 10 figs.)
Electroluminescence from a n-ZnO/p-GaN hybrid LED
Energy Technology Data Exchange (ETDEWEB)
Behrends, Arne; Bakin, Andrey; Waag, Andreas [Institute of Semiconductor Technology, Hans-Sommer Str. 66, 38106 Braunschweig (Germany); Kwack, Ho-Sang; Dang, Le Si [Institut Neel, CNRS-UJF, 25, rue des Martyrs, 38042 Grenoble (France)
2010-06-15
In this work we report on the fabrication and characterization of a n-ZnO/p-GaN heterojunction LED. The p-GaN layer was fabricated using MOCVD on Al{sub 2}O{sub 3} with Mg as the acceptor whereas the ZnO nanostructures were grown in a very simple vapor transport system without any additionally doping. Room temperature electroluminescence (EL) measurements show green deep band emission centered at 2.3 eV which is clearly visible with the naked eye when the structure is forward biased. Cathodoluminescence mapping was performed to explain the absence of the band edge emission in the EL spectrum. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Investigation of thermometrical characteristics of p+–n-GaP diodes
Directory of Open Access Journals (Sweden)
Sypko N. I.
2008-12-01
Full Text Available The method of reception of p+–n-diode epitaxial structures of GaP from liquid phase is developed. In the temperature range of 80—520 K thermometric and current-voltage characteristics of test models of diode temperature sensors are measured and their basic technical parameters are determined. Perspectivity of developed GaP-diodes application as sensitive elements of high-temperature sensor is shown.
TS-1 and TS-2 transient overpower tests on FFTF fuel
International Nuclear Information System (INIS)
Pitner, A.L.; Ferrell, P.C.; Culley, G.E.; Weber, E.T.
1985-01-01
The TS-1 and TS-2 TREAT transient experiments subjected a low burnup (2 MWd/kg) and a medium burnup (58 MWd/kg), respectively, FFTF irradiated fuel pin to unprotected 5 cents/s overpower transient conditions. The fuel pin failure response was similar in the two tests, which demonstrated a large margin to failure (P/P 0 > 3) and a favorable upper level failure location. Thus, for these transient conditions, burnup effects on transient performance appeared to be minimal in the range tested. Pin disruption in the medium burnup TS-2 test was more severe due to the higher fission gas pressurization, but failure occurred at only a 5% lower power level than for the low burnup TS-1 fuel pin. Both tests exhibited axial extrusion of molten fuel to the region above the fuel column several seconds before pin failure, demonstrating a potentially beneficial inherent safety mechanism to delay failure and mitigate accident consequences
Transient Go: A Mobile App for Transient Astronomy Outreach
Crichton, D.; Mahabal, A.; Djorgovski, S. G.; Drake, A.; Early, J.; Ivezic, Z.; Jacoby, S.; Kanbur, S.
2016-12-01
Augmented Reality (AR) is set to revolutionize human interaction with the real world as demonstrated by the phenomenal success of `Pokemon Go'. That very technology can be used to rekindle the interest in science at the school level. We are in the process of developing a prototype app based on sky maps that will use AR to introduce different classes of astronomical transients to students as they are discovered i.e. in real-time. This will involve transient streams from surveys such as the Catalina Real-time Transient Survey (CRTS) today and the Large Synoptic Survey Telescope (LSST) in the near future. The transient streams will be combined with archival and latest image cut-outs and other auxiliary data as well as historical and statistical perspectives on each of the transient types being served. Such an app could easily be adapted to work with various NASA missions and NSF projects to enrich the student experience.
Turbofan compressor dynamics during afterburner transients
Kurkov, A. P.
1976-01-01
The effects of afterburner light-off and shut-down transients on the compressor stability are investigated. The reported experimental results are based on detailed high response pressure and temperature measurements on the TF30-P-3 turbofan engine. The tests were performed in an altitude test chamber simulating high altitude engine operation. It is shown that during both types of transients, flow breaks down in the forward part of the fan bypass duct. At a sufficiently low engine inlet pressure this resulted in a compressor stall. Complete flow breakdown within the compressor was preceded by a rotating stall. At some locations in the compressor, rotating stall cells initially extended only through part of the blade span. For the shutdown transient the time between first and last detected occurrence of rotating stall is related to the flow Reynolds number. An attempt was made to deduce the number and speed of propagation of rotating stall cells.
Directory of Open Access Journals (Sweden)
Jun Wang
Full Text Available Establishing seedlings in subtropical plantations is very important for forest health, succession and management. Information on seedling nutrient concentrations is essential for both the selection of suitable indigenous tree species to accelerate succession of the established plantation and sustainable forest management. In this study, we investigated the concentrations of nitrogen ([N], phosphorus ([P], and N:P ratio in leaves, stems and roots of seedlings of three indigenous tree species (Castanopsis chinensis, Michelia chapensis and Psychotria rubra transplanted with removing or retaining understory vegetation and litter at two typical subtropical forest plantations (Eucalyptus plantation and native species plantation. We also measured the relative growth rate (RGR of seedling height, and developed the relationships between RGR and leaf [N], [P] and N:P ratio. Results showed that treatments of understory vegetation and associated litter (i.e. removal or retained generally had no significant effects on leaf [N], [P], N:P ratio and RGR of the transplanted tree seedlings for the experimental period. But among different species, there were significant differences in nutrient concentrations. M. chapensis and P. rubra had higher [N] and [P] compared to C. chinensis. [N] and [P] also varied among different plant tissues with much higher values in leaves than in roots for all indigenous species. RGR of indigenous tree seedlings was mostly positively correlated with leaf [N] and [P], but negatively correlated with leaf N:P ratio. Considering the low [P] and high N:P ratio observed in the introduced indigenous tree seedlings, we propose that the current experimental plantations might be P limited for plant growth.
Deep levels in p-type InGaAsN lattice matched to GaAs
International Nuclear Information System (INIS)
Kwon, D.; Kaplar, R.J.; Ringel, S.A.; Allerman, A.A.; Kurtz, S.R.; Jones, E.D.
1999-01-01
Deep-level transient spectroscopy measurements were utilized to investigate deep-level defects in metal - organic chemical vapor deposition-grown, unintentionally doped p-type InGaAsN films lattice matched to GaAs. The as-grown material displayed a high concentration of deep levels distributed within the band gap, with a dominant hole trap at E v +0.10eV. Postgrowth annealing simplified the deep-level spectra, enabling the identification of three distinct hole traps at 0.10, 0.23, and 0.48 eV above the valence-band edge, with concentrations of 3.5x10 14 , 3.8x10 14 , and 8.2x10 14 cm -3 , respectively. A direct comparison between the as-grown and annealed spectra revealed the presence of an additional midgap hole trap, with a concentration of 4x10 14 cm -3 in the as-grown material. The concentration of this trap is sharply reduced by annealing, which correlates with improved material quality and minority-carrier properties after annealing. Of the four hole traps detected, only the 0.48 eV level is not influenced by annealing, suggesting this level may be important for processed InGaAsN devices in the future. copyright 1999 American Institute of Physics
Inclusive π+d →p (η p ) process and the η N scattering length
Garcilazo, Humberto
2018-02-01
The cross section of the inclusive process π+d →p (η p ) is calculated as a function of the η p invariant mass when the detected proton is moving in the forward direction. The incident pion has a momentum of plab=898.47 MeV/c for which the η p pair are left at rest in the laboratory system which allows one to study the effect of the η p →η p final-state interaction in the region of the N (1535 ) S11 resonance. The sensitivity of the inclusive cross section to different parametrizations of the η N final-state interaction is discussed.
Evaluación de la gestión privada del servicio público educativo en Medellín
Directory of Open Access Journals (Sweden)
Jorge Hugo Barrientos
2007-06-01
Full Text Available La alcaldía de Medellín emprendió en 2002 un programa de cobertura educativa dirigido a jóvenes en edad escolar en lugares donde la oferta escolar pública era reducida. El programa consistió en dar en concesión colegios de propiedad estatal a entidades educativas privadas. El objetivo de este trabajo es evaluar el impacto del programa de concesión en dos frentes, el desempeño académico, la deserción y la reprobación de los alumnos. La evaluación se hace comparando individuos, en colegios en concesión, quienes son muy parecidos a aquellos que atendieron colegios públicos. Los resultados muestran que los estudiantes en colegios en concesión han tenido un desempeño inferior a sus pares en colegios públicos, así como mayores tasas de deserción y reprobación.
Evaluación de la gestión privada del servicio público educativo en Medellín
Directory of Open Access Journals (Sweden)
Jorge Barrientos
2007-01-01
Full Text Available La alcaldía de Medellín emprendió en 2002 un programa de cobertura educativa dirigido a jóvenes en edad escolar en lugares donde la oferta escolar pública era reducida. El programa consistió en dar en concesión colegios de propiedad estatal a entidades educativas privadas. El objetivo de este trabajo es evaluar el impacto del programa de concesión en dos frentes, el desempeño académico, la deserción y la reprobación de los alumnos. La evaluación se hace comparando individuos, en colegios en concesión, quienes son muy parecidos a aquellos que atendieron colegios públicos. Los resultados muestran que los estudiantes en colegios en concesión han tenido un desempeño inferior a sus pares en colegios públicos, así como mayores tasas de deserción y reprobación.
Intermediate size inducer pump - structural analysis and transient deformation studies
International Nuclear Information System (INIS)
Cheng, T.K.; Nishizaka, J.N.
1979-05-01
This report summarizes the structural and thermal transient deformation analysis of the Intermediate Size Inducer Pump. The analyses were performed in accordance to the requirements of N266ST310001, the specification for the ISIP. Results of stress analysis indicate that the thermal transient stress and strain are within the stress strain limits of RDT standard F9-4 which was used as a guide
International Nuclear Information System (INIS)
Benamara, S; De Séréville, N; Hammache, F; Stefan, I; Roussel, P; Ancelin, S; Assié, M; Guillot, J; Le Crom, B; Lefebvre, L; Adsley, P; Laird, A M; Barton, C; Diget, C; Fox, S; Coc, A; Deloncle, I; Hamadache, C; Kiener, J; Lefebfre-Schuhl, A
2016-01-01
26 Al was the first cosmic radioactivity ever detected in the galaxy as well as one of the first extinct radioactivity observed in refractory phases of meteorites. Its nucleosynthesis in massive stars is still uncertain mainly due to the lack of nuclear information concerning the 26 Al(n,p) 26 Mg and 26 Al(n,α) 23 Na reactions. We report on a single and coincidence measurement of the 27 Al(p,p') 27 Al(p) 26 Mg and 27 Al(p,p') 27 Al(α) 23 Na reactions performed at the Orsay TANDEM facility aiming at the spectroscopy study of 27 Al above the neutron threshold. Fourteen states are observed for the first time within 350 keV above the 26 Al+n threshold. (paper)
A high open-circuit voltage gallium nitride betavoltaic microbattery
International Nuclear Information System (INIS)
Cheng, Zaijun; Chen, Xuyuan; San, Haisheng; Feng, Zhihong; Liu, Bo
2012-01-01
A high open-circuit voltage betavoltaic microbattery based on a gallium nitride (GaN) p–i–n homojunction is demonstrated. As a beta-absorbing layer, the low electron concentration of the n-type GaN layer is achieved by the process of Fe compensation doping. Under the irradiation of a planar solid 63 Ni source with activity of 0.5 mCi, the open-circuit voltage of the fabricated microbattery with 2 × 2 mm 2 area reaches as much as 1.64 V, which is the record value reported for betavoltaic batteries with 63 Ni source, the short-circuit current was measured as 568 pA and the conversion effective of 0.98% was obtained. The experimental results suggest that GaN is a high-potential candidate for developing the betavoltaic microbattery. (paper)
Femtosecond transient photoluminescence of the substituted poly(diphenylacetulene)s.
Piskun, N. V.; Wang, D. K.; Lim, H.; Epstein, A. J.; Vanwoerkom, L. D.; Gustafson, T. L.
2000-03-01
We present the results of a femtosecond transient photoluminescence (PL) study of solutions of two derivatives of substituted poly(diphenylacetylene) using an up-conversion technique. n-Butyl (nBu) and p-carbazole (Cz) substituted poly(diphenylacetylene), PDPA-nBu and PDPA-Cz respectively, have band gaps determined by maxima in the slope of absorption vs. energy of 2.75 eV and 2.63 eV. The steady state emission peaks are at 2.4 eV for PDPA-nBu and at 2.3 eV for PDPA-Cz respectively. The PL peak for PDPA-Cz is red shifted in comparison to the PL peak for PDPA-nBu. Roles of phenyl groups, electron donating effect of the carbazole side units and planarity of the backbone are discussed. Exciting at 3.1 eV, the fs PL shows a faster decay for PDPA-Cz than that for PDPA-nBu, in accord with the decrease of PL quantum efficiency of PDPA-Cz. The 200 fs - 80 ps PL(t) agrees with ~1 ns lifetime. The PDPA-Cz has larger red shift in the 0.2-20 ps time frame. The origin of that shift will be discussed. This work is supported in part by ONR.
Gamma rays from the 31P(n, γ)32P reaction
Middelkoop, G. van
1967-01-01
The 31P(n, γ)32P reaction is studied with a 5 cm3 Ge(Li) counter. Altogether, 54 γ-rays are detected with experimental energy errors between 0.4 and 3.0 keV. The Q-value of the reaction is determined as Q = 7936.8±0.8 keV.
Controls over foliar N:P ratios in tropical rain forests.
Townsend, Alan R; Cleveland, Cory C; Asner, Gregory P; Bustamante, Mercedes M C
2007-01-01
Correlations between foliar nutrient concentrations and soil nutrient availability have been found in multiple ecosystems. These relationships have led to the use of foliar nutrients as an index of nutrient status and to the prediction of broadscale patterns in ecosystem processes. More recently, a growing interest in ecological stoichiometry has fueled multiple analyses of foliar nitrogen:phosphorus (N:P) ratios within and across ecosystems. These studies have observed that N:P values are generally elevated in tropical forests when compared to higher latitude ecosystems, adding weight to a common belief that tropical forests are generally N rich and P poor. However, while these broad generalizations may have merit, their simplicity masks the enormous environmental heterogeneity that exists within the tropics; such variation includes large ranges in soil fertility and climate, as well as the highest plant species diversity of any biome. Here we present original data on foliar N and P concentrations from 150 mature canopy tree species in Costa Rica and Brazil, and combine those data with a comprehensive new literature synthesis to explore the major sources of variation in foliar N:P values within the tropics. We found no relationship between N:P ratios and either latitude or mean annual precipitation within the tropics alone. There is, however, evidence of seasonal controls; in our Costa Rica sites, foliar N:P values differed by 25% between wet and dry seasons. The N:P ratios do vary with soil P availability and/or soil order, but there is substantial overlap across coarse divisions in soil type, and perhaps the most striking feature of the data set is variation at the species level. Taken as a whole, our results imply that the dominant influence on foliar N:P ratios in the tropics is species variability and that, unlike marine systems and perhaps many other terrestrial biomes, the N:P stoichiometry of tropical forests is not well constrained. Thus any use of N:P
Watanabe, Yukio
1999-05-01
Current through (Pb,La)(Zr,Ti)O3 ferroelectrics on perovskite semiconductors is found to exhibit diode characteristics of which polarity is universally determined by the carrier conduction-type semiconductors. A persisting highly reproducible resistance modulation by a dc voltage, which has a short retention, is observed and is ascribed to a band bending of the ferroelectric by the formation of charged traps. This interpretation is consistent with a large relaxation current observed at a low voltage. On the other hand, a reproducible resistance modulation by a pulse voltage, which has a long retention, is observed in metal/(Pb,La)(Zr,Ti)O3/SrTiO3:Nb but not in metal/(Pb,La)(Zr,Ti)O3/(La,Sr)2CuO4 and is attributed to a possible band bending due to the spontaneous polarization (P) switching. The observed current voltage (IV) characteristics, the polarity dependence, the relaxation, and the modulation are explicable, if we assume a p-n or a p-p junction at the ferroelectric semiconductor interface (p: hole conduction type, n: electron conduction type). The analysis suggests that an intrinsically inhomogeneous P (∇P) near the ferroelectric/metal interface is likely very weak or existing in a very thin layer, when a reaction of the metal with the ferroelectric is eliminated. Additionally, the various aspects of transport through ferroelectrics are explained as a transport in the carrier depleted region.
International Nuclear Information System (INIS)
Gareev, F.A.; Ratis, Yu.L.; Korovin, P.P.; Strokovskij, E.A.; Vaagen, J.S.
1992-01-01
The formalism of Feynman diagrams to describe charge-exchange reactions p+p→n+X and n+p→p+X on a free proton target taking into account spectator and decay modes in the π+ρ+g'-model have used. It is shown that the interference between these modes depends on the set of vertex function parameters used. It is also shown that the constructive interference of the Δ + and Δ 0 -isobars is important. 22 refs.; 10 figs
Anodic photodissolution of n-InP, under electroless conditions
International Nuclear Information System (INIS)
Debiemme-Chouvy, Catherine; Quennoy, Anne
2004-01-01
In the presence of α-SiMo 12 O 40 4- ions dissolved in acidic solution and under laser irradiation, the electroless photoetching of n-type InP is achieved. At the laser impact, the semiconductor is oxidized while SiMo 12 O 40 4- species are reduced. The shape of the pit formed, due to the photoanodic dissolution of the material, depends on the experimental conditions, notably on the presence or not of Cl - ions in the medium. It can have either a Gaussian shape or a flat bottom. To specify the charge transfer which occurs at the n-InP/solution illuminated interface, some electrochemical studies were performed on n- and p-type InP electrodes. In fact, the reduction of SiMo 12 O 40 4- ions occurs by capture of electrons from the InP conduction band. Considering the energetic situation at the InP/electrolyte interface and some electrochemical results, it is concluded that the electron transfer from InP to SiMo 12 is mediated by surface states. The influence of Cl - ions on the n-InP photodissolution process is also discussed
(p,n) reaction at intermediate energy
International Nuclear Information System (INIS)
Goodman, C.D.
1979-01-01
The use of the (p,n) reaction in exploring effective interactions is reviewed. Some recent data on self-conjugate nuclei taken at the Indiana University Cyclotron Facility (IUCF) are presented, and the differences between low- and high-energy data are emphasized. Experimental problems and techniques used are briefly described. It is concluded that forward-angle (p,n) spectra at energies greater than 100 MeV are dominated by Gamow-Teller (GT) transitions, while Fermi transitions (IAS transitions) dominate near 45 MeV. Prominent GT transitions are expected from a pion-exchange interaction, and it is expected that OPEP is the dominant component of the interaction in the energy range of 100 to 200 MeV. 27 figures, 2 tables
High efficiency GaN-based LEDs using plasma selective treatment of p-GaN surface
Energy Technology Data Exchange (ETDEWEB)
Lee, Young-Bae; Naoi, Yoshiki; Sakai, Shiro [Department of Electrical and Electronic Engineering, University of Tokushima, 2-1 Minami-josanjima, Tokushima 770-8506 (Japan); Takaki, Ryohei; Sato, Hisao [Nitride Semiconductor Co., Ltd., 115-7 Itayajima, Akinokami, Seto-cho, Naruto, Tokushima 771-0360 (Japan)
2003-11-01
We have studied a new method of increasing the extraction efficiency of a GaN-based light-emitting diode (LED) using a plasma surface treatment. In this method, prior to the evaporation of a semitransparent p-metal, the surface of a p-GaN located beneath a p-pad is selectively exposed to a nitrogen plasma in a reactive ion etching (RIE) chamber. The electrical characteristics of the plasma treated p-GaN remarkably changes its resistivity into semi-insulator without any parasitic damage. Since the LEDs with a new method have no light absorption in a p-pad region, a higher optical power can be extracted compared to a conventional LEDs without plasma selective treatment on the p-GaN surface. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
Identification of 6H1 as a P2Y purinoceptor: P2Y5.
Webb, T E; Kaplan, M G; Barnard, E A
1996-02-06
We have determined the identity of the orphan G-protein coupled receptor cDNA, 6H1, present in activated chicken T cells, as a subtype of P2Y purinoceptor. This identification is based on first on the degree of sequence identity shared with recently cloned members of the P2Y receptor family and second on the pharmacological profile. Upon transient expression in COS-7 cells the 6H1 receptor bound the radiolabel [35S]dATP alpha S specifically and with high affinity (Kd, 10 nM). This specific binding could be competitively displaced by a range of ligands active at P2 purinoceptors, with ATP being the most active (K (i)), 116 nM). Such competition studies have established the following rank order of activity: ATP ADP 2-methylthioATP alpha, beta-methylene ATP, UTP, thus confirming 6H1 as a member of the growing family of P2Y purinoceptors. As the fifth receptor of this type to be identified we suggest that it be named P2Y5.
Micro Raman and photoluminescence spectroscopy of nano-porous n and p type GaN/sapphire(0001).
Ingale, Alka; Pal, Suparna; Dixit, V K; Tiwari, Pragya
2007-06-01
Variation of depth within a single etching spot (3 mm circular diameter) was observed in nanoporous GaN epilayer obtained on photo-assisted electrochemical etching of n and p-type GaN. The different etching depth regions were studied using microRaman and PL(yellow region) for both n-type and p-type GaN. From Raman spectroscopy, we observed that increase in disorder is accompanied by stress relaxation, as depth of etching increases for n-type GaN epilayer. This is well corroborated with scanning electron microscopy results. Contrarily, for p-type GaN epilayer we found that for minimum etching depth, stress in epilayer increases with increase in disorder. This is understood with the fact that as grown p-type GaN is more disordered compared to n-type GaN due to heavy Mg doping and further disorder leads to lattice distortion leading to increase in stress.
Organic p-n heterostructures and superlattices
Energy Technology Data Exchange (ETDEWEB)
Kowarik, Stefan [Lawrence Berkeley National Laboratory, Berkeley, CA (United States); Hinderhofer, Alexander; Gerlach, Alexander; Schreiber, Frank [Institut fuer Angewandte Physik, Tuebingen (Germany); Osso, Oriol [MATGAS 2000 A.I.E., Esfera UAB, Barcelona (Spain); Wang, Cheng; Hexemer, Alexander [Advanced Light Source, Berkeley, CA (United States)
2009-07-01
For many applications of organic semiconductors two components such as e.g. n and p-type layers are required, and the morphology of such heterostructures is crucial for their performance. Pentacene (PEN) is one of the most promising p-type molecular semiconductors and recently perfluoro-pentacene (PFP) has been identified as a good electron conducting material for complementary circuits with PEN. We use soft and hard X-ray reflectivity measurements, scanning transmission X-ray microscopy (STXM) and atomic force microscopy for structural investigations of PFP-PEN heterostructures. The chemical contrast between PEN and PFP in STXM allows us to determine the lateral length scales of p and n domains in a bilayer. For a superlattice of alternating PFP and PEN layers grown by organic molecular beam deposition, X-ray reflectivity measurements demonstrate good structural order. We find a superlattice reflection that varies strongly when tuning the X-ray energy around the fluorine edge, demonstrating that there are indeed alternating PFP and PEN layers.
Dual-wavelength electroluminescence from an n-ZnO/p-GaN heterojunction light emitting diode
International Nuclear Information System (INIS)
Tsai, Bor-Sheng; Chiu, Hung-Jen; Chen, Tai-Hong; Lai, Li-Wen; Ho, Chai-Cheng; Liu, Day-Shan
2015-01-01
Highlights: • The LEDs fabricated by 450 °C- and 700 °C-annealed n-ZnO/p-GaN heterojunction structures were investigated. • The structure annealed at 700 °C emitted yellowish light composed of the dual-wavelength radiations centered at 420 and 610 nm. • The long-wavelength radiation was attributed to emerge from the deep-level emission and the Ga–O interlayer emission. - Abstract: We investigated the electro-optical properties of light emitting diodes (LEDs) fabricated by using the n-ZnO/p-GaN heterojunction structures annealed at 450 °C and 700 °C, in vacuum ambient. A dominant near-UV emission at approximately 420 nm was observed from the LED fabricated by the 450 °C-annealed n-ZnO/p-GaN heterojunction structure, whereas that of the structure annealed at 700 °C emitted a yellowish light composed of the dual-wavelength emissions centered at 420 and 610 nm. The mechanism responsible for the broad long-wavelength radiation was ascribed to the transitions associated with both the deep-level emissions due to the activation of the native defects on the n-ZnO side surface and the formation of the Ga–O interlayer resulting from the in-diffusion of oxygen atoms to the p-GaN side surface of the n-ZnO/p-GaN interface.
Inclusive Sigma- photoproduction on the neutron via the reaction gamma n (p) -→ K+ Sigma- (p)
International Nuclear Information System (INIS)
Jorn Langheinrich; Ana Lima; Barry Berman
2006-01-01
The analysis described here is part of a comprehensive survey of the elementary strangeness photoproduction cross sections on the nucleon. The six elementary strangeness reactions are γn → K 0 Λ and γp → K + Λ γn → K 0 Σ 0 and γp → K + Σ 0 γn → K + Σ - and γp → K 0 Σ| +
Directory of Open Access Journals (Sweden)
Dante Castellanos-Acuña
2013-01-01
Full Text Available Los bosques de pino-encino de la comunidad de Nuevo San Juan, Michoacán, están dominados por Pinus pseudostrobus, P. devoniana y P. leiophylla. Los patrones de variación genética de estas especies no se conocen lo suficiente, particularmente los de P. leiophylla, lo cual limita la creación de lineamientos para el movimiento de semillas y plántulas para reforestación y su adaptación al cambio climático. Las especies se recolectaron en cuatro o cinco procedencias a lo largo de un transecto altitudinal (1,650 a 2,500 m para el establecimiento de un ensayo en vivero, con el objetivo de cuantificar la variación genética entre y dentro de las especies. La altura de la planta (tres y cinco meses de edad fue significativamente diferente (P < 0.0001 entre especies. Entre procedencias hubo diferencias significativas para P. devoniana (P < 0.0001 y P. leiophylla (P = 0.0352. La especie P. devoniana mostró un pronunciado patrón de crecimiento asociado con la altitud de origen, donde las plantas con mayor crecimiento procedían de una menor altitud. Las poblaciones de P. leiophylla fueron diferentes sólo a los tres meses de edad, sin un patrón altitudinal estadísticamente significativo. No se encontraron diferencias significativas entre poblaciones de P. pseudostrobus.
Molecular gated-AlGaN/GaN high electron mobility transistor for pH detection.
Ding, Xiangzhen; Yang, Shuai; Miao, Bin; Gu, Le; Gu, Zhiqi; Zhang, Jian; Wu, Baojun; Wang, Hong; Wu, Dongmin; Li, Jiadong
2018-04-18
A molecular gated-AlGaN/GaN high electron mobility transistor has been developed for pH detection. The sensing surface of the sensor was modified with 3-aminopropyltriethoxysilane to provide amphoteric amine groups, which would play the role of receptors for pH detection. On modification with 3-aminopropyltriethoxysilane, the transistor exhibits good chemical stability in hydrochloric acid solution and is sensitive for pH detection. Thus, our molecular gated-AlGaN/GaN high electron mobility transistor acheived good electrical performances such as chemical stability (remained stable in hydrochloric acid solution), good sensitivity (37.17 μA/pH) and low hysteresis. The results indicate a promising future for high-quality sensors for pH detection.
International Nuclear Information System (INIS)
Poyai, A.; Simoen, E.; Claeys, C.; Hayama, K.; Kobayashi, K.; Ohyama, H.
2002-01-01
This paper investigates the impact of 20 MeV proton irradiation on the current-voltage (I-V) and capacitance-voltage (C-V) characteristics of different geometry n + -p-well junction diodes surrounded by shallow trench isolation and processed in a 0.18 μm CMOS technology. From I-V characteristics, a higher current damage coefficient was found for the bulk than for the peripheral component. The radiation-induced boron de-activation resulted in a lowering of the p-well doping, which has been derived from high-frequency C-V measurements. This was confirmed by deep level transient spectroscopy (DLTS) analysis, revealing the presence of interstitial boron related radiation defects. As will be demonstrated for the bulk leakage-current damage coefficient, the electric field enhanced generation rate of charge carriers and the radiation-induced boron de-activation should be accounted for properly
Electrical characterization of proton irradiated p+-n-n+ Si diode
International Nuclear Information System (INIS)
Kim, J.H.; Lee, D.U.; Kim, E.K.; Bae, Y.H.
2006-01-01
Electrical characterization of p + -n-n + Si power electric diodes was done with proton irradiation. The kinetic energies of irradiated protons were 2.32, 2.55 and 2.97MeV, and for each energy condition, doses of 1x10 11 , 1x10 12 and 1x10 13 cm -2 were given. By modulating the kinetic energy, the proton penetration depth into Si crystal could be adjusted to the range of 55-90μm, and then controlled to the special depth regions such as junction region, depletion region and neutral region over the depletion layer in the p + -n-n + diode structure. Defects produced by the proton irradiation affected to electrical property of the Si diode because of their carrier trapping, and then the reverse recovery time was improved from 240 to 50ns. It appeared that the defect states with activation energies of 0.47 and 0.54eV may be responsible for the decrease of the minority carrier lifetime in the proton-irradiated diode with 2.97MeV energy and 1x10 13 cm -2 doses
Microbial N and P mining regulates the effect of N deposition on soil organic matter turnover
Meyer, Nele; Welp, Gerhard; Rodionov, Andrei; Borchard, Nils; Martius, Christopher; Amelung, Wulf
2017-04-01
Nitrogen (N) deposition to soils has become a global issue during the last decades. Its effect on mineralization of soil organic carbon (SOC), however, is still debated. Common theories based on Liebig's law predict higher SOC mineralization rates in nutrient-rich than in nutrient-poor soils. Contrastingly, the concept of microbial N mining predicts lower mineralization rates after N deposition. The latter is explained by ceased decomposition of recalcitrant soil organic matter (SOM) as the need of microbes to acquire N from this pool decreases. As N deposition might shift the nutrient balance towards relative phosphorus (P) deficiency, it is also necessary to consider P mining in this context. Due to limited knowledge about microbial nutrient mining, any predictions of N deposition effects are difficult. This study aims at elucidating the preconditions under which microbial nutrient mining occurs in soil. We hypothesized that the occurrence of N and P mining is controlled by the current nutrient status of the soil. Likewise, soils might respond differently to N additions. To investigate this hypothesis, we conducted substrate-induced respiration measurements on soils with pronounced gradients of N and P availability. We used topsoil samples taken repeatedly from a site which was up to 7 years under bare fallow (Selhausen, Germany) and up to 4 m deep tropical forest soils (Kalimantan, Indonesia). Additional nutrient manipulations (glucose, glucose+N, glucose+P, glucose+N+P additions) were conducted to study the effect of nutrient additions. Samples were incubated for one month. We further conducted 13C labeling experiments to trace the sources of CO2 (sugar vs. SOM derived CO2) for further hints on nutrient mining. Mineralization of glucose was limited by a lack of available N in the bare fallow soil but microbes were able to slowly acquire N from previously unavailable pools. This resulted in a slightly higher release of native SOM-derived CO2 compared to N
De-la-Rosa, Víctor; Rangel-Yescas, Gisela E; Ladrón-de-Guevara, Ernesto; Rosenbaum, Tamara; Islas, León D
2013-10-11
The transient receptor potential vanilloid 1 ion channel is responsible for the perception of high temperatures and low extracellular pH, and it is also involved in the response to some pungent compounds. Importantly, it is also associated with the perception of pain and noxious stimuli. Here, we attempt to discern the molecular organization and location of the N and C termini of the transient receptor potential vanilloid 1 ion channel by measuring FRET between genetically attached enhanced yellow and cyan fluorescent protein to the N or C terminus of the channel protein, expressed in transfected HEK 293 cells or Xenopus laevis oocytes. The static measurements of the domain organization were mapped into an available cryo-electron microscopy density of the channel with good agreement. These measurements also provide novel insights into the organization of terminal domains and their proximity to the plasma membrane.
Isoelectronic Ln doping in p-GaN and its effects on InGaN light-emitting diodes
International Nuclear Information System (INIS)
Kim, C. S.; Cheong, H. S.; Kang, D. S.; Kim, J. Y.; Hong, C. H.; Suh, E. K.; Lee, H. J.; Cho, H. K.; Adesida, I.
2004-01-01
The effects of isoelectronic In doping in a Mg-doped p-GaN layer on device performance of InGaN light-emitting diodes (LED) were investigated. It was found that there was a decrease of Hall resistivity and contact resistivity in p-GaN with In doping, compared to typical Mg-doped p-GaN. Isoelectronic In doping in p-GaN seems to cause a kind of surfactant effect and/or purification effect similar to the In-doped GaN case, which exhibits a decrease of non-radiative recombination centers and an enhancement of carrier concentration. Light output power and operating voltage were improved by applying an In-doped p-GaN contact layer to the LED.
Herrero, José Ignacio; Iñarrairaegui, Mercedes; D'Avola, Delia; Sangro, Bruno; Prieto, Jesús; Quiroga, Jorge
2014-04-01
The FibroScan(®) XL probe has been specifically designed for obese patients to measure liver stiffness by transient elastography, but it has not been well tested in non-obese patients. The aim of this study was to compare the M and XL FibroScan(®) probes in a series of unselected obese (body mass index above 30 kg/m(2)) and non-obese patients with chronic liver disease. Two hundred and fifty-four patients underwent a transient elastography examination with both the M and XL probes. The results obtained with the two probes were compared in the whole series and in obese (n=82) and non-obese (n=167) patients separately. The reliability of the examinations was assessed using the criteria defined by Castéra et al. The proportion of reliable exams was significantly higher when the XL probe was used (83% versus 73%; P=.001). This significance was maintained in the group of obese patients (82% versus 55%; P<.001), but not in the non-obese patients (84% versus 83%). Despite a high correlation between the stiffness values obtained with the two probes (R=.897; P<.001), and a high concordance in the estimation of fibrosis obtained with the two probes (Cronbach's alpha value: 0.932), the liver stiffness values obtained with the XL probe were significantly lower than those obtained with the M probe, both in the whole series (9.5 ± 9.1 kPa versus 11.3 ± 12.6 kPa; P<0.001) and in the obese and non-obese groups. In conclusion, transient elastography with the XL probe allows a higher proportion of reliable examinations in obese patients but not in non-obese patients. Stiffness values were lower with the XL probe than with the M probe. Copyright © 2013 Elsevier España, S.L. and AEEH y AEG. All rights reserved.
Study of Stark Effect in n-doped 1.55 μm InN0.92yP1-1.92yBiy/InP MQWs
Bilel, C.; Chakir, K.; Rebey, A.; Alrowaili, Z. A.
2018-05-01
The effect of an applied electric field on electronic band structure and optical absorption properties of n-doped InN0.92y P1-1.92y Bi y /InP multiple quantum wells (MQWs) was theoretically studied using a self-consistent calculation combined with the 16-band anti-crossing model. The incorporation of N and Bi atoms into an InP host matrix leads to rapid reduction of the band gap energy covering a large infrared range. The optimization of the well parameters, such as the well/barrier widths, N/Bi compositions and doping density, allowed us to obtain InN0.92y P1-1.92y Bi y /InP MQWs operating at the wavelength 1.55 μm. Application of the electric field causes a red-shift of the fundamental transition energy T 1 accompanied by a significant change in the spatial distribution of confined electron density. The Stark effect on the absorption coefficient of n-doped InN0.92y P1-1.92y Bi y /InP MQWs was investigated. The Bi composition of these MQWs was adjusted for each electric field value in order to maintain the wavelength emission at 1.55 μm.
Directory of Open Access Journals (Sweden)
H. Milani
1999-10-01
Full Text Available In the central nervous system, magnesium ion (Mg2+ acts as an endogenous modulator of N-methyl-D-aspartate (NMDA-coupled calcium channels, and may play a major role in the pathomechanisms of ischemic brain damage. In the present study, we investigated the effects of magnesium chloride (MgCl2, 2.5, 5.0 or 7.5 mmol/kg, either alone or in combination with diazepam (DZ, on ischemia-induced hippocampal cell death. Male Wistar rats (250-300 g were subjected to transient forebrain ischemia for 15 min using the 4-vessel occlusion model. MgCl2 was applied systemically (sc in single (1x, 2 h post-ischemia or multiple doses (4x, 1, 2, 24 and 48 h post-ischemia. DZ was always given twice, at 1 and 2 h post-ischemia. Thus, ischemia-subjected rats were assigned to one of the following treatments: vehicle (0.1 ml/kg, N = 34, DZ (10 mg/kg, N = 24, MgCl2 (2.5 mmol/kg, N = 10, MgCl2 (5.0 mmol/kg, N = 17, MgCl2 (7.5 mmol/kg, N = 9 or MgCl2 (5 mmol/kg + DZ (10 mg/kg, N = 14. Seven days after ischemia the brains were analyzed histologically. Fifteen minutes of ischemia caused massive pyramidal cell loss in the subiculum (90.3% and CA1 (88.4% sectors of the hippocampus (P0.05. Both DZ alone and DZ + MgCl2 reduced rectal temperature significantly (P<0.05. No animal death was observed after drug treatment. These data indicate that exogenous magnesium, when administered systemically post-ischemia even in different multiple dose schedules, alone or with diazepam, is not useful against the histopathological effects of transient global cerebral ischemia in rats.
International Nuclear Information System (INIS)
Aoki, Y.; Kunori, S.; Nagano, K.; Toba, Y.; Yagi, K.
1981-01-01
Differential cross sections and vector analyzing powers for 14 N(p, p') and 14 N(p, d) reactions have been measured at E sub(p) = 21.0 MeV to elucidate the reaction mechanism and the effective interaction for the ΔS = ΔT = 1 transition in 14 N(p, p') 14 N(2.31 MeV) reaction. The data are analyzed in terms of finite-range distorted wave Borm approximation (DWBA) which include direct, knock-on exchange and (p, d)(d, p') two-step processes. Shell model wave functions of Cohen and Kurath are used. The data for the first excited state is reasonably well explained by introducing two-step process. The two-step process explains half of the experimental intensity. Moreover vector analyzing power can hardly be explained without introducing this two-step process. Vector analyzing power of protons leading to the second excited state in 14 N is better explained by introducing macroscopic calculation. The data for 14 N(p, d) 13 N(gs) reaction are well explained by a suitable choice of deuteron optical potential. Knock-on exchange contribution is relatively small. Importance of this two-step process for ΔS = ΔT = 1 transition is discussed up to 40 MeV. (author)
Gallium arsenide single crystal solar cell structure and method of making
Stirn, Richard J. (Inventor)
1983-01-01
A production method and structure for a thin-film GaAs crystal for a solar cell on a single-crystal silicon substrate (10) comprising the steps of growing a single-crystal interlayer (12) of material having a closer match in lattice and thermal expansion with single-crystal GaAs than the single-crystal silicon of the substrate, and epitaxially growing a single-crystal film (14) on the interlayer. The material of the interlayer may be germanium or graded germanium-silicon alloy, with low germanium content at the silicon substrate interface, and high germanium content at the upper surface. The surface of the interface layer (12) is annealed for recrystallization by a pulsed beam of energy (laser or electron) prior to growing the interlayer. The solar cell structure may be grown as a single-crystal n.sup.+ /p shallow homojunction film or as a p/n or n/p junction film. A Ga(Al)AS heteroface film may be grown over the GaAs film.
Synthesis and cathodoluminescence of Sb/P co-doped GaN nanowires
International Nuclear Information System (INIS)
Wang, Zaien; Liu, Baodan; Yuan, Fang; Hu, Tao; Zhang, Guifeng; Dierre, Benjamin; Hirosaki, Naoto; Sekiguchi, Takashi; Jiang, Xin
2014-01-01
Sb/P co-doped Gallium Nitride (GaN) nanowires were synthesized via a simple chemical vapor deposition (CVD) process by heating Ga 2 O 3 and Sb powders in NH 3 atmosphere. Scanning electron microscope (SEM), X-ray diffraction (XRD), transmission electron microscope (TEM) and energy dispersive X-ray spectroscopy (EDS) measurements confirmed the as-synthesized products were Sb/P co-doped GaN nanowires with rough morphology and hexagonal wurtzite structure. Room temperature cathodoluminescence (CL) demonstrated that an obvious band shift of GaN nanowires can be observed due to Sb/P co-doping. Possible explanation for the growth and luminescence mechanism of Sb/P co-doped GaN nanowires was discussed. Highlight: • Sb/P co-doped GaN nanowires were synthesized through a well-designed multi-channel chemical vapor deposition (CVD) process. • Sb/P co-doping leads to the crystallinity deterioration of GaN nanowires. • Sb/P co-doping caused the red-shift of GaN nanowires band-gap in UV range. • Compared with Sb doping, P atoms are more easy to incorporate into the GaN lattice
Heavy Ion Transient Characterization of a Photobit Hardened-by-Design Active Pixel Sensor Array
Marshall, Paul W.; Byers, Wheaton B.; Conger, Christopher; Eid, El-Sayed; Gee, George; Jones, Michael R.; Marshall, Cheryl J.; Reed, Robert; Pickel, Jim; Kniffin, Scott
2002-01-01
This paper presents heavy ion data on the single event transient (SET) response of a Photobit active pixel sensor (APS) four quadrant test chip with different radiation tolerant designs in a standard 0.35 micron CMOS process. The physical design techniques of enclosed geometry and P-channel guard rings are used to design the four N-type active photodiode pixels as described in a previous paper. Argon transient measurements on the 256 x 256 chip array as a function of incident angle show a significant variation in the amount of charge collected as well as the charge spreading dependent on the pixel type. The results are correlated with processing and design information provided by Photobit. In addition, there is a large degree of statistical variability between individual ion strikes. No latch-up is observed up to an LET of 106 MeV/mg/sq cm.
Directory of Open Access Journals (Sweden)
Nicolás Alejandro Guillén Navarro
2016-01-01
Full Text Available En el marco del denominado turismo colaborativo, las viviendas de uso turístico están revolucionando el modelo de alojamiento a nivel mundial. Apoyadas por su comercialización a través de los entornos p2p y el vacío legal al respecto, en los últimos años han adquirido tal importancia que por parte de los poderes públicos se ha visto necesario su regulación y así poner freno a aspectos tan problemáticos como la economía sumergida que genera dicha actividad o la competencia desleal sobre otros establecimientos de alojamiento turístico reglados. Propietarios, turistas, sector hotelero y Administraciones públicas han generado un interesante debate acerca de las implicaciones y repercusiones asociadas a las viviendas de uso turístico y hasta qué punto debe ejercerse un control sobre ellas. De ahí que este estudio trate de analizar todos estos puntos de vista y dé a conocer cómo se está haciendo frente a este fenómeno en España.
International Nuclear Information System (INIS)
Zolotarev, K.I.
2004-02-01
New evaluations of cross sections and their uncertainties for dosimetry reactions 27 Al(n,p) , 56 Fe(n,p) and 237 Np(n,f) have been carried out in the frame work of IAEA Research Contract No. 11372/RB. Data files prepared for this reactions in the ENDF-6 format may be consider as candidates for the new International Reactor Dosimetry File: IRDF-2002. (author)
International Nuclear Information System (INIS)
La Cognata, M.; Sergi, M. L.; Spitaleri, C.; Cherubini, S.; Gulino, M.; Kiss, G.; Lamia, L.; Pizzone, R. G.; Romano, S.; Mukhamedzhanov, A.; Goldberg, V.; Tribble, R.; Coc, A.; Hammache, F.; Sereville, N. de; Irgaziev, B.; Tumino, A.
2010-01-01
The 18 O(p, α) 15 N and 17 O(p, α) 14 N reactions are of primary importance in several as-trophysical scenarios, including nucleosynthesis inside Asymptotic Giant Branch stars and oxygen and nitrogen isotopic ratios in meteorite grains. They are also key reactions to understand exotic systems such as R-Coronae Borealis stars and novae. Thus, the measurement of their cross sections in the low energy region can be crucial to reduce the nuclear uncertainty on theoretical predictions, because the resonance parameters are poorly determined. The Trojan Horse Method, in its newly developed form particularly suited to investigate low-energy resonances, has been applied to the 2 H( 18 O, α 15 N)n and 2 H( 17 O, α 14 N)n reactions to deduce the 18 O(p, α) 15 N and 17 O(p, α) 14 N cross sections at low energies. Resonances in the 18 O(p, α) 15 N and 17 O(p, α) 14 N excitation functions have been studied and the resonance parameters deduced.
PC operated acoustic transient spectroscopy of deep levels in MIS structures
International Nuclear Information System (INIS)
Bury, P.; Jamnicky, I.
1996-01-01
A new version of acoustic deep-level transient spectroscopy is presented to study the traps at the insulator-semiconductor interface. The acoustic deep-level transient spectroscopy uses an acoustoelectric response signal produced by the MIS structure interface when a longitudinal acoustic wave propagates through a structure. The acoustoelectric response signal is extremely sensitive to external conditions of the structure and reflects any changes in the charge distribution, connected also with charged traps. In comparison with previous version of acoustic deep-level transient spectroscopy that closely coincides with the principle of the original deep-level transient spectroscopy technique, the present technique is based on the computer-evaluated isothermal transients and represents an improved, more efficient and time saving technique. Many tests on the software used for calculation as well as on experimental setup have been performed. The improved acoustic deep-level transient spectroscopy method has been applied for the Si(p) MIS structures. The deep-level parameters as activation energy and capture cross-section have been determined. (authors)
Radiative lifetimes of the 2s2p2(4P) metastable levels of N III
Fang, Z.; Kwong, Victor H. S.; Parkinson, W. H.
1993-01-01
The radiative decay rates of N III 175 nm intersystem lines were measured in the laboratory by recording the time dependence of photon intensities emitted as the 2s2p2(4P) metastable term of N(2+) ions decay to the 2s22p(2P0) ground term. A cylindrical radio frequency ion trap was used to store the electron impact-produced N(2+) ions. The radiative decay signals were analyzed by multiexponential least-squares fits to the data. The measured radiative decay rates to the ground term are 1019(+/- 64)/s for 4P sub 1/2, 74.5(+/- 5.4)/s for 4P sub 3/2, and 308( +/- 22)/s for 4P sub 5/2. Comparisons of the measured values with theoretical values are presented.
Toward an Ecologically Optimized N:P Recovery from Wastewater by Microalgae
Fernandes, Tânia V.; Suárez-Muñoz, María; Trebuch, Lukas M.; Verbraak, Paul J.; Van de Waal, Dedmer B.
2017-01-01
Global stores of important resources such as phosphorus (P) are being rapidly depleted, while the excessive use of nutrients has led to the enrichment of surface waters worldwide. Ideally, nutrients would be recovered from wastewater, which will not only prevent eutrophication but also provide access to alternative nutrient stores. Current state-of-the-art wastewater treatment technologies are effective in removing these nutrients from wastewater, yet they can only recover P and often in an insufficient way. Microalgae, however, can effectively assimilate P and nitrogen (N), as well as other macro- and micronutrients, allowing these nutrients to be recovered into valuable products that can be used to close nutrient cycles (e.g., fertilizer, bioplastics, color dyes, and bulk chemicals). Here, we show that the green alga Chlorella sorokiniana is able to remove all inorganic N and P present in concentrated toilet wastewater (i.e., black water) with N:P ratios ranging between 15 and 26. However, the N and P uptake by the algae is imbalanced relative to the wastewater N:P stoichiometry, resulting in a rapid removal of P but relatively slower removal of N. Here, we discuss how ecological principles such as ecological stoichiometry and resource-ratio theory may help optimize N:P removal and allow for more effective recovery of N and P from black water. PMID:28955317
Toward an Ecologically Optimized N:P Recovery from Wastewater by Microalgae
Directory of Open Access Journals (Sweden)
Tânia V. Fernandes
2017-09-01
Full Text Available Global stores of important resources such as phosphorus (P are being rapidly depleted, while the excessive use of nutrients has led to the enrichment of surface waters worldwide. Ideally, nutrients would be recovered from wastewater, which will not only prevent eutrophication but also provide access to alternative nutrient stores. Current state-of-the-art wastewater treatment technologies are effective in removing these nutrients from wastewater, yet they can only recover P and often in an insufficient way. Microalgae, however, can effectively assimilate P and nitrogen (N, as well as other macro- and micronutrients, allowing these nutrients to be recovered into valuable products that can be used to close nutrient cycles (e.g., fertilizer, bioplastics, color dyes, and bulk chemicals. Here, we show that the green alga Chlorella sorokiniana is able to remove all inorganic N and P present in concentrated toilet wastewater (i.e., black water with N:P ratios ranging between 15 and 26. However, the N and P uptake by the algae is imbalanced relative to the wastewater N:P stoichiometry, resulting in a rapid removal of P but relatively slower removal of N. Here, we discuss how ecological principles such as ecological stoichiometry and resource-ratio theory may help optimize N:P removal and allow for more effective recovery of N and P from black water.
Defects in low temperature electron irradiated InP
International Nuclear Information System (INIS)
Suski, J.; Bourgoin, J.
1984-01-01
n and p-InP has been irradiated at 25K with 1MeV electrons and the created defects were studied by deep level transient spectroscopy (DLTS) in the range 25K-400K. In n-InP, four traps are directly observed, with low introduction rates except for one. They anneal in three stages, and four new centers of still lower concentration appear after 70 0 C heat treatment. In p-InP, two dominant traps stable up to approx.= 400K with introduction rates close to the theoretical ones, which might be primary defects are found, while another one is clearly a secondary defect likely associated to Zn dopant. At least two of the low concentration irradiation induced electron traps, created between 25K and 100K are also secondary defects, which implies a mobility of some primary defects down to 100K at least. (author)
Algunas consideraciones sobre normalización en la administración pública
Directory of Open Access Journals (Sweden)
Rolando Bolaños Garita
2011-01-01
Full Text Available La Normalización es un concepto al que no podemos considerar divorciado de la Administración Pública, o exclusivo de abordaje en el campo de la Administración de Negocios. Cada día se denota con mayor fuerza el empuje que instancias como la Contraloría General de la República brindan a la estandarización de acciones o servicios en razón no solo de unificar la gestión administrativa, sino de brindar servicios a los ciudadanos bajo criterios de calidad. El peligro que visualizamos cuando se abordan temas como el aquí propuesto, es que los funcionarios públicos, que procedan a concretar acciones de Normalización, lo hagan sin tener noción o consideración del entorno y particularidades de la Administración Pública costarricense y, por el contrario, extrapolen tendencias, estrategias o incluso textos de carácter internacional y, sobre todo, anglosajón, lo que consideramos que sí echaría por tierra cualquier esfuerzo bien intencionado de mejor gestión y(o modernización pública.
Current interruption transients calculation
Peelo, David F
2014-01-01
Provides an original, detailed and practical description of current interruption transients, origins, and the circuits involved, and how they can be calculated Current Interruption Transients Calculationis a comprehensive resource for the understanding, calculation and analysis of the transient recovery voltages (TRVs) and related re-ignition or re-striking transients associated with fault current interruption and the switching of inductive and capacitive load currents in circuits. This book provides an original, detailed and practical description of current interruption transients, origins,
International Nuclear Information System (INIS)
Osman, Khalda
2000-01-01
Accurate knowledge of the cross-sections for fast neutron-induced reactions utilizing the D-T reaction is important not only because of the wide spread of data observed in the literature, but also because of the world wide demand and requests for such data, in view of the increasing interest in the fusion reactor technology, which is based on the same reactions. Cross-sections are needed also for various practical purposes, including neutron activation analysis and dosimetry. In this work the (n.p), (n,2n) and (n,α) reactions cross-sections were measured at 14.5 MeV for isotopes of the elements: Cr, Ti, Ni, Co, Zr, and Mo using the activation method. The measured cross-sections were compared with recently published data. Good agreement was observed for most of the measurements. The discrepancies observed were attributed to difficulties related to the long half-life of the product nuclei and small abundances of the target isotopes. Attempts were also made to study the dependence of the (n,p), (n,2n) and (n,α) reactions cross-sections on the on the asymmetry parameter (N-Z)/A. The results confirmed the trend theoretically suggested by Levkovskii and experimentally realized by Qaim and co-workers. The isotopic dependence of (n,p) reaction cross-sections and the variation of the ration σ n ,p/σ n ,α with Z-number of the target isotopes were also studied in this work and the results obtained were found to be in agreement with theoretical predictions. In this work studies carried out for the systematics of the (n,p), (n,2n) and (n,α) reactions cross-sections at 14 MeV neutrons and formula based on the statistical model presented, with the aim of improving the systematics of these cross-sections. Comparison of present proposed systematics were for the (n,p), (n,2n) and (n,α) reactions cross-sections with the cross-section values measured in this work was made. Good agreement was generally noted, but some discrepancies were also observed. These discrepancies were
A numerical model of p-n junctions bordering on surfaces
Energy Technology Data Exchange (ETDEWEB)
Altermatt, P.P.; Aberle, A.G.; Jianhua Zhao; Aihua Wang; Heiser, G. [University of New South Wales, Sydney (Australia). Centre for Photovolatic Engineering
2002-10-01
Many solar cell structures contain regions where the emitter p-n junction borders on the surface. If the surface is not well passivated, a large amount of recombination occurs in such regions. This type of recombination is influenced by the electrostatics of both the p-n junction and the surface, and hence it is different from the commonly described recombination phenomena occurring in the p-n junction within the bulk. We developed a two-dimensional model for the recombination mechanisms occurring in emitter p-n junctions bordering on surfaces. The model is validated by reproducing the experimental I-V curves of specially designed silicon solar cells. It is shown under which circumstances a poor surface passivation, near where the p-n junction borders on the surface, reduces the fill factor and the open-circuit voltage. The model can be applied to many other types of solar cells. (author)
Semiconducting ZnSnN{sub 2} thin films for Si/ZnSnN{sub 2} p-n junctions
Energy Technology Data Exchange (ETDEWEB)
Qin, Ruifeng [Hebei Engineering Laboratory of Photoelectronic Functional Crystals, Hebei University of Technology (HEBUT), Tianjin 300401 (China); Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, and Key Laboratory of Additive Manufacturing Materials of Zhejiang Province, Ningbo 315201 (China); Cao, Hongtao; Liang, Lingyan, E-mail: lly@nimte.ac.cn, E-mail: swz@hebut.edu.cn; Xie, Yufang; Zhuge, Fei; Zhang, Hongliang; Gao, Junhua; Javaid, Kashif [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, and Key Laboratory of Additive Manufacturing Materials of Zhejiang Province, Ningbo 315201 (China); Liu, Caichi; Sun, Weizhong, E-mail: lly@nimte.ac.cn, E-mail: swz@hebut.edu.cn [Hebei Engineering Laboratory of Photoelectronic Functional Crystals, Hebei University of Technology (HEBUT), Tianjin 300401 (China)
2016-04-04
ZnSnN{sub 2} is regarded as a promising photovoltaic absorber candidate due to earth-abundance, non-toxicity, and high absorption coefficient. However, it is still a great challenge to synthesize ZnSnN{sub 2} films with a low electron concentration, in order to promote the applications of ZnSnN{sub 2} as the core active layer in optoelectronic devices. In this work, polycrystalline and high resistance ZnSnN{sub 2} films were fabricated by magnetron sputtering technique, then semiconducting films were achieved after post-annealing, and finally Si/ZnSnN{sub 2} p-n junctions were constructed. The electron concentration and Hall mobility were enhanced from 2.77 × 10{sup 17} to 6.78 × 10{sup 17 }cm{sup −3} and from 0.37 to 2.07 cm{sup 2} V{sup −1} s{sup −1}, corresponding to the annealing temperature from 200 to 350 °C. After annealing at 300 °C, the p-n junction exhibited the optimum rectifying characteristics, with a forward-to-reverse ratio over 10{sup 3}. The achievement of this ZnSnN{sub 2}-based p-n junction makes an opening step forward to realize the practical application of the ZnSnN{sub 2} material. In addition, the nonideal behaviors of the p-n junctions under both positive and negative voltages are discussed, in hope of suggesting some ideas to further improve the rectifying characteristics.
Energy Technology Data Exchange (ETDEWEB)
Zolotarev, K I [Institute of Physics and Power Engineering, Obninsk (Russian Federation)
2004-02-01
New evaluations of cross sections and their uncertainties for dosimetry reactions {sup 27}Al(n,p) , {sup 56}Fe(n,p) and {sup 237}Np(n,f) have been carried out in the frame work of IAEA Research Contract No. 11372/RB. Data files prepared for this reactions in the ENDF-6 format may be consider as candidates for the new International Reactor Dosimetry File: IRDF-2002. (author)
Configuración del paisaje, espacio público y arte público en el Perú
Crousse Rastelli, Verónica
2011-01-01
En este artículo se discuten algunos aspectos que fueron materia de estudio en mi tesis doctoral “Reencontrando la espacialidad para el arte público en el Perú”, desarrollada en el doctorado “Espacio público y Regeneración Urbana; arte, teoría y conservación del Patrimonio” de la Universidad de Barcelona, bajo la dirección del Dr. Antoni Remesar. La tesis indaga sobre la construcción del paisaje en el Perú, y en el arte público como elemento configurador de estos paisajes. Ante el análisis de...
Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.
Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro
2013-04-07
We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).
The development of a transient neutron flux solution in the PANTHER code
International Nuclear Information System (INIS)
Hutt, P.K.; Knight, M.P.
1990-01-01
In the United Kingdom a new three-dimensional, two-group, homogeneous reactor diffusion code, PANTHER, has been developed for the analysis of pressurized water reactors (PWRs) and advanced gas-cooled reactors (AGRs). The code can perform a comprehensive range of calculations, steady state, depletion, and transient with either a finite difference or analytic nodal flux solution. The nodal solution allows the representation of within-node burnup variation and pin-power reconstruction in either steady-state or transient mode. Specific steady-state and transient thermal feedback modules are included for both PWRs and AGRs. The code is being developed to perform a complete range of reactor calculations from online operational support to fuel management and fault transient analysis. In the area of transient analysis, the code is currently being used for a number of PWR fault transient assessments, including rod ejection and steam-line break. In addition, work is proceeding to incorporate the PANTHER 3D nodal transient solution in the TRAC-P code. This paper outlines the development of the transient flux solutions within PANTHER
Una amenaza para la escuela pública: Laicidad, privatización y segregación
Directory of Open Access Journals (Sweden)
Enrique Javier Díez Gutiérrez
2014-01-01
Full Text Available La educación pública española nunca ha llegado a romper del todo con las tres principales herencias del franquismo: confesionalidad, segregación clasista y un amplísimo sector privado costeado con fondos públicos. No obstante, se han logrado avances en el desarrollo de la red pública, con un incremento de centros y de profesorado, bajada de ratios de alumnado por aula, ampliación de la edad escolar obligatoria, escolarización casi total desde los tres años y mayor acceso a estudios superiores de las capas populares. Esta línea de conquistas está siendo sistemáticamente atacada por políticas educativas conservadoras y neoliberales, cuya última batalla intenta justificar ideológicamente el proceso de privatización, segregación, reconfesionalidad y desmantelamiento de la educación pública con la enésima reforma educativa (LOMCE. Este artículo expone los principales aspectos de esta ley que desarrollan este enfoque ideológico y lo que implica su plasmación en la práctica educativa en nuestro sistema educativo.
Study of the rearrangement of N-alkylanilines to P-aminoalkylbencene. III. N-n-propil-l-14C aniline
International Nuclear Information System (INIS)
Molera, J. M.; Gamboa, J. M.; Val del Cob, M.; Ortin, N.
1964-01-01
The rearrangement of N-n-propyl aniline to p-amino propylbenzene has been studied at 250 degree centigrade using several catalysts: CoCl 2 , ZnCl 2 and HBr. N-propyl-1-14 C -aniline has been synthesized from sodium propionate-1-14 C through conversion to n-propyl-1-14 C -iodide and further reaction with aniline. After the rearrangement and among the reaction products both p-aminopropylbenzene and p-aminoisopropylbencene were found. To determine the 14 C position in both the starting aniline and reaction products two degradation schemes are followed. In the light of experimental evidence a mechanism is set forth based on the assumption of an organic cation as intermediate. (Author) 13 refs
Directory of Open Access Journals (Sweden)
Petterson Jodie
2010-02-01
Full Text Available Abstract Background The link between early blood- brain barrier (BBB breakdown and endothelial cell activation in acute stroke remain poorly defined. We hypothesized that P-selectin, a mediator of the early phase of leukocyte recruitment in acute ischemia is also a major contributor to early BBB dysfunction following stroke. This was investigated by examining the relationship between BBB alterations following transient ischemic stroke and expression of cellular adhesion molecule P-selectin using a combination of magnetic resonance molecular imaging (MRMI, intravital microscopy and immunohistochemistry. MRMI was performed using the contrast, gadolinium diethylenetriaminepentaacetic acid (Gd-DTPA conjugated to Sialyl Lewis X (Slex where the latter is known to bind to activated endothelium via E- or P selectins. Middle cerebral artery occlusion was induced in male C57/BL 6 wild-type (WT mice and P-selectin-knockout (KO mice. At 24 hours following middle cerebral artery occlusion, T1 maps were acquired prior to and following contrast injection. In addition to measuring P- and E-selectin expression in brain homogenates, alterations in BBB function were determined immunohistochemically by assessing the extravasation of immunoglobulin G (IgG or staining for polymorphonuclear (PMN leukocytes. In vivo assessment of BBB dysfunction was also investigated optically using intravital microscopy of the pial circulation following the injection of Fluorescein Isothiocyanate (FITC-dextran (MW 2000 kDa. Results MRI confirmed similar infarct sizes and T1 values at 24 hours following stroke for both WT and KO animals. However, the blood to brain transfer constant for Gd DTPA (Kgd demonstrated greater tissue extravasation of Gd DTPA in WT animals than KO mice (P 1 stroke -Δ T1 contralateral control cortex, decreased significantly in the Gd-DTPA(sLeX group compared to Gd-DTPA, indicative of sLeX mediated accumulation of the targeted contrast agent. Regarding BBB
W and WSix Ohmic contacts on p- and n-type GaN
International Nuclear Information System (INIS)
Cao, X.A.; Ren, F.; Pearton, S.J.; Zeitouny, A.; Eizenberg, M.; Zolper, J.C.; Abernathy, C.R.; Han, J.; Shul, R.J.; Lothian, J.R.
1999-01-01
W and WSi Ohmic contacts on both p- and n-type GaN have been annealed at temperatures from 300 to 1000 degree C. There is minimal reaction (≤100 Angstrom broadening of the metal/GaN interface) even at 1000 degree C. Specific contact resistances in the 10 -5 Ω cm 2 range are obtained for WSi x on Si-implanted GaN with a peak doping concentration of ∼5x10 20 cm -3 , after annealing at 950 degree C. On p-GaN, leaky Schottky diode behavior is observed for W, WSi x and Ni/Au contacts at room temperature, but true Ohmic characteristics are obtained at 250 - 300 degree C, where the specific contact resistances are, typically, in the 10 -2 Ω cm 2 range. The best contacts for W and WSi x are obtained after 700 degree C annealing for periods of 30 - 120 s. The formation of β-W 2 N interfacial phases appear to be important in determining the contact quality. copyright 1999 American Vacuum Society
Ensaio de adubação N-P-K em amendoim N-P-K fertilizer test with peanuts
Directory of Open Access Journals (Sweden)
H. Gargantini
1958-01-01
Full Text Available O presente ensaio, conduzido em vasos de Mitscherlich contendo terra-roxa-misturada, foi instalado com a finalidade de se determinar o efeito dos elementos fertilizantes nitrogênio, fósforo e potássio, na produção do amendoim. Empregou-se para êste estudo o delineamento fatorial 3x4x3, contendo cada tratamento três repetições. Foram colhidos separadamente a parte aérea e os frutos e sôbre êstes dois fatôres foi estudada a ação daqueles elementos fertilizantes. Os dados coletados mostram haver semelhança entre os resultados com a produção da parte aérea e a dos frutos. O elemento responsável pela maior produção foi o fósforo, vindo a seguir o nitrogênio; o potássio não teve influência no aumento de produção. Pelos dados obtidos neste experimento deduz-se que a fórmula de adubação que melhores resultados apresentou foi a N1P3, ou sejam, 30 e 240 quilos de N e P2O5 por hectare, respectivamente.The present test was carried out with peanut plants grown in Mitscherlich pots filled with the "terra-roxa-misturada" type of soil. Its objective was to determine the influence of the three major elements, viz. nitrogen, phosphorus, and potassium on the yield. A 3 x 4 x 3 factorial design was employed, each treatment being replicated 3 times. Both the aerial parts of the plants and the fruit were harvested separately and used for statistical analysis. Fruit yield and weight of aerial parts showed similar responses to the treatments. Phosphorus increased the yield the most, followed by nitrogen; potash had no influence on yield. According to the results obtained the best formula was N1P3, corresponding to 30 and 240 kg of N and P2O5 per hectare, respectively.
International Nuclear Information System (INIS)
Sakai, H.; Yako, K.
2009-01-01
The two neutrino double beta (2ν2β) decay proceeds through a sequence of Gamow-Teller (GT) transitions, namely from the parent nucleus to the intermediate nucleus and then from the intermediate nucleus to the final daughter nucleus. The nuclear matrix element M 2ν for the 2ν2β - decay thus consists of the 2β - decay matrix elements for the parent nucleus decay and the 2β - decay matrix elements for the intermediate nucleus decay. These 2β - decay matrix elements can be studied experimentally through the (p, n) reaction for the parent nucleus decay and the (n, p) reaction for the intermediate nucleus decay. The 2ν2β-decay nucleus, 4 8C a is studied. The charge exchange (p, n) and (n, p) measurements at 300 MeV were performed using the neutron time-of-flight facility and the (n,p) facility, respectively, at RCNP. The (p, n) measurement on 4 8C a and the (n,p) measurement on 4 8T i provided us, for the first time, reliable B(GT - ) and B(GT + ) strength distributions up to high excitation energy of 30 MeV of the intermediate nucleus 4 8S c. The multipole decomposition analysis was applied to the angular distributions of the cross section spectra to extract the ΔL = 0 components, which are used to deduce B(GT ± ). Figure shows the double differential cross Nb sections for 4 8C a(p, n)4 8S c (left panel) and 4 8T i(n,p)4 8S c (right panel) reactions. The histograms show the results of the multi-pole decomposition analyses. It is very surprising to find sizable amount of ΔL = 0 yield, i.e. B(GT + ) strength in the highly excited energy region (> 10 MeV). The obtained B(GT ± ) distribution in 4 8S c as well as corresponding nuclear matrix elements M 2ν are compared with theoretical shell model calculation. In this talk, new results will be presented and their implication to the nuclear matrix elements for the 2ν2β-decay will be discussed (author)
Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi
2018-02-01
We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.
Transient ischemic attack presenting in an elderly patient with transient ophthalmic manifestations
Directory of Open Access Journals (Sweden)
Sparshi Jain
2016-01-01
Full Text Available Transient ischemic attack (TIA is a transient neurological deficit of cerebrovascular origin without infarction which may last only for a short period and can have varying presentations. We report a case of 58-year-old male with presenting features of sudden onset transient vertical diplopia and transient rotatory nystagmus which self-resolved within 12 h. Patient had no history of any systemic illness. On investigating, hematological investigations and neuroimaging could not explain these sudden and transient findings. A TIA could possibly explain these sudden and transient ocular findings in our patient. This case report aims to highlight the importance of TIA for ophthalmologists. We must not ignore these findings as these could be warning signs of an impending stroke which may or may not be detected on neuroimaging. Thus, early recognition, primary prevention strategies, and timely intervention are needed.
Jampana, Balakrishnam R.
The III-nitride semiconductor material system, which consists of InN, GaN, AlN and their alloys, offers a substantial potential in developing ultra-high efficiency photovoltaics mainly due to its wide range of direct-bandgap (0.7 eV -- 3.4 eV), and other electronic, optical and mechanical properties. However, this novel InGaN material system poses technological challenges which extended into the performance of InGaN devices. The development of wide-band gap p--n InGaN homojunction solar cells with bandgap type III-nitride epilayer to reduce the series resistance is evaluated. The III-nitrides are primarily grown on sapphire substrate and in a continued effort they are realized later on silicon substrate. InGaN solar cell structures were grown simultaneously on GaN/sapphire and GaN/silicon templates and their photoresponse is compared.
Directory of Open Access Journals (Sweden)
Marisol Berti
2009-06-01
Full Text Available Flaxseed (Linum usitatissimum L. is a minor crop in Chile. There is interest to increase the number of crops on the current rotation in South Central Chile and also to increase oilseed feedstocks for salmon feed. There is little information published about seed yield and oil content response to N, P, and K fertilizers on flaxseed in Chile. The objective of this study was to determine the effect of N, P, and K fertilization on flaxseed yield, oil content, and composition. The study was conducted at two locations during two growing seasons (environments, in Chillán 2004-2005, 2005-2006, and in Osorno 2004-2005, 2006-2007. Treatments were four levels of N (0, 100, 200, and 300 kg N ha-1, three levels of P (0,100, and 200 kg P2O5 ha-1, and two levels of K (0 and 150 kgK2O ha-1. The design was a randomized complete block with a factorial arrangement of three factors (N, P, and K and four replicates. According to the results, flaxseed yield improved as N rates increased. Physical optimum rate (rate at which maximum seed yield is obtained was different depending on the location and year. Nitrogen rate increased oil content and yield up to 200 kg N ha-1. Oil composition was not affected by N, P, and K rates, or their interactions. The P and K nutrients did not have an effect on seed yield, oil content, oil yield, and oil composition.El lino oleaginoso (Linum usitatissimum L. es un cultivo menor en Chile. En la zona centro sur existe interés por ampliar las alternativas para la rotación de cultivos, así como la necesidad de satisfacer la demanda por semillas oleaginosas para la alimentación de salmones. En Chile no hay información relacionada con la respuesta del lino frente a la fertilización. El objetivo del estudio fue evaluar el efecto de N, P y K sobre el rendimiento de semillas y contenido de aceite en lino cultivado en dos localidades: Chillán (temporadas 2004-2005 y 2005-2006 y Osorno (temporadas 2004-2005 y 2006-2007 (ambientes. Los
Long-term interactive effects of N addition with P and K availability on N status of Sphagnum.
Chiwa, Masaaki; Sheppard, Lucy J; Leith, Ian D; Leeson, Sarah R; Tang, Y Sim; Neil Cape, J
2018-06-01
Little information exists concerning the long-term interactive effect of nitrogen (N) addition with phosphorus (P) and potassium (K) on Sphagnum N status. This study was conducted as part of a long-term N manipulation on Whim bog in south Scotland to evaluate the long-term alleviation effects of phosphorus (P) and potassium (K) on N saturation of Sphagnum (S. capillifolium). On this ombrotrophic peatland, where ambient deposition was 8 kg N ha -1 yr -1 , 56 kg N ha -1 yr -1 of either ammonium (NH 4 + , N red ) or nitrate (NO 3 - , N ox ) with and without P and K, were added over 11 years. Nutrient concentrations of Sphagnum stem and capitulum, and pore water quality of the Sphagnum layer were assessed. The N-saturated Sphagnum caused by long-term (11 years) and high doses (56 kg N ha -1 yr -1 ) of reduced N was not completely ameliorated by P and K addition; N concentrations in Sphagnum capitula for N red 56 PK were comparable with those for N red 56, although N concentrations in Sphagnum stems for N red 56 PK were lower than those for N red 56. While dissolved inorganic nitrogen (DIN) concentrations in pore water for N red 56 PK were not different from N red 56, they were lower for N ox 56 PK than for N ox 56 whose stage of N saturation had not advanced compared to N red 56. These results indicate that increasing P and K availability has only a limited amelioration effect on the N assimilation of Sphagnum at an advanced stage of N saturation. This study concluded that over the long-term P and K additions will not offset the N saturation of Sphagnum. Copyright © 2018 Elsevier Ltd. All rights reserved.
Transient response of the 'multiple water-bag' plasma
International Nuclear Information System (INIS)
Lim Cheeseng
1989-01-01
A charge activates impulsively and then decays temporally within a MWB (multiple water-bag)-modelled warm plasma. The transient problem is formulated and asymptotically resolved for large time. The response potential comprises two characteristically distinct quantities W and W N : W is a superposition of spherically expanding, moderately attenuated Kelvin waves contributed by certain points on a subset of dispersion curves; W N is a superposition, associated with two other dispersion curves, of three spherical wavefunctions, one of which incorporates the Fresnel integrals. A transient state feature of the MWB discretization is the partitioning of the response field by growing (fast) fronts, (trailing) slow caustics and a j -surfaces, the fastest among these being an a N- surface (thermal front) which pushes back a quasi-static exterior. Contrary to expectations, there is no response jump across any of those growing partitions. Wavefunctions near the slow caustics possess Airy factors. A rest state ultimately develops behind the slowest slow caustic. An application is made to the fluid plasma. (author)
Yusoff, M. M.; Mamat, M. H.; Malek, M. F.; Abdullah, M. A. R.; Ismail, A. S.; Saidi, S. A.; Mohamed, R.; Suriani, A. B.; Khusaimi, Z.; Rusop, M.
2018-05-01
Titanium dioxide (TiO2) nanorod arrays (TNAs) were synthesized and deposited on fluorine tin oxide (FTO)-coated glass substrate using a novel and facile immersion method in a glass container. The synthesis and deposition of p-type nickel oxide (NiO) nanosheets (NS) on the n-type TNAs was investigated in the p-n heterojunction photodiode (PD) for the application of ultraviolet (UV) photosensor. The fabricated TNAs/NiO NS based UV photosensor exhibited a highly increased photocurrent of 4.3 µA under UV radiation (365 nm, 750 µW/cm2) at 1.0 V reverse bias. In this study, the fabricated TNAs/NiO NS p-n heterojunction based photodiode showed potential applications for UV photosensor based on the stable photo-generated current attained under UV radiation.
Kizilyalli, I. C.; Aktas, O.
2015-12-01
There is great interest in wide-bandgap semiconductor devices and most recently in vertical GaN structures for power electronic applications such as power supplies, solar inverters and motor drives. In this paper the temperature-dependent electrical behavior of vertical GaN p-n diodes and vertical junction field-effect transistors fabricated on bulk GaN substrates of low defect density (104 to 106 cm-2) is described. Homoepitaxial MOCVD growth of GaN on its native substrate and the ability to control the doping in the drift layers in GaN have allowed the realization of vertical device architectures with drift layer thicknesses of 6 to 40 μm and net carrier electron concentrations as low as 1 × 1015 cm-3. This parameter range is suitable for applications requiring breakdown voltages of 1.2 kV to 5 kV. Mg, which is used as a p-type dopant in GaN, is a relatively deep acceptor (E A ≈ 0.18 eV) and susceptible to freeze-out at temperatures below 200 K. The loss of holes in p-GaN has a deleterious effect on p-n junction behavior, p-GaN contacts and channel control in junction field-effect transistors at temperatures below 200 K. Impact ionization-based avalanche breakdown (BV > 1200 V) in GaN p-n junctions is characterized between 77 K and 423 K for the first time. At higher temperatures the p-n junction breakdown voltage improves due to increased phonon scattering. A positive temperature coefficient in the breakdown voltage is demonstrated down to 77 K; however, the device breakdown characteristics are not as abrupt at temperatures below 200 K. On the other hand, contact resistance to p-GaN is reduced dramatically above room temperature, improving the overall device performance in GaN p-n diodes in all cases except where the n-type drift region resistance dominates the total forward resistance. In this case, the electron mobility can be deconvolved and is found to decrease with T -3/2, consistent with a phonon scattering model. Also, normally-on vertical junction
Directory of Open Access Journals (Sweden)
Yuji Liu
2003-12-01
Full Text Available In this article, we study the differential equation $$ (-1^{n-p} x^{(n}(t=f(t,x(t,x'(t,dots,x^{(n-1}(t, $$ subject to the multi-point boundary conditions $$displaylines{ x^{(i}(0=0 quad hbox{for }i=0,1,dots,p-1,cr x^{(i}(1=0 quad hbox{for }i=p+1,dots,n-1,cr sum_{i=1}^malpha_ix^{(p}(xi_i=0, }$$ where $1le ple n-1$. We establish sufficient conditions for the existence of at least one solution at resonance and another at non-resonance. The emphasis in this paper is that $f$ depends on all higher-order derivatives. Examples are given to illustrate the main results of this article.
Derechos de propiedad y costos de transacción en administración pública
Directory of Open Access Journals (Sweden)
Diana Vicher
2010-01-01
Full Text Available La presente colaboración reseña las principales transformaciones econó-micas mundiales verificadas desde que se estableció el orden económico de Bretton Woods, hasta finales de la década de 1970, para explicar cómo generó el cambio negativo en la opinión favorable que se tenía sobre el Estado y la administración pública, mismo que determinó modificaciones sustanciales en ambos. Las disposiciones para este cambio provendría esencialmente del ideario de la economía neoclásica, de la Escuela de Chicago y la de Virginia, donde se desarrollaron postulados que analizaron al Estado y su administración desde preceptos económicos de dicha índole. De la conjunción de ideas de ese pensamiento (junto con otras innovaciones generadas en la administración privada se conformó un modelo de reforma de la administración pública denominado “Nueva Gerencia Pública”, cuyos elementos son los clientes, los mercados, la competencia y los contratos. Pero, este modelo no es sino una privatización orientada hacia el interior de la administración pública (endoprivatización. Aunque son diversos los componentes de la nueva gerencia pública, en este caso abordaremos las ideas vinculadas con los postulados relativos a los “costos de transacción”, razonamientos que se han utilizado para recomendar el establecimiento de “mercados de derechos de propiedad” en la gestión de asuntos comunes. Estas nociones son algunas de las que se propusieron como vías pertinentes para fomentar la competencia en la administración pública.
International Nuclear Information System (INIS)
Muir, M.D.
1975-01-01
The design and design philosophy of a high performance, extremely versatile transient analyzer is described. This sub-system was designed to be controlled through the data acquisition computer system which allows hands off operation. Thus it may be placed on the experiment side of the high voltage safety break between the experimental device and the control room. This analyzer provides control features which are extremely useful for data acquisition from PPPL diagnostics. These include dynamic sample rate changing, which may be intermixed with multiple post trigger operations with variable length blocks using normal, peak to peak or integrate modes. Included in the discussion are general remarks on the advantages of adding intelligence to transient analyzers, a detailed description of the characteristics of the PPPL transient analyzer, a description of the hardware, firmware, control language and operation of the PPPL transient analyzer, and general remarks on future trends in this type of instrumentation both at PPPL and in general
Ética pública y deber de abstención en la actuación administrativa
Directory of Open Access Journals (Sweden)
José Luis Martínez López-Muñiz
2011-12-01
Full Text Available La ética debe impulsar, sostener, inspirar y complementar al Derecho, aunque lo exigible al poder público, bajo el Estado social y democrático de Derecho, es su plena sumisión a este. El deber de abstención de los servidores públicos cuando se encuentran en las situaciones que la ley determine por comportar riesgo de parcialidad o de servicio a algún interés particular y no a los fines públicos es solo una medida precautoria, que busca la mejor garantía de la efectiva sumisión de toda actuación del poder público al Derecho, así como también favorecer la confianza del ciudadano en las autoridades y en los empleados públicos. Esto ha sido construido en el siglo XX, a partir de la figura de la recusación, de gran arraigo histórico en el ámbito judicial, la cual ha pasado a ser incluida en la configuración institucional. La comparación de su regulación en el ámbito administrativo en los derechos peruano y español favorece un análisis de las particularidades de su régimen jurídico.
Meteorological interpretation of transient LOD changes
Masaki, Y.
2008-04-01
The Earth’s spin rate is mainly changed by zonal winds. For example, seasonal changes in global atmospheric circulation and episodic changes accompanied with El Nĩ os are clearly detected n in the Length-of-day (LOD). Sub-global to regional meteorological phenomena can also change the wind field, however, their effects on the LOD are uncertain because such LOD signals are expected to be subtle and transient. In our previous study (Masaki, 2006), we introduced atmospheric pressure gradients in the upper atmosphere in order to obtain a rough picture of the meteorological features that can change the LOD. In this presentation, we compare one-year LOD data with meteorological elements (winds, temperature, pressure, etc.) and make an attempt to link transient LOD changes with sub-global meteorological phenomena.
Characterization of transient gain x-ray lasers
International Nuclear Information System (INIS)
Dunn, J.; Osterheld, A.; Shlyaptsev, V.
1999-01-01
We have performed numerical simulations of the transient collisional excitation Ni-like Pd 4d → 4p J = 0 → 1 147 angstrom laser transition recently observed at Lawrence Livermore National Laboratory (LLNL). The high gain ∼35 cm results from the experiment are compared with detailed modeling simulations from the 1-D RADEX code in order to better understand the main physics issues affecting the measured gain and x-ray laser propagation along the plasma column. Simulations indicate that the transient gain lifetime associated with the short pulse pumping and refraction of the x-ray laser beam out of the gain region are the main detrimental effects. Gain lifetimes of ∼7 ps(1/e decay) are inferred from the smoothly changing gain experimental observations and are in good agreement with the simulations. Furthermore, the modeling results indicate the presence of a longer-lived but lower gain later in time associated with the transition from transient to quasi-steady state excitation
International Nuclear Information System (INIS)
Chen Shifu; Zhao Wei; Liu Wei; Zhang Huaye; Yu Xiaoling; Chen Yinghao
2009-01-01
p-n junction photocatalyst p-CaFe 2 O 4 /n-Ag 3 VO 4 was prepared by ball milling Ag 3 VO 4 in H 2 O doped with p-type CaFe 2 O 4 . The structural and optical properties of the photocatalyst were characterized by X-ray powder diffraction (XRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM) and UV-vis diffuse reflection spectrum (DRS). The photocatalytic activity was evaluated by photocatalytic degradation of methylene blue (MB) under visible light irradiation. The results showed that the photocatalytic activity of the p-CaFe 2 O 4 /n-Ag 3 VO 4 was higher than that of Ag 3 VO 4 . When the amount of doped p-CaFe 2 O 4 was 2.0 wt.% and the p-CaFe 2 O 4 /n-Ag 3 VO 4 was ball milled for 12 h, the photocatalytic degradation efficiency was 85.4%. Effect of ball milling time on the photocatalytic activity of the photocatalyst was also investigated. The mechanisms of the increase in the photocatalytic activity were discussed by the p-n junction principle.
Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun
2015-01-01
We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.
La gestión de las relaciones con los públicos
Carretón Ballester, María del Carmen (Coord.)
2010-01-01
Aborda investigaciones sobre las relaciones públicas en sectores especializados; estudios de casos; formación, estrategias y práctica profesional de las relaciones públicas y relaciones públicas, tecnologías de la información y gestión del conocimiento.
Modeling of transient ionizing radiation effects in bipolar devices at high dose-rates
International Nuclear Information System (INIS)
FJELDLY, T.A.; DENG, Y.; SHUR, M.S.; HJALMARSON, HAROLD P.; MUYSHONDT, ARNOLDO
2000-01-01
To optimally design circuits for operation at high intensities of ionizing radiation, and to accurately predict their a behavior under radiation, precise device models are needed that include both stationary and dynamic effects of such radiation. Depending on the type and intensity of the ionizing radiation, different degradation mechanisms, such as photoelectric effect, total dose effect, or single even upset might be dominant. In this paper, the authors consider the photoelectric effect associated with the generation of electron-hole pairs in the semiconductor. The effects of low radiation intensity on p-II diodes and bipolar junction transistors (BJTs) were described by low-injection theory in the classical paper by Wirth and Rogers. However, in BJTs compatible with modem integrated circuit technology, high-resistivity regions are often used to enhance device performance, either as a substrate or as an epitaxial layer such as the low-doped n-type collector region of the device. Using low-injection theory, the transient response of epitaxial BJTs was discussed by Florian et al., who mainly concentrated on the effects of the Hi-Lo (high doping - low doping) epilayer/substrate junction of the collector, and on geometrical effects of realistic devices. For devices with highly resistive regions, the assumption of low-level injection is often inappropriate, even at moderate radiation intensities, and a more complete theory for high-injection levels was needed. In the dynamic photocurrent model by Enlow and Alexander. p-n junctions exposed to high-intensity radiation were considered. In their work, the variation of the minority carrier lifetime with excess carrier density, and the effects of the ohmic electric field in the quasi-neutral (q-n) regions were included in a simplified manner. Later, Wunsch and Axness presented a more comprehensive model for the transient radiation response of p-n and p-i-n diode geometries. A stationary model for high-level injection in p-n
Agrobacterium-mediated transient MaFT expression in mulberry (Morus alba L.) leaves.
Wu, Su-Li; Yang, Xiao-Bing; Liu, Li-Qun; Jiang, Tao; Wu, Hai; Su, Chao; Qian, Yong-Hua; Jiao, Feng
2015-01-01
To optimize Agrobacterium-mediated transient transformation assay in mulberry (Morus alba L.), various infiltration methods, Agrobacterium tumefaciens (A. tumefaciens) strains, and bacterial concentrations were tested in mulberry seedlings. Compared with LBA4404, GV3101 harboring pBE2133 plasmids presented stronger GUS signals at 3 days post infiltration using syringe. Recombinant plasmids pBE2133:GFP and pBE2133:GFP:MaFT were successfully constructed. Transient expression of MaFT:GFP protein was found in leaves, petiole (cross section), and shoot apical meristem (SAM) of mulberry according to the GFP signal. Moreover, MaFT:GFP mRNA was also detected in leaves and SAM via RT-PCR and qRT-PCR. An efficient transient transformation system could be achieved in mulberry seedlings by syringe using A. tumefaciens GV3101 at the OD600 of 0.5. The movement of MaFT expression from leaves to SAM might trigger the precocious flowering of mulberry.
Effect of sodium diffused into Bridgman CuInSe{sub 2+x}
Energy Technology Data Exchange (ETDEWEB)
Park, Sunyoung, E-mail: sunyoung.park@mail.mcgill.ca; Champness, Clifford H.; Shih, Ishiang
2016-10-15
Highlights: For elemental Na diffused into Bridgman CuInSe2 samples: • Diffusion is deep and relatively fast, with a coefficient of 10{sup −10} to 10{sup −8} cm{sup 2}/s at 250 °C. • Creates clear n/p homojunctions in the chalcopyrite. • Creates, after subsequent air annealing, an XPS Se 3d peak at 59 eV for Na{sub 2}SeO{sub 3}. • Increases the XPS-determined [Se]/[Cu] concentration ratio at the sample surface. - Abstract: The study describes diffusion of elemental sodium into p-type samples of Bridgman-grown CuInSe{sub 2+x}, causing a change from p- to n-type behavior at the surface and deep into the material. This resulted in the creation of Na 1s and Se 3d XPS peaks at binding energies of 1071 and 54.5 eV respectively, where the latter has been attributed to CuInSe{sub 2} itself. Subsequent annealing of the samples in air gave rise to a second Se 3d peak at 59 eV, attributed to Na{sub 2}SeO{sub 3} by oxidation of Na{sub 2}Se. However, SeO{sub 2} also gives a peak at about the same binding energy. The presence of the diffused-in Na up to about 10% at the surface appears to promote the creation of a high ratio [Se]/[Cu] compound at the expense of the chalcopyrite at the sample surface. The diffused-in samples showed n/p homojunctions at depths of tens of microns for stoichiometric CuInSe{sub 2} and at smaller depths for CuInSe{sub 2.2}, with excess Se content. Estimates of the diffusion coefficient for Na into the Bridgman samples at 250 °C were in the range of 10{sup −10}–10{sup −8} cm{sup 2}/s.
Comunicación pública, comunicación política y democracia: un cruce de caminos
Directory of Open Access Journals (Sweden)
Luis Horacio Botero Montoya
2006-01-01
Full Text Available Hablar de comunicación pública y comunicación política, y la posibilidad de que ambas construyan democracia, resulta un asunto bastante arriesgado, máxime cuando lo dominante en el paradigma actual de la comunicación, cualesquiera que sean sus vertientes, se asocia más con el mundo de la forma, de lo superficial y de lo aparente, que con la posibilidad de explorar espacios y desarrollar procesos que le apuesten a la construcción de democracia. Este trabajo surge como resultado inicial del proyecto de investigación en comunicación pública denominado Comunicación pública: un espacio para la construcción de democracia, que adelanta el grupo de investigación Corpus, y que auspicia la Vicerrectoría de Investigaciones de la Universidad de Medellín. Es una propuesta abierta, crítica y compleja, que invita a los investigadores en estos tópicos a construir propuestas de comunicación pública que articulen y permitan un cruce de caminos con lo público y lo político, y con la democracia.
Configuración del paisaje, espacio público y arte público en el Perú
Directory of Open Access Journals (Sweden)
Verónica Crousse Rastelli
2012-02-01
Full Text Available En este artículo se discuten algunos aspectos que fueron materia de estudio en mi tesis doctoral “Reencontrando la espacialidad para el arte público en el Perú”, desarrollada en el doctorado “Espacio público y Regeneración Urbana; arte, teoría y conservación del Patrimonio” de la Universidad de Barcelona, bajo la dirección del Dr. Antoni Remesar. La tesis indaga sobre la construcción del paisaje en el Perú, y en el arte público como elemento configurador de estos paisajes. Ante el análisis de un panorama contemporáneo en el que prima la distorsión tanto de la función del arte público como de su implementación y gestión, se intenta con esta tesis poner en valor la espacialidad en las prácticas de construcción de paisaje de los antiguos peruanos, para identificar sus principios y valores y así poderlos reinterpretar conceptualmente dentro de las prácticas contemporáneas de arte público en el Perú. Se estudia detalladamente aquellos aislados ejemplos de arte público peruano (en gran medida ya desaparecidos o en vías de hacerlo y de artistas contemporáneos que han basado su búsqueda en la reinterpretación de esa espacialidad. Por otro lado, la tesis aporta la mirada que reconoce la supervivencia de dichos valores no en el mayoritario arte público contemporáneo, sino en los desarrollos espontáneos que se materializan en el paisaje rural por acción de labores productivas herederas a su vez de prácticas ancestrales. Se concluye proponiendo unos principios orientadores de buenas prácticas para el arte público contemporáneo peruano, que incorporan tanto la especificidad territorial de nuestros contextos como los principios y valores espaciales reconocidos en nuestro bagaje paisajístico y cultural, que permitan plantear desde nuestra identidad y de manera responsable una configuración alternativa del paisaje urbano y del arte público peruano. En este artículo nos centraremos principalmente en las maneras
La Neurociencia para la innovación de contenidos en la televisión pública europea
Directory of Open Access Journals (Sweden)
2017-07-01
Full Text Available El nuevo panorama mediático, caracterizado por la fragmentación y desafección de las audiencias hacia la televisión tradicional, urge la incorporación de innovadoras estrategias que atiendan a las demandas de sus públicos y conecten con ellos. El presente artículo analiza la capacidad de la Neurociencia para optimizar la producción de contenidos adaptados a las preferencias de los espectadores y comprueba la introducción de esta metodología en las radiotelevisiones públicas europeas. Para ello se realizó una revisión de los informes de gestión, memorias de cuentas y webs de las radiotelevisiones públicas estatales y regionales de la Unión Europea (N=100 así como de la evolución de sus audiencias de 2010-15. Complementariamente, a partir de un análisis exploratorio y de entrevistas en profundidad con cuestionario abierto y cerrado, se recogió la opinión de expertos neurocientíficos, consultores de Neuromarketing, académicos y profesionales de la televisión pública europea (N=22 sobre la utilidad e introducción de esta ciencia para el estudio de las audiencias y su aplicación en la programación, y el rol de la Neuroeducación en el diseño de programas educativos. Los resultados determinan que cerca de una docena de RTV públicas ya aplican el Neuromarketing audiovisual como herramienta innovadora para probar y diseñar productos de entretenimiento, bloques comerciales y estrategias de mejora de la competitividad frente a su implementación en la programación educativa, encomienda principal del servicio público.
Effects of drain-wall in mitigating N-hit single event transient via 45 nm CMOS process
International Nuclear Information System (INIS)
Xu, X Y; Tang, M H; Xiao, Y G; Yan, S A; Zhang, W L; Li, Z; Xiong, Y; Zhao, W; Guo, H X
2015-01-01
A three-dimensional (3D) technology computer-aided design (TCAD) simulation in a novel layout technique for N-hit single event transient (SET) mitigation based on drain-wall layout technique is proposed. Numerical simulations of both single-device and mixed-mode show that the proposed layout technique designed with 45 nm CMOS process can efficiently reduce not only charge collection but also SET pulse widths (W SET ). What is more, simulations show that impacts caused by part of ion-incidents can be shielded with this novel layout technique. When compared with conventional layout technique and guard drain layout technique, we find that the proposed novel layout technique can provide the best benefit of SET mitigation with a small sacrifice in effective area. (paper)
Directory of Open Access Journals (Sweden)
John Meshki
Full Text Available U373MG astrocytoma cells endogenously express the full-length neurokinin 1 receptor (NK1R. Substance P (SP, the natural ligand for NK1R, triggers rapid and transient membrane blebbing and we report that these morphological changes have different dynamics and intracellular signaling as compared to the changes that we have previously described in HEK293-NK1R cells. In both cell lines, the SP-induced morphological changes are Gq-independent, and they require the Rho, Rho-associated coiled-coil kinase (ROCK signaling pathway. Using confocal microscopy we have demonstrated that tubulin is phosphorylated subsequent to cell stimulation with SP and that tubulin accumulates inside the blebs. Colchicine, a tubulin polymerization inhibitor, blocked SP-induced blebbing in U373MG but not in HEK293-NK1R cells. Although p21-activated kinase (PAK is expressed in both cell lines, SP induced rapid phosphorylation of PAK in U373MG, but failed to phosphorylate PAK in HEK293-NK1R cells. The cell-permeable Rho inhibitor C3 transferase inhibited SP-induced PAK phosphorylation, but the ROCK inhibitor Y27632 had no effect on PAK phosphorylation, suggesting that Rho activates PAK in a ROCK-independent manner. Our study demonstrates that SP triggers rapid changes in cell morphology mediated by distinct intracellular signaling mechanisms in U373MG versus HEK293-NK1R cells.
RHIZOME YIELD OF TEMULAWAK (Curcuma xanthorrhiza Roxb. AT N, P, K VARIOUS LEVEL AND N, K COMBINATION
Directory of Open Access Journals (Sweden)
Ellis Nihayati
2014-02-01
Full Text Available The role of N, P and K on temulawak have not been clear enough to explain the need in temulawak rhizome in order to get high yield. The experiment was conducted in a screen house in Malang East Java with an altitude of 500 m asl. from Desember 2010 - August 2011. There were 4 experiments in series, consisting of N, P, K various level and N,K alone and combination on the growth and quantity of rhizome arranged in randomized block design comprising 7 levels of fertilizer per plant with 3 replications. The treatments of urea (N, SP36 (P consisted of 0, 1,25, 2,5, 3,75, 5, 6,25 and 7.5 g. The KCl (K comprised 0, 1,5, 3, 4,5, 6, 7,5 and 9 g. The N, K alone and combination treatments consisted of (No fertilizer, N, K, NK g /plant. The results of this research were N, P, K optimum dose of each N 6.25 g N / plant P2O5/tan P 6.25 g, K 7.5 g K2O / plant increased temulawak rhizome dry weight. Combination 4.5 g N + 2.8 g K / plant could increase temulawak rhizome dry weight by 33% compared to the addition of N and 220% when compared to the addition of a single K harvesting age 6 months.
Schade, J. D.; Seybold, E.; Drake, T. W.; Bulygina, E. B.; Bunn, A. G.; Chandra, S.; Davydov, S.; Frey, K. E.; Holmes, R. M.; Sobczak, W. V.; Spektor, V. V.; Zimov, S. A.; Zimov, N.
2009-12-01
Recent studies highlight the role of stream networks in the processing of nutrient and organic matter inputs from the surrounding watershed. Clear evidence exists that streams actively regulate fluxes of carbon, nitrogen, and phosphorus from upland terrestrial ecosystems to downstream aquatic environments. This is of particular interest in Arctic streams because of the potential impact of permafrost thaw due to global warming on inputs of nutrients and organic matter to small streams high in the landscape. Knowledge of functional characteristics of these stream ecosystems is paramount to our ability to predict changes in stream ecosystems as climate changes. Biogeochemical models developed by stream ecologists, specifically nutrient spiraling models, provide a set of metrics that we used to assess nutrient processing rates in several streams in the Eastern Siberian Arctic. We quantified these metrics using solute addition experiments in which nitrogen and phosphorus were added simultaneously with chloride as a conservative tracer. We focused on 5 streams, three flowing across upland yedoma soils and two floodplain streams. Yedoma streams showed higher uptake of N than P, suggesting N limitation of biological processes, with large variation between these three streams in the severity of N limitation. Floodplain streams both showed substantially higher P uptake than N uptake, indicating strong P limitation. Given these results, it is probable that these two types of streams will respond quite differently to changes in nutrient and organic matter inputs as permafrost thaws. Furthermore, uptake was strongly linked to discharge and transient storage of surface water, measured using temporal patterns of the conservative tracer, with higher nutrient uptake in low discharge, high transient storage streams. Given the possibility that both discharge and nutrient inputs will increase as permafrost thaws, longer-term nutrient enrichment experiments are needed to develop
Financiarización y gasto público en México, 2000-2011
Directory of Open Access Journals (Sweden)
José Enrique Mendoza Méndez
2012-09-01
Full Text Available La transformación de la participación del Estado en la economía validó nuevas formas de realización de la ganancia, especialmente en el sector financiero. Aquí se analizan las repercusiones de la financiarización en el gasto público en México, poniendo énfasis en el costo financiero de la deuda pública. La pregunta que guía esta colaboración es: ¿de qué manera la estructura y dinámica del gasto público en México ha respondido a las necesidades de valorización del capital financiero? La primera parte aborda el debate de la financiarización e impacto sobre el gasto público. En la segunda, se evalúa el ajuste fiscal y la contracción del gasto público, en especial pone énfasis en la dinámica y estructura del gasto público, y el balance financiero; además se indaga en la relación entre la deuda pública, su costo financiero e importancia en la estructura de gasto público. Por último, se destaca la relación en la volatilidad del capital internacional, las estrategias de esterilización monetaria y el costo de la deuda pública
A simple dynamic model and transient simulation of the nuclear power reactor on microcomputers
Energy Technology Data Exchange (ETDEWEB)
Han, Yang Gee; Park, Cheol [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)
1998-12-31
A simple dynamic model is developed for the transient simulation of the nuclear power reactor. The dynamic model includes the normalized neutron kinetics model with reactivity feedback effects and the core thermal-hydraulics model. The main objective of this paper demonstrates the capability of the developed dynamic model to simulate various important variables of interest for a nuclear power reactor transient. Some representative results of transient simulations show the expected trends in all cases, even though no available data for comparison. In this work transient simulations are performed on a microcomputer using the DESIRE/N96T continuous system simulation language which is applicable to nuclear power reactor transient analysis. 3 refs., 9 figs. (Author)
A simple dynamic model and transient simulation of the nuclear power reactor on microcomputers
Energy Technology Data Exchange (ETDEWEB)
Han, Yang Gee; Park, Cheol [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)
1997-12-31
A simple dynamic model is developed for the transient simulation of the nuclear power reactor. The dynamic model includes the normalized neutron kinetics model with reactivity feedback effects and the core thermal-hydraulics model. The main objective of this paper demonstrates the capability of the developed dynamic model to simulate various important variables of interest for a nuclear power reactor transient. Some representative results of transient simulations show the expected trends in all cases, even though no available data for comparison. In this work transient simulations are performed on a microcomputer using the DESIRE/N96T continuous system simulation language which is applicable to nuclear power reactor transient analysis. 3 refs., 9 figs. (Author)
Transient drainage summary report
International Nuclear Information System (INIS)
1996-09-01
This report summarizes the history of transient drainage issues on the Uranium Mill Tailings Remedial Action (UMTRA) Project. It defines and describes the UMTRA Project disposal cell transient drainage process and chronicles UMTRA Project treatment of the transient drainage phenomenon. Section 4.0 includes a conceptual cross section of each UMTRA Project disposal site and summarizes design and construction information, the ground water protection strategy, and the potential for transient drainage
Lokal løn på kommunale arbejdspladser
DEFF Research Database (Denmark)
Holt, Helle
medarbejdere – om deres erfaringer og refleksioner over forhandlingerne om lokal løn. De lokale forhandlinger sker bl.a. på baggrund af forhåndsaftaler, der som udgangspunkt er kønsneutrale, men alligevel opstår der lokale forskelle mellem mænds og kvinders løn. Ifølge de interviewede skyldes det, at et antal...... kvalifikationer anses som naturlige for kvinder og derfor ikke udløser tillæg, mens de samme kvalifikationer anses som faglige hos mænd og derfor udløser et tillæg. Rapporten viser også, at løn i høj grad betragtes som en privatsag på de kommunale arbejdspladser, og at forskelle i mænds og kvinders løn tilskrives...
Ionization Spectroscopic Measurement of nP Rydberg Levels of 87Rb Cold Atoms
Li, Yufan; Zaheeruddin, Syed; Zhao, Dongmei; Ma, Xinwen; Yang, Jie
2018-05-01
We created an ultracold plasma via the spontaneous ionization of cold dense Rydberg atoms of 87Rb in a magneto-optical trap (MOT), and measured the nS1/2 (n = 50-80), nP1/2 (n = 16-23), nP3/2 (n = 16-98), and nD5/2 (n = 49-96) Rydberg levels by detecting the electrons in the ultracold plasma. By fitting the energy levels of Rydberg states, the first ionization potential of 33690.950(11) cm-1 and the quantum defects of S, P, and D orbitals were obtained. The absolute transition energies of nS1/2 (n = 66-80), nP1/2 (n = 16-23), nP3/2 (n = 16-98), and nD5/2 (n = 58-96) states of 87Rb, as well as the quantum defects for p1/2 and p3/2 series, are given for the first time.
Información pública y pacificación: dilemas y posibilidades
Directory of Open Access Journals (Sweden)
Carlos Alfonso Velásquez
2001-01-01
Full Text Available La calidad de la información pública sobre el proceso de paz y el conflicto que proveen los medios de comunicación, especialmente la televisión, juega un papel importante a favor o en contra de la pacificación del país. En esa labor se presentan problemas éticos y profesionales alrededor de la neutralidad, el manejo del lenguaje y el contexto que se le dé a la información. Las propuestas van orientadas hacia el trabajo periodístico en beneficio de la pacificación del país.
La revolución gerencial en la gestión pública
Directory of Open Access Journals (Sweden)
Edgar Enrique Martínez Cardenas
2002-08-01
Full Text Available El ensayo que se presenta hace referencia a los desarrollos teóricos contemporáneos en lo relacionado con la administración de lo público, tomando como período de referencia las últimas tres décadas. Se pretende demostrar que mas que nuevas formulaciones teóricas, lo que se ha venido dando es la asunción de ciertas prácticas administrativas empresariales enmarcadas todas ellas dentro del concepto de "revolución gerencial" como reacción a la crisis del Estado y a sus opciones de reforma que desde el punto de vista administrativo habían estado hasta entonces enmarcadas Dentro del paradigma burocrático. Sin embargo, al contrario de esta visión, se considera que al menos en países como el nuestro, la tarea no es superar la cultura burocrática, inexistente por lo general entre nosotros, y sustituirla por una cultura gerencial, sino superar el populismo político y el clientelismo de la función pública y sustituirlo por un Estado de Derecho impulsado por una administración genuinamente burocrática responsable del buen desarrollo de las funciones exclusivas y superiores del Estado.
International Nuclear Information System (INIS)
Kalka, H.; Torjman, M.; Seeliger, D.; Lopez, R.
1989-07-01
A unique description of (n,p) and (n,2n) activation cross sections as well as emission spectra is proposed within a pure multistep approach. Calculations are presented for 8 nuclei (A=47...65) in the incident energy range from zero up to 20 MeV. (author). 42 refs, 5 figs, 1 tab
Energy Technology Data Exchange (ETDEWEB)
Meddeb, H., E-mail: hosny.meddeb@gmail.com [KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Research and Technology Center of Energy, Photovoltaic Department, Borj-Cedria Science and Technology Park, BP 95, 2050 (Tunisia); University of Carthage, Faculty of Sciences of Bizerta (Tunisia); Bearda, T.; Recaman Payo, M.; Abdelwahab, I. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Abdulraheem, Y. [Electrical Engineering Department, College of Engineering & Petroleum, Kuwait University, P.O. Box 5969, 13060 Safat (Kuwait); Ezzaouia, H. [Research and Technology Center of Energy, Photovoltaic Department, Borj-Cedria Science and Technology Park, BP 95, 2050 (Tunisia); Gordon, I.; Szlufcik, J. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Poortmans, J. [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Department of Electrical Engineering (ESAT), K.U. Leuven, 3001 Leuven (Belgium); Faculty of Sciences, University of Hasselt, Martelarenlaan 42, 3500 Hasselt (Belgium)
2015-02-15
Highlights: • The influence of the cleaning process using different HF-based cleaning on the amorphous silicon passivation of homojunction boron doped emitters is analyzed. • The effect of boron doping level on surface characteristics after wet chemical cleaning: For heavily doped surfaces, the reduction in contact angle was less pronounced, which proves that such surfaces are more resistant to oxide formation and remain hydrophobic for a longer time. In the case of low HF concentration, XPS measurements show higher oxygen concentrations for samples with higher doping level, probably due to the incomplete removal of the native oxide. • Higher effective lifetime is achieved at lower doping for all considered different chemical pre-treatments. • A post-deposition annealing improves the passivation level yielding emitter saturation currents determined by Auger recombination in the order of 70 fA/cm{sup 2} and below. • The dominance of Auger recombination over other type of B-induced defects on lifetime quality in the case of our p+ emitter. - Abstract: The influence of the cleaning process on the amorphous silicon passivation of homojunction emitters is investigated. A significant variation in the passivation quality following different cleaning sequences is not observed, even though differences in cleaning performance are evident. These results point out the effectiveness of our cleaning treatment and provide a hydrogen termination for intrinsic amorphous silicon passivation. A post-deposition treatment improves the passivation level yielding emitter saturation currents determined by Auger recombination in the order of 70 fA/cm{sup 2} and below.
International Nuclear Information System (INIS)
Elkhadir, A. Y. F.
2001-05-01
Two aliphatic hydroxamic acids were prepared; N-phenyl-n-butyro hydroxamic acid and N-p-tolyl-n-butyro hydroxamic acid, by the reaction of β-phenylhydroxylamine and p-tolyl hydroxylamine with n-butyryl chloride. The acids were identified by: their melting points, characteristic reactions with acidic solutions of vanadium (V) and iron (III), infrared spectroscopy, nitrogen content and molecular weight determination. The extractability of these acids towards Cr (VI), Cu (II), Fe (III) and U (VI) were investigated at different pH values and molar acid concentrations. N-phenyl-n- butyro hydroxamic acid has a maximum extraction (98.80%) for Cr (VI) at 4 M H 2 SO 4 , (83.25%) for Cu (II) at pH 6, (99.17%) for Fe (III) at pH 5 and (99.76%) at 4 M HNO 3 for U (VI) respectively. N-p-tolyl-n-butyro hydroxamic acid has a maximum extraction (98.40%) for Cr (VI)at 4 M H 2 SO 4 , (81.30%) for Cu (II) at pH 6, (92.80%) for Fe (III) at pH 5 and (99.64%) for U (VI) at 4 M HNO 3 , respectively. The ratios of the metal to ligands were determined by job method (continuous variation method) and were found to be 1:2 for Cr (VI) and U (VI). (Author)
Energy Technology Data Exchange (ETDEWEB)
Elkhadir, A Y. F. [Department of Chemistry, Faculty of Science, University of Khartoum, Khartoum (Sudan)
2001-05-01
Two aliphatic hydroxamic acids were prepared; N-phenyl-n-butyro hydroxamic acid and N-p-tolyl-n-butyro hydroxamic acid, by the reaction of {beta}-phenylhydroxylamine and p-tolyl hydroxylamine with n-butyryl chloride. The acids were identified by: their melting points, characteristic reactions with acidic solutions of vanadium (V) and iron (III), infrared spectroscopy, nitrogen content and molecular weight determination. The extractability of these acids towards Cr (VI), Cu (II), Fe (III) and U (VI) were investigated at different pH values and molar acid concentrations. N-phenyl-n- butyro hydroxamic acid has a maximum extraction (98.80%) for Cr (VI) at 4 M H{sub 2}SO{sub 4}, (83.25%) for Cu (II) at pH 6, (99.17%) for Fe (III) at pH 5 and (99.76%) at 4 M HNO{sub 3} for U (VI) respectively. N-p-tolyl-n-butyro hydroxamic acid has a maximum extraction (98.40%) for Cr (VI)at 4 M H{sub 2} SO{sub 4}, (81.30%) for Cu (II) at pH 6, (92.80%) for Fe (III) at pH 5 and (99.64%) for U (VI) at 4 M HNO{sub 3}, respectively. The ratios of the metal to ligands were determined by job method (continuous variation method) and were found to be 1:2 for Cr (VI) and U (VI). (Author)
Banca pública venezolana: reconfiguración del mapa de financiación al desarrollo nacional
Directory of Open Access Journals (Sweden)
Marianela Acuña Ortigoza
2014-01-01
Full Text Available Durante el período 2000-2011 se producen en Venezuela cambios institucionales que posibilitan la reconfiguración del mapa de financiación al desarrollo nacional. El nuevo marco constitucional, surgido de la aprobación de la Constitución de la Republica Bolivariana de Venezuela de 1999, los lineamientos establecidos en los Planes de la Nación 2001-2007 y 2007-2013, y la manifestación de contradicciones entre la práctica financiera y los objetivos de política pública, se constituirán en el curso del período, en condicionantes permanentes de las transformaciones institucionales del sistema financiero. El objetivo de esta investigación es explicar los cambios institucionales que se han producido en la banca pública venezolana y los resultados alcanzados en relación a los objetivos de la política económica. Mediante una investigación documental con diseño bibliográfico, se explica la experiencia venezolana de transformación de la banca pública y la política pública definida para producir esos cambios, considerando el uso de la capacidad de apalancamiento del sistema financiero como elemento de democratización financiera y dinamizador del desarrollo nacional. Los resultados de la investigación permiten concluir que durante el período 2000-2011 la política económica venezolana persigue modificar los rasgos distintivos del sistema financiero venezolano, y en particular del sector bancario público, y profundizar las transformaciones gradualmente producidas en el sector, de acuerdo a los objetivos de democratización del capital, incremento de la participación del Estado en la actividad financiera y redireccionamiento de la actividad bancaria a los propósitos del desarrollo nacional.
[Evaluation of a transient noise reduction strategy on the loudness perception and sound quality].
Liu, Haihong; Zhang, Hua; Chen, Xueqing; Wu, Yanjun; Kong, Ying; Wang, Shuo; Li, Jing
2010-10-01
A current technology for detecting and controlling transient noise in hearing aids (AntiShock) was evaluated. The objective was to evaluate AntiShock on loudness control and whether results in negative changes in sound quality of speech, transient noise and environmental noise and provide implications for hearing aid fitting. Twenty-four subjects with sensorineural hearing loss participated in the study. In a single-blinded paradigm, the subjects were asked to rate loudness of transient noise and distortion of speech, transient noise and environmental noise with the AntiShock in both on and off conditions. (1) The percentage of the transient noise rated as soft, comfortable, loud, too loud was 3.0%, 72.7%, 22.9% and 1.4%, respectively. There were significant differences in mean scores of loudness perception among listening conditions and between genders by a Two-Way ANOVA, the P values were 0.009 and 0.001, respectively. (2) The percentage of the speech rated as mild distorted, understandable, clear and very clear was 2.5%, 30.6%, 32.9% and 34.0%, respectively. There were significant differences in mean scores of speech distortion under different listening conditions by an One-Way ANOVA (P 0.05). (4) The percentage of the environmental noise rated as mild distorted, clear but soft, clear and natural was 0.4%, 0.8% and 98.8%, respectively. No significant differences in mean scores of nature of environmental noise was found between different listening conditions by an Independent-Samples T Test (P > 0.05). AntiShock showed positive effects on the loudness control of the transient noise. Quality of speech, transient noise and environmental noise were not impacted by AntiShock.
Preparation of carrier-free Phosphorus-32 from 31P(n,γ )32P reaction
International Nuclear Information System (INIS)
Rafii, H.; Arbab Zavar, H.; Avaz Moghadam, S.
1999-01-01
The phosphorus-32 is a widely used radioisotope as as tracer or radioactive source in nuclear medicine, and in many other biotechnical applications. In this paper, preparation of carrier-free P-32 with a high specific activity was studied by 31 P(n, γ) 32 P reaction. The anhydrous KH 2 PO 4 , as a target material, was irradiated in Tehran Nuclear Research Reactor with a neutron flux of 2.5x10 13 n/cm 2 .sec. The P-32 produced as a result of Szilard-Chalmers effect was isolated from the target by a strong anion exchange resin, (Dowex - X 8). The quality control of the product shows a high chemical, and radiochemical purity (>98%) and the increase specific activity depends on the irradiation time
Peculiar transient events in the Schumann resonance band and their possible explanation
Ondrásková, Adriena; Bór, József; S[Breve]Evcík, Sebastián; Kostecký, Pavel; Rosenberg, Ladislav
2008-04-01
Superimposed on the continuous Schumann resonance (SR) background in the extremely low frequency (ELF) band, transient signals (e.g. bursts) can be observed, which originate from intense lightning discharges occurring at different locations on the globe. From the many transients that were observed at the Astronomical and Geophysical Observatory (AGO) of Comenius University near Modra, western Slovakia, in the vertical electric field component mainly during May and June of 2006, a peculiar group of events could be recognized. According to the waveform analysis, these peculiar events in most cases consist of two overlapping transients with a characteristic time difference of 0.13-0.15 s between the onsets. On the other hand, the spectrum of these peculiar transients showed discernible SR peaks for higher modes as well (n>7). The same events could be found in the records of the Széchenyi István Geophysical Observatory of the Geodetic and Geophysical Research Institute of the Hungarian Academy of Sciences near Nagycenk, Hungary (NCK). The natural origin of the peculiar events was verified from the NCK data and the source location was determined from the second transient. The results suggest that the two consecutive transients originated in the same thunderstorm. Furthermore, the phase spectrum analysis indicates that the sources have coherently excited the Earth-ionosphere cavity. These findings seem to support the idea that electromagnetic waves orbiting the Earth might trigger lightning discharges. The possibility that electromagnetic waves may trigger discharges was first considered by Nikola Tesla.
Transient performance estimation of charge plasma based negative capacitance junctionless tunnel FET
International Nuclear Information System (INIS)
Singh, Sangeeta; Kondekar, P. N.; Pal, Pawan
2016-01-01
We investigate the transient behavior of an n-type double gate negative capacitance junctionless tunnel field effect transistor (NC-JLTFET). The structure is realized by using the work-function engineering of metal electrodes over a heavily doped n + silicon channel and a ferroelectric gate stack to get negative capacitance behavior. The positive feedback in the electric dipoles of ferroelectric materials results in applied gate bias boosting. Various device transient parameters viz. transconductance, output resistance, output conductance, intrinsic gain, intrinsic gate delay, transconductance generation factor and unity gain frequency are analyzed using ac analysis of the device. To study the impact of the work-function variation of control and source gate on device performance, sensitivity analysis of the device has been carried out by varying these parameters. Simulation study reveals that it preserves inherent advantages of charge-plasma junctionless structure and exhibits improved transient behavior as well. (paper)
International Nuclear Information System (INIS)
Morii, Isao; Kihara, Yasuki; Sasayama, Shigetake; Konishi, Takashi; Inubushi, Toshiro.
1996-01-01
We studied the subcellular mechanisms of the negative force-frequency relationship in rat myocardium by measuring intracellular Ca 2+ transients by indo-1 fluorometry and intracellular pH (pH i ) and phosphate compounds with 31 P-nuclear magnetic resonance (NMR). The data were compared with those from guinea pig hearts, which show a positive force-frequency relationship. By increasing the pacing rate from 3 Hz to 5 Hz, the peak positive first derivative of left ventricular pressure (LVdP/dt) in rat heart decreased by 10±1% (n=6). In contrast to this negative inotropic response, simultaneously measured peak Ca 2+ transients increased by 6±1%. Guinea pig heart (n=6) showed an increase in peak positive LVdP/dt (33±1%) which was associated with an increase in peak Ca 2+ transients (8±1%). Under equivalent experimental conditions in an NMR spectrometer, this increase in the pacing rate did not affect intracellular levels of phosphate compounds in either rat (n=6) or guinea pig heart (n=6). In contrast, pH i showed a decrease of 0.031±0.006 pH units in rat heart, while no changes were observed in guinea pig heart. These results suggest that in physiological rat myocardium, pH i is susceptible to changes in the stimulus frequency and may affect the Ca 2+ -responsiveness of contractile proteins, which results in the negative force-frequency relationship. (author)
Double-scaled disorder in Ga(N,As,P)/GaP multiquantum wells
International Nuclear Information System (INIS)
Karcher, C.; Jandieri, K.; Kunert, B.; Fritz, R.; Volz, K.; Stolz, W.; Gebhard, F.; Baranovskii, S.D.; Heimbrodt, W.
2013-01-01
The compositional dependence of the properties of metastable Ga(N,As,P) has been characterized optically by means of temperature dependent absorptive and emissive techniques. By assuming a two-scaled disorder within the alloy caused by microscopic composition fluctuations on the one hand and a fluctuation of strain fields or the well width on the other hand, Monte Carlo simulations of the carrier dynamics are in good agreement with the experimental findings. The compositional dependence further reveals an increase of disorder with decreasing nitrogen content. - Highlights: ► Temperature dependent spectral dataset of two Ga(N,As,P)/ MQWs with varying composition. ► High resolution TEM study revealing height fluctuations within the triple QWs. ► Two distinct scales of disorder corresponding to two spatial length scales. ► Almost perfect Monte-Carlo simulations of the experimental findings. ► Theoretical explanation of the discovered reduction of disorder with increasing N.
Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru
2015-12-01
P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.
PSH Transient Simulation Modeling
Energy Technology Data Exchange (ETDEWEB)
Muljadi, Eduard [National Renewable Energy Laboratory (NREL), Golden, CO (United States)
2017-12-21
PSH Transient Simulation Modeling presentation from the WPTO FY14 - FY16 Peer Review. Transient effects are an important consideration when designing a PSH system, yet numerical techniques for hydraulic transient analysis still need improvements for adjustable-speed (AS) reversible pump-turbine applications.
Evaluation of anti-fibrosis effect of compound Biejia Ruangan tablets with transient elastography
Directory of Open Access Journals (Sweden)
ZHANG Ning
2013-10-01
Full Text Available ObjectiveTo evaluate the clinical efficacy of compound Biejia Ruangan tablets using transient elastography in patients with hepatitis B-induced compensated liver cirrhosis who are concurrently treated with entecavir. MethodsIn this prospective, randomized, and controlled study, 100 patients with hepatitis B-induced compensated liver cirrhosis were randomly assigned to the control group and the experimental group in a ratio of 1:1. The patients in the control group were treated with the anti-virus drug entecavir alone, whereas the patients in the experimental group were treated with entecavir and compound Biejia Ruangan tablets for anti-fibrosis therapy. The patients were followed up at 6 and 12 months. After treatment, the changes in transient elasticity values were evaluated, and the transient elasticity values were compared between the two groups. Continuous variables were compared with the t test and categorical data as well as rates were compared with the chi-square test. ResultsTreatment with compound Biejia Ruangan tablets led to significantly lower transient elasticity values in the experimental group than in the control group at 6 and 12 months (t = 2.963, P = 0.004; t = 2.239, P = 0.027. Transient elasticity values at 6 and 12 months were significantly lower than their respective baseline levels in the control group (t = 4.295, P <0.001 for comparison between values at baseline and 6 months; t = 6.109, P <0.001 for comparison between values at baseline and 12 months; t = 5.394, P <0.001 for comparison between values at 6 and 12 months and the experimental group (t = 8.505, P <0.001 for comparison between values at baseline and 6 months; t = 9.882, P <0.001 for comparison between values at baseline and 12 months; t = 7.930, P <0.001 for comparison between values at 6 months and 12 months. At 12 months, the proportion of patients with liver cirrhosis in the experimental group was significantly lower than that in the control
N and P addition inhibits growth of rich fen bryophytes
DEFF Research Database (Denmark)
Andersen, Dagmar Kappel; Ejrnæs, Rasmus; Riis, Tenna
2016-01-01
vernicosus and paludella squarrosa) rich fen bryophytes were grown in mixed culture and subjected to rainwater or groundwater and three levels of N (0, 1 and 3 mg N L-1) and P (0, 0.05 and 0.1 mg P NL-1). All species responded negatively to higher N-levels and three of four species responded negatively...... to rainwater and higher P-levels. C. cuspidata had highest relative growth rate in all treatments, and the infrequently occurringrare species had lower relative growth rate and were more negatively affected by high levels of N than the frequently occurringcommon species. A negative effect of rainwater seemed...... to be caused by higher background levels of N in rainwater compared to groundwater rather than a pH-effect per se. We found a negative effect of high initial bryophyte density in three of four species indicating density dependent inhibition between species.We suggest that maintenance of oligotrophic conditions...
Transient failure behavior of HT9
International Nuclear Information System (INIS)
Huang, F.H.
1994-07-01
Alloy HT9 has-been chosen as candidate materials for fast and fusion reactor applications because the.material exhibits excellent resistance to void swelling. However, ferritic alloys are known to undergo a ductile-brittle transition as the test temperature is decreased. This inherent problem has limited their applications to reactor component materials subjected to low neutron exposures. Despite the ductile-brittle transition problem, results show that the materials exhibit superior resistance to fracture under very high neutron fluences at irradiation temperatures above 380C. Results also show that the transient behavior for HT9 cladding specimens taken from the fuel column region and cladding taken from outside the fuel column or unirradiated cladding are the same. HT9 cladding maintained its transient strength with irradiation to a fluence of 9 x 10 22 n/cm 2 (E > 0.1 MeV)
Chemical-free n-type and p-type multilayer-graphene transistors
Energy Technology Data Exchange (ETDEWEB)
Dissanayake, D. M. N. M., E-mail: nandithad@voxtel-inc.com [Voxtel Inc, Lockey Laboratories, University of Oregon, Eugene Oregon 97402 (United States); Eisaman, M. D. [Sustainable Energy Technologies Department, Brookhaven National Laboratory, Upton, New York 11973 (United States); Department of Electrical and Computer Engineering, Stony Brook University, Stony Brook, New York 11794 (United States); Department of Physics and Astronomy, Stony Brook University, Stony Brook, New York 11794 (United States)
2016-08-01
A single-step doping method to fabricate n- and p-type multilayer graphene (MG) top-gate field effect transistors (GFETs) is demonstrated. The transistors are fabricated on soda-lime glass substrates, with the n-type doping of MG caused by the sodium in the substrate without the addition of external chemicals. Placing a hydrogen silsesquioxane (HSQ) barrier layer between the MG and the substrate blocks the n-doping, resulting in p-type doping of the MG above regions patterned with HSQ. The HSQ is deposited in a single fabrication step using electron beam lithography, allowing the patterning of arbitrary sub-micron spatial patterns of n- and p-type doping. When a MG channel is deposited partially on the barrier and partially on the glass substrate, a p-type and n-type doping profile is created, which is used for fabricating complementary transistors pairs. Unlike chemically doped GFETs in which the external dopants are typically introduced from the top, these substrate doped GFETs allow for a top gate which gives a stronger electrostatic coupling to the channel, reducing the operating gate bias. Overall, this method enables scalable fabrication of n- and p-type complementary top-gated GFETs with high spatial resolution for graphene microelectronic applications.
Mader, Felix; Krause, Ludwig; Tokay, Tursonjan; Hakenberg, Oliver W; Köhling, Rüdiger; Kirschstein, Timo
2016-05-01
Purinergic signaling plays a major role in the enteric nervous system, where it governs gut motility through a number of P2X and P2Y receptors. The aim of this study was to investigate the P2Y receptor-mediated motility in rat longitudinal ileum preparations. Ileum smooth muscle strips were prepared from rats, and fixed in an organ bath. Isometric contraction and relaxation responses of the muscle strips were measured with force transducers. Drugs were applied by adding of stock solutions to the organ bath to yield the individual final concentrations. Application of the non-hydrolyzable P2 receptor agonists α,β-Me-ATP or 2-Me-S-ADP (10, 100 μmol/L) dose-dependently elicited a transient relaxation response followed by a sustained contraction. The relaxation response was largely blocked by SK channel blockers apamin (500 nmol/L) and UCL1684 (10 μmol/L), PLC inhibitor U73122 (100 μmol/L), IP3 receptor blocker 2-APB (100 μmol/L) or sarcoendoplasmic Ca(2+) ATPase inhibitor thapsigargin (1 μmol/L), but not affected by atropine, NO synthase blocker L-NAME or tetrodotoxin. Furthermore, α,β-Me-ATP-induced relaxation was suppressed by P2Y1 receptor antagonist MRS2179 (50 μmol/L) or P2Y13 receptor antagonist MRS2211 (100 μmol/L), and was abolished by co-application of the two antagonists, whereas 2-Me-S-ADP-induced relaxation was abolished by P2Y6 receptor antagonist MRS2578 (50 μmol/L). In addition, P2Y1 receptor antagonist MRS2500 (1 μmol/L) not only abolished α,β-Me-ATP-induced relaxation, but also suppressed 2-Me-S-ADP-induced relaxation. P2Y receptor agonist-induced transient relaxation of rat ileum smooth muscle strips is mediated predominantly by P2Y1 receptor, but also by P2Y6 and P2Y13 receptors, and involves PLC, IP3, Ca(2+) release and SK channel activation, but is independent of acetylcholine and NO release.
Dual ohmic contact to N- and P-type silicon carbide
Okojie, Robert S. (Inventor)
2013-01-01
Simultaneous formation of electrical ohmic contacts to silicon carbide (SiC) semiconductor having donor and acceptor impurities (n- and p-type doping, respectively) is disclosed. The innovation provides for ohmic contacts formed on SiC layers having n- and p-doping at one process step during the fabrication of the semiconductor device. Further, the innovation provides a non-discriminatory, universal ohmic contact to both n- and p-type SiC, enhancing reliability of the specific contact resistivity when operated at temperatures in excess of 600.degree. C.
Open-gated pH sensor fabricated on an undoped-AlGaN/GaN HEMT structure.
Abidin, Mastura Shafinaz Zainal; Hashim, Abdul Manaf; Sharifabad, Maneea Eizadi; Rahman, Shaharin Fadzli Abd; Sadoh, Taizoh
2011-01-01
The sensing responses in aqueous solution of an open-gated pH sensor fabricated on an AlGaN/GaN high-electron-mobility-transistor (HEMT) structure are investigated. Under air-exposed ambient conditions, the open-gated undoped AlGaN/GaN HEMT only shows the presence of a linear current region. This seems to show that very low Fermi level pinning by surface states exists in the undoped AlGaN/GaN sample. In aqueous solution, typical current-voltage (I-V) characteristics with reasonably good gate controllability are observed, showing that the potential of the AlGaN surface at the open-gated area is effectively controlled via aqueous solution by the Ag/AgCl gate electrode. The open-gated undoped AlGaN/GaN HEMT structure is capable of distinguishing pH level in aqueous electrolytes and exhibits linear sensitivity, where high sensitivity of 1.9 mA/pH or 3.88 mA/mm/pH at drain-source voltage, V(DS) = 5 V is obtained. Due to the large leakage current where it increases with the negative gate voltage, Nernstian like sensitivity cannot be determined as commonly reported in the literature. This large leakage current may be caused by the technical factors rather than any characteristics of the devices. Surprisingly, although there are some imperfections in the device preparation and measurement, the fabricated devices work very well in distinguishing the pH levels. Suppression of current leakage by improving the device preparation is likely needed to improve the device performance. The fabricated device is expected to be suitable for pH sensing applications.
Open-Gated pH Sensor Fabricated on an Undoped-AlGaN/GaN HEMT Structure
Directory of Open Access Journals (Sweden)
Taizoh Sadoh
2011-03-01
Full Text Available The sensing responses in aqueous solution of an open-gated pH sensor fabricated on an AlGaN/GaN high-electron-mobility-transistor (HEMT structure are investigated. Under air-exposed ambient conditions, the open-gated undoped AlGaN/GaN HEMT only shows the presence of a linear current region. This seems to show that very low Fermi level pinning by surface states exists in the undoped AlGaN/GaN sample. In aqueous solution, typical current-voltage (I-V characteristics with reasonably good gate controllability are observed, showing that the potential of the AlGaN surface at the open-gated area is effectively controlled via aqueous solution by the Ag/AgCl gate electrode. The open-gated undoped AlGaN/GaN HEMT structure is capable of distinguishing pH level in aqueous electrolytes and exhibits linear sensitivity, where high sensitivity of 1.9 mA/pH or 3.88 mA/mm/pH at drain-source voltage, VDS = 5 V is obtained. Due to the large leakage current where it increases with the negative gate voltage, Nernstian like sensitivity cannot be determined as commonly reported in the literature. This large leakage current may be caused by the technical factors rather than any characteristics of the devices. Surprisingly, although there are some imperfections in the device preparation and measurement, the fabricated devices work very well in distinguishing the pH levels. Suppression of current leakage by improving the device preparation is likely needed to improve the device performance. The fabricated device is expected to be suitable for pH sensing applications.
Yao, Changguang
2018-02-13
A 2nd generation PN3P-pincer azido nickel complex (PN3P)Ni(N3) reacts with isocyanides to afford monosubstituted carbodiimides under irradiation, presumably via a transient nitrido intermediate. The resulting species can further generate unsymmetrical carboddimides and the PN3P nickel halide complex, accomplishing a synthetic cycle for a complete nitrogen atom transfer reaction.
Yao, Changguang; Wang, Xiufang; Huang, Kuo-Wei
2018-01-01
A 2nd generation PN3P-pincer azido nickel complex (PN3P)Ni(N3) reacts with isocyanides to afford monosubstituted carbodiimides under irradiation, presumably via a transient nitrido intermediate. The resulting species can further generate unsymmetrical carboddimides and the PN3P nickel halide complex, accomplishing a synthetic cycle for a complete nitrogen atom transfer reaction.
Horizontal Assembly of Single Nanowire Diode Fabricated by p-n Junction GaN NW Grown by MOCVD
Directory of Open Access Journals (Sweden)
Ji-Hyeon Park
2014-01-01
Full Text Available Uniaxially p-n junction gallium nitride nanowires have been synthesized via metal-organic chemical vapor deposition method. Nanowires prepared on Si(111 substrates were found to grow perpendicular to the substrate, and the transmission electron microscopy studies demonstrated that the nanowires had singlecrystalline structures with a growth axis. The parallel assembly of the p-n junction nanowire was prepared on a Si substrate with a thermally grown SiO2 layer. The transport studies of horizontal gallium nitride nanowire structures assembled from p- and n-type materials show that these junctions correspond to well-defined p-n junction diodes. The p-n junction devices based on GaN nanowires suspended over the electrodes were fabricated and their electrical properties were investigated. The horizontally assembled gallium nitride nanowire diodes suspended over the electrodes exhibited a substantial increase in conductance under UV light exposure. Apart from the selectivity to different light wavelengths, high responsivity and extremely short response time have also been obtained.
International Nuclear Information System (INIS)
Zahraman, Khaled; Leroux, M.; Gibart, P.; Zaidi, M.A.; Bremond, G.; Guillot, G.
2000-01-01
author.The minority carrier lifetimes in Al x Ga 1-x As grown by Metal-Organics Vapor Phase Epitaxy (MOVPE) is generally lower than in GaAs. This is believed to be due to oxygen incorporation in the layers. We describe a study of radiative and non radiative minority carriers lifetimes in n-and p-type Al 0.2 Ga 0.8 As as a function of growth parameters, in correlation with oxygen concentration measurements and deep level transient spectroscopy (DLTS) studies. Long non radiative lifetimes and low oxygen contents are achieved using temperature growth. A main minority hole lifetime killer appears to be 0.4 eV deep O related electron trap detected by DLTS at concentrations three orders of magnitude lower than the atomic oxygen one. Record lifetimes in MOVPE grown n-and p-type Al 0.2 Ga 0.8 As are obtained. An Al 0.85 Ga 0.15 As/Al 0.2 Ga 0.8 As surface recombination velocity lower than 4.5x10 3 cm.s -1 is measured
Transient trimethylaminuria related to menstruation
Shimizu, Makiko; Cashman, John R; Yamazaki, Hiroshi
2007-01-01
Background Trimethylaminuria, or fish odor syndrome, includes a transient or mild malodor caused by an excessive amount of malodorous trimethylamine as a result of body secretions. Herein, we describe data to support the proposal that menses can be an additional factor causing transient trimethylaminuria in self-reported subjects suffering from malodor and even in healthy women harboring functionally active flavin-containing monooxygenase 3 (FMO3). Methods FMO3 metabolic capacity (conversion of trimethylamine to trimethylamine N-oxide) was defined as the urinary ratio of trimethylamine N-oxide to total trimethylamine. Results Self-reported Case (A) that was homozygous for inactive Arg500stop FMO3, showed decreased metabolic capacity of FMO3 (i.e., ~10% the unaffected metabolic capacity) during 120 days of observation. For Case (B) that was homozygous for common [Glu158Lys; Glu308Gly] FMO3 polymorphisms, metabolic capacity of FMO3 was almost ~90%, except for a few days surrounding menstruation showing 90%) metabolic capacity, however, on days around menstruation the FMO3 metabolic capacity was decreased to ~60–70%. Conclusion Together, these results indicate that abnormal FMO3 capacity is caused by menstruation particularly in the presence, in homozygous form, of mild genetic variants such as [Glu158Lys; Glu308Gly] that cause a reduced FMO3 function. PMID:17257434
International Nuclear Information System (INIS)
Sugiyama, T.; Ishikawa, Y.; Tanimura, K.; Hayashi, Y.; Itoh, N.
1989-01-01
Studies of recombination processes by means of transient optical absorption (TOA) spectroscopy in GaAs and GaP are reviewed. It is pointed out that the technique can reveal production of excited states having long lifetimes and of non-luminescent metastable states. Two distinct recombination processes in GaAs containing EL2 defects are discussed: one is at the metastable EL2 defects, accompanied with transformation to the EL2 defects and the other is at deep acceptors through pair recombination. (author) 11 refs., 2 figs
Directory of Open Access Journals (Sweden)
Mario Villatoro
2008-01-01
Full Text Available Con el objetivo de comparar los interpoladores Kriging y el IDW (Inverse Distance Weighting, por ser los más utilizados en los estudios de análisis de la variación espacial, en un área de 2 467 m2 se procedió a georeferenciar 61 puntos a una distancia de 3,5 m entre sí. Se tomó muestras de suelo a una profundidad de 0-15 cm en cada uno de los puntos. El programa GS+ para Windows se usó en los análisis de variogramas, interpolación y validación cruzada. Con valores de pH, Ca, CICE y P del suelo, se procedió a las interpolaciones. Con el Kriging se calculó los semivariogramas y también se determinó que el modelo esférico fue el de mejor ajuste. Como medidas de precisión se calculó el promedio absoluto del error (PAE y el promedio del cuadrado del error (PCE; y, como medida de efectividad, el estimado de efectividad de predicción (E. Aunque ambos interpoladores tuvieron un desempeño similar, el Kriging fue superior al predecir de una mejor manera la variación de pH, Ca, y CICE, mientras que el IDW lo fue con el P.
15N Hyperpolarization of Imidazole-15N2 for Magnetic Resonance pH Sensing via SABRE-SHEATH.
Shchepin, Roman V; Barskiy, Danila A; Coffey, Aaron M; Theis, Thomas; Shi, Fan; Warren, Warren S; Goodson, Boyd M; Chekmenev, Eduard Y
2016-06-24
15 N nuclear spins of imidazole- 15 N 2 were hyperpolarized using NMR signal amplification by reversible exchange in shield enables alignment transfer to heteronuclei (SABRE-SHEATH). A 15 N NMR signal enhancement of ∼2000-fold at 9.4 T is reported using parahydrogen gas (∼50% para-) and ∼0.1 M imidazole- 15 N 2 in methanol:aqueous buffer (∼1:1). Proton binding to a 15 N site of imidazole occurs at physiological pH (p K a ∼ 7.0), and the binding event changes the 15 N isotropic chemical shift by ∼30 ppm. These properties are ideal for in vivo pH sensing. Additionally, imidazoles have low toxicity and are readily incorporated into a wide range of biomolecules. 15 N-Imidazole SABRE-SHEATH hyperpolarization potentially enables pH sensing on scales ranging from peptide and protein molecules to living organisms.
Design and characterization of GaN p-i-n diodes for betavoltaic devices
Khan, Muhammad R.; Smith, Joshua R.; Tompkins, Randy P.; Kelley, Stephen; Litz, Marc; Russo, John; Leathersich, Jeff; Shahedipour-Sandvik, Fatemeh (Shadi); Jones, Kenneth A.; Iliadis, Agis
2017-10-01
The performance of gallium nitride (GaN) p-i-n diodes were investigated for use as a betavoltaic device. Dark IV measurements showed a turn on-voltage of approximately 3.2 V, specific-on-resistance of 15.1 mΩ cm2 and a reverse leakage current of -0.14 mA/cm2 at -10 V. A clear photo-response was observed when IV curves were measured under a light source at a wavelength of 310 nm (4.0 eV). In addition, GaN p-i-n diodes were tested under an electron-beam in order to simulate common beta radiation sources ranging from that of 3H (5.6 keV average) to 63Ni (17 keV average). From this data, we estimated output powers of 53 nW and 750 nW with overall efficiencies of 0.96% and 4.4% for our device at incident electron energies of 5.6 keV and 17 keV corresponding to 3H and 63Ni beta sources respectively.
Directory of Open Access Journals (Sweden)
Eun Joo Bae
2015-01-01
Full Text Available The tumor suppressor p63 is one of p53 family members and plays a vital role as a regulator of neuronal apoptosis in the development of the nervous system. However, the role of p63 in mature neuronal death has not been addressed yet. In this study, we first compared ischemia-induced effects on p63 expression in the hippocampal regions (CA1- 3 between the young and adult gerbils subjected to 5 minutes of transient global cerebral ischemia. Neuronal death in the hippocampal CA1 region of young gerbils was significantly slow compared with that in the adult gerbils after transient global cerebral ischemia. p63 immunoreactivity in the hippocampal CA1 pyramidal neurons in the sham-operated young group was significantly low compared with that in the sham-operated adult group. p63 immunoreactivity was apparently changed in ischemic hippocampal CA1 pyramidal neurons in both ischemia-operated young and adult groups. In the ischemia-operated adult groups, p63 immunoreactivity in the hippocampal CA1 pyramidal neurons was significantly decreased at 4 days post-ischemia; however, p63 immunoreactivity in the ischemia-operated young group was significantly higher than that in the ischemia-operated adult group. At 7 days post-ischemia, p63 immunoreactivity was decreased in the hippocampal CA1 pyramidal neurons in both ischemia-operated young and adult groups. Change patterns of p63 level in the hippocampal CA1 region of adult and young gerbils after ischemic damage were similar to those observed in the immunohistochemical results. These findings indicate that higher and longer-term expression of p63 in the hippocampal CA1 region of the young gerbils after ischemia/reperfusion may be related to more delayed neuronal death compared to that in the adults.
Energy Technology Data Exchange (ETDEWEB)
Pershenkov, V S; Sevast` yanov, A V
1994-12-31
Methods of forecasting the degradation of horizontal p-n-p transistors under the effect of ionizing radiation based on the principles of invariant topological approach are presented. Results are presented and analysis of experimental investigations into the real test structures performed in the same process cycle as the integral circuit under development is given.
Comprensión pública de la ciencia en Chile: adaptación de instrumentos y medición
Directory of Open Access Journals (Sweden)
Pablo Villarroel
2013-01-01
Full Text Available La comprensión pública de la ciencia se ha consolidado como campo de investigación teórica y aplicada en los principales países desarrollados, desde hace más de tres décadas. La relación ciencia-público ha sido medida a través de encuestas aplicadas al público en general, entre las que destacan la de la National Science Foundation, en Estados Unidos, y el Eurobarómetro, en la Unión Europea, las cuales se han convertido en instrumentos internacionales de referencia. En América Latina, a diferencia de lo anterior, recién en la última década se han iniciado con sistematicidad este tipo de mediciones. Este artículo presenta resultados generales de la primera medición de interés, conocimiento y percepción de ciencia a nivel regional dentro de Chile. Se aplicó una encuesta en cuatro capitales regionales del sur del país, utilizándose un cuestionario adaptado a partir de los instrumentos internacionales de referencia, lo cual permite su comparación con mediciones internacionales.
Contra-públicos: Arte participativo como manifestación de un espacio público
Linders, E.H.E.
2016-01-01
Las prácticas de artistas-activistas en el espacio público pueden ser entendidas como generadoras de un contra-público en el sentido de Hirschkind (2006) porque construyen un espacio para la participación política alternativa. El espacio público entonces no se debe entender como algo preexistente,
Angular Distributions of Neutrons from (p,n)-Reactions in some Mirror Nuclei
Energy Technology Data Exchange (ETDEWEB)
Stroemberg, L G; Wiedling, T; Holmqvist, B
1964-04-15
The angular distributions of neutrons from the reactions {sup 13}C (p,n) {sup 13}N and {sup 19}F (p, n) {sup 19}Ne have been measured for some energies close to the reactions threshold. For the reaction {sup 9}B (p, n) {sup 9}C angular distributions have been measured at several proton energies below the reaction threshold of the neutrons to the first excited state in {sup 11}C . A 5.5 MeV Van de Graaff has been used for the experiments. The neutrons were detected with a long counter. The measurements were carried out for 16 energies for the B (p,n) reaction, 3 energies for the C (p, n) reaction, and for 7 energies for the F 19(p, n) reaction. One of the main reasons for investigating these (p, n) reactions was to check whether the direct reaction process is important at low proton energies as well as close to reaction thresholds in nuclei consisting of closed shells of neutrons and protons either with an extra nucleon outside the closed shell or a nucleon hole. Comparisons with a theory proposed by Bloom, Glendenning, and Moszkowski have been performed.
Park, J A; Lee, J Y; Sato, T A; Koh, J Y
2000-12-15
Recently, a 22 kDa protein termed p75(NTR)-associated death executor (NADE) was discovered to be a necessary factor for p75(NTR)-mediated apoptosis in certain cells. However, the possible role for p75(NTR)/NADE in pathological neuronal death has yet been undetermined. In the present study, we have examined this possibility in vivo and in vitro. Exposure of cortical cultures to zinc induced both p75(NTR) and NADE in neurons, whereas exposure to NMDA, ionomycin, iron, or H(2)O(2) induced neither. In addition, zinc exposure increased neuronal NGF expression and its release into the medium. A function-blocking antibody of p75(NTR) (REX) inhibited association between p75(NTR) and NADE as well as neuronal death induced by zinc. Conversely, NGF augmented zinc-induced neuronal death. Caspase inhibitors reduced zinc-induced neuronal death, indicating that caspases were involved. Because reduction of NADE expression with cycloheximide or NADE antisense oligonucleotides attenuated zinc-induced neuronal death, NADE appears to contribute to p75(NTR)-induced cortical neuronal death as shown in other cells. Because zinc neurotoxicity may be a key mechanism of neuronal death after transient forebrain ischemia, we next examined this model. After ischemia, p75(NTR) and NADE were induced in degenerating rat hippocampal CA1 neurons. There was a close correlation between zinc accumulation and p75(NTR)/NADE induction. Suggesting the role of zinc here, injection of a metal chelator, CaEDTA, into the lateral ventricle completely blocked the induction of p75(NTR) and NADE. Our results suggest that co-induction of p75(NTR) and NADE plays a role in zinc-triggered neuronal death in vitro and in vivo.
Flotación en columnas de complejos cobre - amilxantato en función del pH
Directory of Open Access Journals (Sweden)
Francisco J. Tavera-Miranda
2009-03-01
Full Text Available La diferencia de solubilidad de los complejos amilxantato de cobre(I y de cobre(II es un elemento determinante en la implementación de procesos de flotación para recuperar cobre disuelto, aspecto en el que no se ha profundizado. En este trabajo se estudia el comportamiento de ambos complejos en función del pH del medio, evaluando los resultados a partir de su contribución específica en la recuperación y la cinética del proceso de flotación, el cual se lleva a cabo en una columna de laboratorio utilizando amilxantato de potasio como colector. El rango de pH utilizado (4 a 13 permite evaluar el efecto de las transformaciones que tienen lugar en el sistema amilxantato- cobre-agua. Los resultados indican el incremento de la recuperación de cobre con el incremento del pH del medio hasta valores de pH 11 y muestran una fuerte dependencia de la recuperación con las características químicas del sistema, el cobre flotado se incrementa marcadamente al incrementarse la concentración de la especie cristalina amilxantato de cobre(I, asociado a esto se manifiesta un incremento de la fracción de gas retenido y el flujo de superficie de las burbujas, las cuales tienen una incidencia directa sobre la cinética del proceso de flotación.
Solution-grown organic single-crystalline p-n junctions with ambipolar charge transport.
Fan, Congcheng; Zoombelt, Arjan P; Jiang, Hao; Fu, Weifei; Wu, Jiake; Yuan, Wentao; Wang, Yong; Li, Hanying; Chen, Hongzheng; Bao, Zhenan
2013-10-25
Organic single-crystalline p-n junctions are grown from mixed solutions. First, C60 crystals (n-type) form and, subsequently, C8-BTBT crystals (p-type) nucleate heterogeneously on the C60 crystals. Both crystals continue to grow simultaneously into single-crystalline p-n junctions that exhibit ambipolar charge transport characteristics. This work provides a platform to study organic single-crystalline p-n junctions. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Ad Hoc Transient Groups: Instruments for Awareness in Learning Networks
Fetter, Sibren; Rajagopal, Kamakshi; Berlanga, Adriana; Sloep, Peter
2011-01-01
Fetter, S., Rajagopal, K., Berlanga, A. J., & Sloep, P. B. (2011). Ad Hoc Transient Groups: Instruments for Awareness in Learning Networks. In W. Reinhardt, T. D. Ullmann, P. Scott, V. Pammer, O. Conlan, & A. J. Berlanga (Eds.), Proceedings of the 1st European Workshop on Awareness and Reflection in
Modelos de televisión pública europea y latinoamericana
Directory of Open Access Journals (Sweden)
Francisco Campos Freire
2009-12-01
Full Text Available Este análisis gira en torno al papel del Estado en tanto regulador, propietario, financiador, dinamizador y garante de la televisión pública como factor esencial de la calidad democrática y motor de la industria cultural y audiovisual en el contexto de la sociedad de la información. Enfatiza en no confundir televisión pública con la comunicación audiovisual gubernamental y recordar que la convergencia tecnológica y la Sociedad de la información han agregado también a los medios tradicionales al nuevo ecosistema digital de difusión e interacción de contenidos a través de distintas redes, canales y pantallas.
Sindarovska, Y R; Gerasymenko, I M; Sheludko, Y V; Olevinskaya, Z M; Spivak, N Y; Kuchuk, N V
2010-01-01
Human interferon alpha2b gene was transiently expressed in Nicotiana excelsior plants. Fusion with N. plumbaginifolia calreticulin signal peptide for improved apoplast targeting and carrying out the expression under optimized conditions resulted in maximal interferon activity of 3.2 x 10(3) IU/g fresh weight (FW) with an average of 2.1 +/- 0.8 x 10(3) IU/g FW. It proves that N. excelsior is a suitable host for Agrobacterium-mediated transient expression of genes encoding physiologically active human proteins. The transient expression conditions optimized for GFP marker protein were confirmed to be preferable for hIFN alpha2b.
Discussion of isomeric ratios in (p, n) and (d, 2n) reaction
Energy Technology Data Exchange (ETDEWEB)
Bakhshiyan, T. M., E-mail: tiruhi44@mail.ru [Yerevan State University (Armenia)
2016-01-15
Isomeric ratios (IR) in the (p, n) and (d, 2n) reactions are considered. The dependence of IR values on the projectile type and energy, the target- and product-nucleus spin, the spin difference between the isomeric and ground states of products, and the product mass number is discussed. The isomeric ratios for 46 product nuclei (from {sup 44m,g}Sc to {sup 127m,g}Xe) obtained in reactions where target and product nuclei have identical mass numbers were calculated at energies from the reaction threshold to 50 MeV (with a step of ΔE = 1 MeV). The calculations in question were performed with the aid of the TALYS 1.4 code package. The calculated IR values were compared with their experimental counterparts available from the literature (EXFOR database). In the majority of cases, the calculated IR values agree well with the experimental data in question. It is noteworthy that the IR values obtained in (d, 2n) reactions are substantially greater than those in (p, n) reactions.
La imagen pública. Un valor de comunicación
Directory of Open Access Journals (Sweden)
Francisco Echeverría Remón
2012-04-01
Full Text Available La imagen pública de una empresa está formada por las múltiples imágenes que proyectan las diferentes partes que la componen, ya sean divisiones o áreas (financieras, producción, comunicación... o personas que, de forma individual o colectiva, emiten de su empresa. Esta imagen estará formada, a su vez, por las múltiples imágenes públicas de los stakeholders y de cada uno de sus entornos o “territorio” que interiorice de la misma, conformando, la suma de todas ellas, la verdadera imagen pública de la compañía. Este proceso de comunicación es de enorme complejidad, interviniendo, entre otros factores, la marca y la identidad corporativa (como representación simbólica de ésta y es, a través de la marca, donde, mediante un diálogo entre todas las partes, se debe crear un vínculo emocional con el público, cliente o no, y con la sociedad. Estos procesos complejos, requerirán la asignación, por parte de la compañía, de recursos humanos y financieros específicos, reunidos alrededor del líder de la marca y con la intervención de diferentes expertos y consultores de imagen y marca, que aportan una visión más amplia a la organización y unos recursos especializados y en permanente actualización.
Changes in the Adriatic oceanographic properties induced by the Eastern Mediterranean Transient
Directory of Open Access Journals (Sweden)
I. Vilibić
2012-06-01
Full Text Available Long-term time series of physical and chemical parameters collected between 1960 and 2010 along the Palagruža Sill transect, Middle Adriatic Sea, have been investigated in terms of average water properties and their variability. Nutrients, especially orthophosphates, reached rather high levels of concentration below the euphotic zone between 1991 and 1998, the highest levels in the investigated period. Simultaneously, the N:P ratio, which is normally higher than 25:1, decreased to values less than 16:1 in the euphotic zone, indicating a switch from typical phosphorus to nitrogen- limited preconditioning for the primary production. Higher-than-usual nutrient levels peaking in the mid-1990s, coupled with lower-than-usual temperature, salinity, dissolved oxygen and pH values, are presumably related to the flow of the nutrient richer Western Mediterranean waters to the Adriatic below the euphotic layer. These waters, which keep their footprint in the N:P ratio, enter the Adriatic during the anticyclonic phase of the Bimodal Adriatic-Ionian Oscillation (BiOS, which has been uniquely strengthened by the Eastern Mediterranean Transient occurring in the early 1990s. This hypothesis should be confirmed through targeted research and modelling exercises, as it is highly relevant for the biogeochemistry of the Adriatic Sea.
Tacina, R. R.
1984-01-01
Non-steady combustion problems can result from engine sources such as accelerations, decelerations, nozzle adjustments, augmentor ignition, and air perturbations into and out of the compressor. Also non-steady combustion can be generated internally from combustion instability or self-induced oscillations. A premixed-prevaporized combustor would be particularly sensitive to flow transients because of its susceptability to flashback-autoignition and blowout. An experimental program, the Transient Flow Combustion Study is in progress to study the effects of air and fuel flow transients on a premixed-prevaporized combustor. Preliminary tests performed at an inlet air temperature of 600 K, a reference velocity of 30 m/s, and a pressure of 700 kPa. The airflow was reduced to 1/3 of its original value in a 40 ms ramp before flashback occurred. Ramping the airflow up has shown that blowout is more sensitive than flashback to flow transients. Blowout occurred with a 25 percent increase in airflow (at a constant fuel-air ratio) in a 20 ms ramp. Combustion resonance was found at some conditions and may be important in determining the effects of flow transients.
Forward $\\pi^{+-}$ production in p-$O_2$ and p-$N_2$ interactions at 12 GeV/c
Catanesi, M.G.; Edgecock, R.; Ellis, M.; Gossling, C.; Bunyatov, S.; Krasnoperov, A.; Popov, B.; Tereschenko, V.; Di Capua, E.; Vidal-Sitjes, G.; Artamonov, A.; Giani, S.; Gilardoni, S.; Gorbunov, P.; Grant, A.; Grossheim, A.; Ivanchenko, A.; Ivanchenko, V.; Kayis-Topaksu, A.; Panman, J.; Papadopoulos, I.; Tcherniaev, E.; Tsukerman, I.; Wiebusch, C.; Zucchelli, P.; Blondel, A.; Borghi, S.; Morone, M.C.; Prior, G.; Schroeter, R.; Meurer, C.; Gastaldi, U.; Mills, G.B.; Graulich, J.S.; Gregoire, G.; Bonesini, M.; Ferri, F.; Kirsanov, M.; Bagulya, A.; Grichine, V.; Polukhina, N.; Palladino, V.; Coney, L.; Schmitz, D.; Barr, G.; Bobisut, F.; Gibin, D.; Guglielmi, A.; Mezzetto, M.; Dumarchez, J.; Dore, U.; Orestano, D.; Pastore, F.; Tonazzo, A.; Tortora, L.; Booth, C.; Howlett, L.; Bogomilov, M.; Kolev, D.; Tsenov, R.; Piperov, Stefan; Temnikov, P.; Apollonio, M.; Chimenti, P.; Giannini, G.; Burguet-Castell, J.; Cervera-Villanueva, A.; Gomez-Cadenas, J.J.; Martin-Albo, J.; Sorel, M.
2008-01-01
Measurements of double-differential charged pion production cross-sections in interactions of 12 GeV/c protons on O_2 and N_2 thin targets are presented in the kinematic range 0.5 GeV/c < p_{\\pi} < 8 GeV/c and 50 mrad < \\theta_{\\pi} < 250 mrad (in the laboratory frame) and are compared with p--C results. For p--N_2 (p--O_2) interactions the analysis is performed using 38576 (7522) reconstructed secondary pions. The analysis uses the beam instrumentation and the forward spectrometer of the HARP experiment at CERN PS. The measured cross-sections have a direct impact on the precise calculation of atmospheric neutrino fluxes and on the improved reliability of extensive air shower simulations by reducing the uncertainties of hadronic interaction models in the low energy range. In particular, the present results allow the common hypothesis that p--C data can be used to predict the p--N_2 and p--O_2 pion production cross-sections to be tested.
Optical properties of Mg doped p-type GaN nanowires
Patsha, Avinash; Pandian, Ramanathaswamy; Dhara, S.; Tyagi, A. K.
2015-06-01
Mg doped p-type GaN nanowires are grown using chemical vapor deposition technique in vapor-liquid-solid (VLS) process. Morphological and structural studies confirm the VLS growth process of nanowires and wurtzite phase of GaN. We report the optical properties of Mg doped p-type GaN nanowires. Low temperature photoluminescence studies on as-grown and post-growth annealed samples reveal the successful incorporation of Mg dopants. The as-grwon and annealed samples show passivation and activation of Mg dopants, respectively, in GaN nanowires.
El control y la evaluación en la administración pública
Ricardo Uvalle Berrones
2004-01-01
En este artículo, el autor destaca la importancia del control y la evaluación en el desempeño institucional de la administración pública señalando, con especial énfasis, que son un medio relevante para armonizar y enlazar las decisiones, los recursos y el personal en el mundo multifacético que la propia administración pública tiene que ordenar y potenciar para responder con sentido de oportunidad a los procesos de gobierno y a la dinámica intensa y plural de la vida ciudadana. ...
Función pública de los servidores municipales en Colombia
Directory of Open Access Journals (Sweden)
Aleksey Herrera Robles
2004-01-01
Full Text Available El régimen laboral de los servidores públicos municipales, no obstante tratarse deentidades descentralizadas, se encuentra regulado por la ley, no sólo en cuanto a la determinación de su forma de vincu/¡¡cifm, sino también en relación con su régimen prestacional, salarial y de vinculación a lafunción pública
Grasshoppers regulate N:p stoichiometric homeostasis by changing phosphorus contents in their frass.
Zhang, Zijia; Elser, James J; Cease, Arianne J; Zhang, Ximei; Yu, Qiang; Han, Xingguo; Zhang, Guangming
2014-01-01
Nitrogen (N) and phosphorus (P) are important limiting nutrients for plant production and consumer performance in a variety of ecosystems. As a result, the N:P stoichiometry of herbivores has received increased attention in ecology. However, the mechanisms by which herbivores maintain N:P stoichiometric homeostasis are poorly understood. Here, using a field manipulation experiment we show that the grasshopper Oedaleus asiaticus maintains strong N:P stoichiometric homeostasis regardless of whether grasshoppers were reared at low or high density. Grasshoppers maintained homeostasis by increasing P excretion when eating plants with higher P contents. However, while grasshoppers also maintained constant body N contents, we found no changes in N excretion in response to changing plant N content over the range measured. These results suggest that O. asiaticus maintains P homeostasis primarily by changing P absorption and excretion rates, but that other mechanisms may be more important for regulating N homeostasis. Our findings improve our understanding of consumer-driven P recycling and may help in understanding the factors affecting plant-herbivore interactions and ecosystem processes in grasslands.
N-Heterocyclic Carbene Capture by Cytochrome P450 3A4
Jennings, Gareth K.; Ritchie, Caroline M.; Shock, Lisa S.; Lyons, Charles E.
2016-01-01
Cytochrome P450 3A4 (CYP3A4) is the dominant P450 enzyme involved in human drug metabolism, and its inhibition may result in adverse interactions or, conversely, favorably reduce the systemic elimination rates of poorly bioavailable drugs. Herein we describe a spectroscopic investigation of the interaction of CYP3A4 with N-methylritonavir, an analog of ritonavir, widely used as a pharmacoenhancer. In contrast to ritonavir, the binding affinity of N-methylritonavir for CYP3A4 is pH-dependent. At pH UV-visible spectroscopy binding studies with molecular fragments narrows the source of this pH dependence to its N-methylthiazolium fragment. The C2 proton of this group is acidic, and variable-pH resonance Raman spectroscopy tentatively assigns it a pKa of 7.4. Hence, this fragment of N-methylritonavir is expected to be readily deprotonated under physiologic conditions to yield a thiazol-2-ylidene, which is an N-heterocyclic carbene that has high-affinity for and is presumed to be subsequently captured by the heme iron. This mechanism is supported by time-dependent density functional theory with an active site model that accurately reproduces distinguishing features of the experimental UV-visible spectra of N-methylritonavir bound to CYP3A4. Finally, density functional theory calculations support that this novel interaction is as strong as the tightest-binding azaheterocycles found in P450 inhibitors and could offer new avenues for inhibitor development. PMID:27126611
Perehdyttäminen Nummenselän päiväkodissa
Mustiala, Hanne
2013-01-01
TIIVISTELMÄ Mustiala, Hanne. Perehdyttäminen Nummenselän päiväkodissa. Diak, syksy 2013, 71s., 3 liitettä. Diakonia-ammattikorkeakoulu, Sosiaalialan koulutusohjelma, Sosionomi (AMK) + lastentarhanopettajan virkakelpoisuus. Toiminnallisen opinnäytetyöni tavoitteena oli tuottaa perehdyttämismateriaali Nummenselän päiväkodille. Päiväkoti on uusi päivähoidon toimintayksikkö Vihdissä. Tähän asti uuden työntekijän perehdyttämisessä on käytetty Vihdin kunnan yhteistä perehdyttämis...
Directory of Open Access Journals (Sweden)
Romualdo Douglas Colauto
2013-03-01
Full Text Available La sociedad brasileña convive con modificaciones en el modo de gestión del patrimonio público. Parte de esas modificaciones puede ser atribuida a la edición de la Ley de Responsabilidad Fiscal (LRF, una vez que creó reglas rígidas para la renuncia de ingresos públicos. Analizar las normas de finanzas públicas que imponen límites y condiciones para alcanzar responsabilidad en la gestión fiscal, en especial, en lo que se refiere a la concesión de beneficios por medio del instituto de la renuncia de ingresos, tiene gran relevancia como una contribución social. El objetivo de este estudio consiste en proveer una reflexión sobre doctrina y jurisprudencia del Tribunal de Cuentas de la Unión y del Estado de Minas Gerais, que establecen condiciones restrictivas para la renuncia de ingresos públicos. La pesquisa se caracteriza como exploratoria y utiliza como fuentes documentales legislación, doctrina y jurisprudencia del Tribunal de Cuentas de la Unión y del Tribunal de Cuentas del Estado de Minas Gerais, a fin de subsidiar apuntamientos inferenciales sobre la temática. Los resultados del estudio indican algunos avances en relación a la renuncia de ingresos en el Brasil: 1 la LRF representa el primer avance al imponer restricciones a la concesión de ese beneficio; 2 la Secretaría del Tesoro Nacional creó la metodología de deducción de ingresos, promoviendo, con eso, una mayor evidenciación de esos valores; 3 los Tribunales de Cuentas fiscalizan la obediencia de los límites establecidos para renuncia de ingresos.
EL2-related defects in neutron irradiated GaAs1/sub -x/P/sub x/ alloys
International Nuclear Information System (INIS)
Munoz, E.; Garcia, F.; Jimenez, B.; Calleja, E.; Gomez, A.; Alcober, V.
1985-01-01
The generation of EL2-related defects in GaAsP alloys by fast neutron irradiation has been studied through deep level transient spectroscopy and photocapacitance techniques. After irradiation p-n junctions were not annealed at high temperatures. In the composition range x>0.4, fast neutrons generate a broad center at E/sub c/-0.7 eV that it is suggested to belong to the EL2 family. The presence of photocapacitance quenching effects has been taken as a preliminary fingerprint to make the above assignment. From computer analysis of the nonexponential transient capacitance waveforms, evidence that neutron irradiation creates a family of midgap levels, EL2-related, is found
Compensation of native donor doping in ScN: Carrier concentration control and p-type ScN
Saha, Bivas; Garbrecht, Magnus; Perez-Taborda, Jaime A.; Fawey, Mohammed H.; Koh, Yee Rui; Shakouri, Ali; Martin-Gonzalez, Marisol; Hultman, Lars; Sands, Timothy D.
2017-06-01
Scandium nitride (ScN) is an emerging indirect bandgap rocksalt semiconductor that has attracted significant attention in recent years for its potential applications in thermoelectric energy conversion devices, as a semiconducting component in epitaxial metal/semiconductor superlattices and as a substrate material for high quality GaN growth. Due to the presence of oxygen impurities and native defects such as nitrogen vacancies, sputter-deposited ScN thin-films are highly degenerate n-type semiconductors with carrier concentrations in the (1-6) × 1020 cm-3 range. In this letter, we show that magnesium nitride (MgxNy) acts as an efficient hole dopant in ScN and reduces the n-type carrier concentration, turning ScN into a p-type semiconductor at high doping levels. Employing a combination of high-resolution X-ray diffraction, transmission electron microscopy, and room temperature optical and temperature dependent electrical measurements, we demonstrate that p-type Sc1-xMgxN thin-film alloys (a) are substitutional solid solutions without MgxNy precipitation, phase segregation, or secondary phase formation within the studied compositional region, (b) exhibit a maximum hole-concentration of 2.2 × 1020 cm-3 and a hole mobility of 21 cm2/Vs, (c) do not show any defect states inside the direct gap of ScN, thus retaining their basic electronic structure, and (d) exhibit alloy scattering dominating hole conduction at high temperatures. These results demonstrate MgxNy doped p-type ScN and compare well with our previous reports on p-type ScN with manganese nitride (MnxNy) doping.
The extraction of φ-N total cross section from d(γ,pK+K-)n
International Nuclear Information System (INIS)
Qian, X.; Chen, W.; Gao, H.; Hicks, K.; Kramer, K.; Laget, J.M.; Mibe, T.; Stepanyan, S.; Tedeschi, D.J.; Xu, W.; Adhikari, K.P.; Amaryan, M.; Anghinolfi, M.; Baghdasaryan, H.; Ball, J.; Battaglieri, M.; Batourine, V.; Bedlinskiy, I.; Bellis, M.; Biselli, A.S.
2009-01-01
We report on the first measurement of the differential cross section of φ-meson photoproduction for the d(γ,pK + K - )n exclusive reaction channel. The experiment was performed using a tagged-photon beam and the CEBAF Large Acceptance Spectrometer (CLAS) at Jefferson Lab. A combined analysis using data from the d(γ,pK + K - )n channel and those from a previous publication on coherent φ production on the deuteron has been carried out to extract the φ-N total cross section, σ φN . The extracted φ-N total cross section favors a value above 20 mb. This value is larger than the value extracted using vector-meson dominance models for φ photoproduction on the proton.
Flores E,Claudia; Araya G,Alejandra; Pizarro- Berdichevsky,Javier; Díaz R,Constanza; Quevedo C,Estefanía; González L,Silvana
2012-01-01
Antecedentes: Se estima que entre 25-50% de las mujeres sufrirá de alguna alteración del piso pélvico durante su vida. La función sexual es un concepto complejo y multidimensional. Se ha planteado que a mayor sintomatología de alteraciones de piso pélvico, las mujeres presentan mayores inconvenientes en su respuesta sexual. Objetivo: Describir la función sexual de un grupo de mujeres que presentan algún tipo de trastorno de piso pélvico. Método: Estudio descriptivo a 195 mujeres con diagnósti...
International Nuclear Information System (INIS)
Garner, P.L.; Blomquist, R.N.; Gelbard, E.M.
1992-09-01
The COMMIX-1AR/P computer program is designed for analyzing the steady-state and transient aspects of single-phase fluid flow and heat transfer in three spatial dimensions. This version is an extension of the modeling in COMMIX-1A to include multiple fluids in physically separate regions of the computational domain, modeling descriptions for pumps, radiation heat transfer between surfaces of the solids which are embedded in or surround the fluid, a k-var-epsilon model for fluid turbulence, and improved numerical techniques. The porous-medium formulation in COMMIX allows the program to be applied to a wide range of problems involving both simple and complex geometrical arrangements. The input preparation and execution procedures are presented for the COMMIX-1AR/P program and several postprocessor programs which produce graphical displays of the calculated results
Energy Technology Data Exchange (ETDEWEB)
Garner, P.L.; Blomquist, R.N.; Gelbard, E.M.
1992-09-01
The COMMIX-1AR/P computer program is designed for analyzing the steady-state and transient aspects of single-phase fluid flow and heat transfer in three spatial dimensions. This version is an extension of the modeling in COMMIX-1A to include multiple fluids in physically separate regions of the computational domain, modeling descriptions for pumps, radiation heat transfer between surfaces of the solids which are embedded in or surround the fluid, a k-[var epsilon] model for fluid turbulence, and improved numerical techniques. The porous-medium formulation in COMMIX allows the program to be applied to a wide range of problems involving both simple and complex geometrical arrangements. The input preparation and execution procedures are presented for the COMMIX-1AR/P program and several postprocessor programs which produce graphical displays of the calculated results.
International Nuclear Information System (INIS)
Demir, Hakan; Tan, Yusuf Z.; Isgoren, Serkan; Gorur, Gozde D.; Kozdag, Guliz; Ural, Ertan; Berk, Fatma
2008-01-01
Transient left ventricular contractile dysfunction (TLVD) is observed owing to post-exercise stunning in patients with coronary artery disease (CAD). Pharmacological stimulation differs from exercise stress because it does not cause demand ischemia. The aim of this study was to determine whether TLVD could also be seen after pharmacological stress (dipyridamole). Of the patients in whom gated single-photon emission computed tomography (GSPECT) was performed in our institution from January 2004 to April 2007, 439 subjects with known or suspected CAD were included in the study. GSPECT was performed for all patients following exercise (group I, n=220) or pharmacological stress (group II, n=219) according to a 2-day (stress-rest) protocol after injection of Tc-99m methoxyisobutyl-isonitrile (MIBI). Stress, rest, and difference (stress-rest value) left ventricular ejection fractions (SLVEF, RLVEF, and DLVEF) and transient ischemic dilatation (TID) ratio were derived automatically. Summed stress score, summed rest score, and summed difference score (SDS) for myocardial perfusion were calculated using a 20-segment model and a five-point scoring system. An SDS >3 was considered as ischemic. On the basis of the perfusion findings, patients were subdivided into a normal (group A, n=216) and ischemia group (group B, n=223). DLVEF and perfusion scores of all groups were compared. Relationships between DLVEF and perfusion, and between TID ratio and DLVEF were also evaluated. Stress-induced ischemia was observed in 223 of 439 patients (50.8%). In group A, the difference between stress and rest LVEF values was not significant (P=0.670 and P=0.200 for groups IA and IIA, respectively). However, LVEF was significantly decreased after stress compared with rest values for group B (P<0.0001 for groups IB and IIB). TLVD (≤-5% for DLVEF) was observed in 20 of 216 (9%) and 81 of 223 subjects (36%) in patients in groups A and B, respectively (P<0.0001). In group I, we found TLVD in 46 of
Energy Technology Data Exchange (ETDEWEB)
Zotti, G. (Ist. di Polarografia ed Elettrochimica Preparative, Consiglio Nazionale delle Ricerche, Padua (Italy)); Schiavon, G. (Ist. di Polarografia ed Elettrochimica Preparative, Consiglio Nazionale delle Ricerche, Padua (Italy))
1994-03-15
Electrochemical n-doping of poly(dithienylvinylene) has been performed in AN+0.1 M N(C[sub n]H[sub 2n+1])[sub 4][sup +]ClO[sub 4][sup -] (n=1,2,3,4 and 6) and compared with p-doping. Cyclic voltammetry, in situ ESR and UV-Vis spectroelectrochemistry show that radical anions produced in n-doping are moderately unstable towards disproportionation whereas, in p-doping, radical cations are stabilized by [pi]-dimerization. In situ conductivity of n-doped polymer decreases as the size of the dopant cation increases, suggesting charge transport control by interchain hopping. The different conductivities of n- and p-doped polymers are due to the different sizes of the counterions. (orig.)
P/N InP solar cells on Ge wafers
Wojtczuk, Steven; Vernon, Stanley; Burke, Edward A.
1994-01-01
Indium phosphide (InP) P-on-N one-sun solar cells were epitaxially grown using a metalorganic chemical vapor deposition process on germanium (Ge) wafers. The motivation for this work is to replace expensive InP wafers, which are fragile and must be thick and therefore heavy, with less expensive Ge wafers, which are stronger, allowing use of thinner, lighter weight wafers. An intermediate InxGs1-xP grading layer starting as In(0.49)Ga(0.51) at the GaAs-coated Ge wafer surface and ending as InP at the top of the grading layer (backside of the InP cell) was used to attempt to bend some of the threading dislocations generated by lattice-mismatch between the Ge wafer and InP cell so they would be harmlessly confined in this grading layer. The best InP/Ge cell was independently measured by NASA-Lewis with a one-sun 25 C AMO efficiently measured by NASA-Lewis with a one-circuit photocurrent 22.6 mA/sq cm. We believe this is the first published report of an InP cell grown on a Ge wafer. Why get excited over a 9 percent InP/Ge cell? If we look at the cell weight and efficiency, a 9 percent InP cell on an 8 mil Ge wafer has about the same cell power density, 118 W/kg (BOL), as the best InP cell ever made, a 19 percent InP cell on an 18 mil InP wafer, because of the lighter Ge wafer weight. As cell panel materials become lighter, the cell weight becomes more important, and the advantage of lightweight cells to the panel power density becomes more important. In addition, although InP/Ge cells have a low beginning-of-life (BOL) efficiency due to dislocation defects, the InP/Ge cells are very radiation hard (end-of-life power similar to beginning-of-life). We have irradiated an InP/Ge cell with alpha particles to an equivalent fluence of 1.6 x 10(exp 16) 1 MeV electrons/sq cm and the efficiency is still 83 percent of its BOL value. At this fluence level, the power output of these InP/Ge cells matches the GaAs/Ge cell data tabulated in the JPL handbook. Data are presented
Elementos para la comprensión de lo público/privado desde la comunicación
Fabio López Díaz
2012-01-01
El documento se ocupa de la transformación conceptual que ha experimentado el ámbito de lo público/privado. En principio aborda las oposiciones teóricas construidas entre estos conceptos, para luego estudiar la pertinencia de dichas oposiciones. Se busca finalmente proporcionar un punto de vista que supere esta polarización tradicional y avance hacia el papel de la comunicación en la caracterización del vasto ámbito de lo público/privado.
Transient Evoked aotacoustic emissions otologically normal adults
African Journals Online (AJOL)
ABUTH
between 1999-2000 using a manually Operated Madson Audiometer ,and the IL 088 otodynamic. Analyser was used to record transient otoacoustic emission. Results The age groups were 20-30,31-40, 41-50, 51-60 years .There was no significant difference in threshold as a function of age at p<0.05 .The TEOAE amplitude ...
Direct assessment of p-n junctions in single GaN nanowires by Kelvin probe force microscopy
Minj, Albert; Cros, Ana; Auzelle, Thomas; Pernot, Julien; Daudin, Bruno
2016-09-01
Making use of Kelvin probe force microscopy, in dark and under ultraviolet illumination, we study the characteristics of p-n junctions formed along the axis of self-organized GaN nanowires (NWs). We map the contact potential difference of the single NW p-n junctions to locate the space charge region and directly measure the depletion width and the junction voltage. Simulations indicate a shrinkage of the built-in potential for NWs with small diameter due to surface band bending, in qualitative agreement with the measurements. The photovoltage of the NW/substrate contact is studied by analyzing the response of NW segments with p- and n-type doping under illumination. Our results show that the shifts of the Fermi levels, and not the changes in surface band bending, are the most important effects under above band-gap illumination. The quantitative electrical information obtained here is important for the use of NW p-n junctions as photovoltaic or rectifying devices at the nanoscale, and is especially relevant since the technique does not require the formation of ohmic contacts to the NW junction.
Directory of Open Access Journals (Sweden)
Haoyang Cui
2013-01-01
Full Text Available The transient photovoltaic (PV characteristic of HgCdTe PV array is studied using an ultrafast laser. The photoresponse shows an apparent negative valley first, then it evolves into a positive peak. By employing a combined theoretical model of pn junction and Schottky potential, this photo-response polarity changing curves can be interpreted well. An obvious decreasing of ratio of negative valley to positive peak can be realized by limiting the illumination area of the array electrode. This shows that the photoelectric effect of Schottky barrier at metal-semiconductor (M/S interface is suppressed, which will verify the correctness of the model. The characteristic parameters of transient photo-response induced from p-n junction and Schottky potential are extracted by fitting the response curve utilizing this model. It shows that the negative PV response induced by the Schottky barrier decreases the positive photovoltage generated by the pn junction.
Demir, K. Çinar; Kurudirek, S. V.; Oz, S.; Biber, M.; Aydoğan, Ş.; Şahin, Y.; Coşkun, C.
We fabricated 25 Au/n-GaP/Al Schottky devices and investigated the influence of high electron irradiation, which has 12MeV on the devices, at room temperature. The X-ray diffraction patterns, scanning electron microscopic images and Raman spectra of a gallium phosphide (GaP) semiconductor before and after electron irradiation have been analyzed. Furthermore, some electrical measurements of the devices were carried out through the current-voltage (I-V) and capacitance-voltage (C-V) measurements. From the I-V characteristics, experimental ideality factor n and barrier height Φ values of these Schottky diodes have been determined before and after irradiation, respectively. The results have also been analyzed statically, and a gauss distribution has been obtained. The built-in potential Vbi, barrier height Φ, Fermi level EF and donor concentration Nd values have been determined from the reverse bias C-V and C-2-V curves of Au/n-GaP/Al Schottky barrier diodes at 100kHz before and after 12MeV electron irradiation. Furthermore, we obtained the series resistance values of Au/n-GaP/Al Schottky barrier diodes with the help of different methods. Experimental results confirmed that the electrical characterization of the device changed with the electron irradiation.
Espacio público y deporte: de la reflexión a la intervención. Algunas propuestas
Directory of Open Access Journals (Sweden)
Núria Puig
2008-03-01
Full Text Available El artículo realiza una serie de propuestas de intervención que se derivan de los resultados de las investigaciones realizadas en Barcelona sobre el deporte en los espacios públicos urbanos. Se centra en tres aspectos considerados esenciales: el deporte practicado en los espacios públicos urbanos es un capital social; este capital se genera cuando los espacios públicos están concebidos para que sean lugares de encuentro y no de paso; y los espacios públicos urbanos, en su conjunto, son lugares de aprendizaje de la ciudadanía. En la conclusión se sugieren actuaciones para mejorar la situación existente y remediar algunos de los déficit que se han observado.
Directory of Open Access Journals (Sweden)
Claudia Tomadoni
2014-12-01
Full Text Available La complejidad es una propiedad inherente a los espacios urbanos. Dentro de ellos, los espacios públicos muestran en la actualidad una complejidad creciente y variable, acorde a las diversas formas de percibir, concebir, producir y consumir estos espacios. Los espacios públicos se conforman de multiplicidad de lugares. Los lugares son síntesis de formascontenidos y revelan la compleja trama de relaciones que subyacen al espacio urbano. El concepto de lugar ofrece alternativas para la observación, análisis y actuación sobre el ambiente urbano y especialmente del espacio público, en tanto en él se materializan intercambios sociales, culturales, políticos y económicos. En un intento por descubrir la relación dialéctica naturaleza-sociedad en el espacio público, recurrimos al concepto de lugar como categoría analítica para interpretar la materialidad e inmaterialidad en los procesos de producción y consumo del espacio público urbano y, para captar la importancia de su complejidad en miras a la formulación de políticas públicas y al rol que luego estas juegan en dichos procesos.
International Nuclear Information System (INIS)
Van Hemelryk, Annelies; De Meerleer, Gert; Ost, Piet; Poelaert, Filip; De Gersem, Werner; Decaestecker, Karel; De Visschere, Pieter; Fonteyne, Valérie
2016-01-01
Purpose: Improved outcome is reported after surgery or external beam radiation therapy (EBRT) plus androgen deprivation therapy (ADT) for patients with lymph node (LN) positive (N1) prostate cancer (PC). Surgical series have shown that pathologic (p)N1 PC does not behave the same in all patients. The aim of this study was to perform a matched-case analysis to compare the outcome of pN1 and pN0 PC after high-dose EBRT plus ADT. Methods and Materials: Radiation therapy up to 80 Gy was delivered to the prostate with a minimal dose of 45 Gy to the pelvis for pN1 patients. After matching, Kaplan-Meier statistics were used to compare the 5-year biochemical and clinical relapse-free survival (bRFS and cRFS), prostate cancer–specific survival (PCSS), and overall survival (OS). Acute and late rectal and urinary toxicity was evaluated. Results: Sixty-nine pN1 PC patients were matched 1:1 with pN0 PC patients. The median follow-up time was 60 months. The 5-year bRFS and cRFS for pN1 versus pN0 PC patients were 65% ± 7% versus 79% ± 5% (P=.08) and 70% ± 6% versus 83% ± 5% (P=.04) respectively. No significant difference was found in bRFS or cRFS rates between low volume pN1 (≤2 positive LNs) and pN0 patients. The 5-year PCSS and OS were comparable between pN1 and pN0 PC patients: PCSS: 92% ± 4% versus 93% ± 3% (P=.66); OS: 82% ± 5% versus 80% ± 5% (P=.58). Severe toxicity was rare for both groups, although pN1 patients experienced significantly more acute grade 2 rectal toxicity. Conclusion: Primary EBRT plus 2 to 3 years of ADT is a legitimate treatment option for pN1 PC patients, especially those with ≤2 positive LNs, and this with bRFS and cRFS rates comparable to those in pN0 PC patients. For pN1 PC patients with >2 positive LNs, bRFS and cRFS are worse than in pN0 patients, but even in this subgroup, long-term disease control is obtained.
Energy Technology Data Exchange (ETDEWEB)
Van Hemelryk, Annelies [Department of Urology, Ghent University Hospital, Ghent (Belgium); De Meerleer, Gert; Ost, Piet [Department of Radiation Oncology, Ghent University Hospital, Ghent (Belgium); Poelaert, Filip [Department of Urology, Ghent University Hospital, Ghent (Belgium); De Gersem, Werner [Department of Radiation Oncology, Ghent University Hospital, Ghent (Belgium); Decaestecker, Karel [Department of Urology, Ghent University Hospital, Ghent (Belgium); De Visschere, Pieter [Department of Radiology, Ghent University Hospital, Ghent (Belgium); Fonteyne, Valérie, E-mail: valerie.fonteyne@uzgent.be [Department of Radiation Oncology, Ghent University Hospital, Ghent (Belgium)
2016-10-01
Purpose: Improved outcome is reported after surgery or external beam radiation therapy (EBRT) plus androgen deprivation therapy (ADT) for patients with lymph node (LN) positive (N1) prostate cancer (PC). Surgical series have shown that pathologic (p)N1 PC does not behave the same in all patients. The aim of this study was to perform a matched-case analysis to compare the outcome of pN1 and pN0 PC after high-dose EBRT plus ADT. Methods and Materials: Radiation therapy up to 80 Gy was delivered to the prostate with a minimal dose of 45 Gy to the pelvis for pN1 patients. After matching, Kaplan-Meier statistics were used to compare the 5-year biochemical and clinical relapse-free survival (bRFS and cRFS), prostate cancer–specific survival (PCSS), and overall survival (OS). Acute and late rectal and urinary toxicity was evaluated. Results: Sixty-nine pN1 PC patients were matched 1:1 with pN0 PC patients. The median follow-up time was 60 months. The 5-year bRFS and cRFS for pN1 versus pN0 PC patients were 65% ± 7% versus 79% ± 5% (P=.08) and 70% ± 6% versus 83% ± 5% (P=.04) respectively. No significant difference was found in bRFS or cRFS rates between low volume pN1 (≤2 positive LNs) and pN0 patients. The 5-year PCSS and OS were comparable between pN1 and pN0 PC patients: PCSS: 92% ± 4% versus 93% ± 3% (P=.66); OS: 82% ± 5% versus 80% ± 5% (P=.58). Severe toxicity was rare for both groups, although pN1 patients experienced significantly more acute grade 2 rectal toxicity. Conclusion: Primary EBRT plus 2 to 3 years of ADT is a legitimate treatment option for pN1 PC patients, especially those with ≤2 positive LNs, and this with bRFS and cRFS rates comparable to those in pN0 PC patients. For pN1 PC patients with >2 positive LNs, bRFS and cRFS are worse than in pN0 patients, but even in this subgroup, long-term disease control is obtained.
Resonance Raman Spectrum of the Transient (SCN)2 Free Radical Anion
DEFF Research Database (Denmark)
Wilbrandt, Robert Walter; Jensen, N. H.; Pagsberg, Palle Bjørn
1979-01-01
The resonance Raman spectrum of the transient species (λmax = 475 nm, τ½ = 1.6 μs) formed by pulse radiolysis of aqueous solutions of thiocyanate, SCN2−, is reported. The spectrum is discussed in terms of the previous assignment of this transient to the radical anion, (SCN)−2. The observed...... vibrational frequencies of the radical anion are consistent with substantial weakening of the S---S and the Ctriple bond; length as m-dashN bonds are compared with neutral thiocyanogen....
Are transient and shear wave elastography useful tools in Gaucher disease?
Webb, Muriel; Zimran, Ari; Dinur, Tama; Shibolet, Oren; Levit, Stella; Steinberg, David M; Salomon, Ophira
2018-02-01
Up to now, there are no reliable biochemical markers or imaging that could reveal early tissue damage in Gaucher disease. Therefore, we addressed whether elastography technique can serve as a tool for evaluating patients with Gaucher disease. The study included 42 patients with Gaucher disease type I and 33 patients with liver cirrhosis as well as 22 healthy volunteers. Ultrasound and Doppler examination was performed on each participant prior to apply transient and 2D shear wave elastography. In Gaucher disease the median stiffness of the spleen as assessed by transient elastography (TE) and shear wave elastography (SWE) was 35KPa and 22KPa respectively in contrast to the median stiffness of healthy controls (16.95 and 17.5KPa, p=0.0028 and p=0.0002, respectively) and of patients with cirrhosis (45KPa and 34.5KPa, p=0.015 and pGaucher disease from healthy controls and among those with splenomegaly from cirrhotic patients. Copyright © 2016 Elsevier Inc. All rights reserved.
A new method of making ohmic contacts to p-GaN
Energy Technology Data Exchange (ETDEWEB)
Hernández-Gutierrez, C.A., E-mail: chernandez@fis.cinvestav.mx [DNyN, Cinvestav-IPN, México, DF, 07360 (Mexico); Kudriavtsev, Yu. [Departamento Ingeniería Eléctrica – SEES, Cinvestav-IPN, México, DF, 07360 (Mexico); Mota, Esteban [ESIME, Instituto Politécnico Nacional, México, DF, 07738 (Mexico); Hernández, A.G.; Escobosa-Echavarría, A.; Sánchez-Resendiz, V. [Departamento Ingeniería Eléctrica – SEES, Cinvestav-IPN, México, DF, 07360 (Mexico); Casallas-Moreno, Y.L.; López-López, M. [Departamento Física, Cinvestav-IPN, México, DF, 07360 (Mexico)
2016-12-01
Highlights: • Low resistance Ohmic contacts preparation is based on low energy high dose In{sup +} ion implantation into Metal/p-GaN to achieve a thin layer of In{sub x}Ga{sub 1-x}N just at the interface. • The specific ohmic contact was reduced from 10{sup −2} Ωcm{sup 2} to 2.5 × 10{sup −4} Ωcm{sup 2}. - Abstract: The structural, chemical, and electrical characteristics of In{sup +} ion-implanted Au/Ni, Au/Nb and Au/W ohmic contacts to p-GaN were investigated. After the preparation of Ni, Nb and W electrode on the surface of p-GaN, the metal/p-GaN contact interface was implanted by 30 keV In{sup +} ions with an implantation dose of 5 × 10{sup 15} ions/cm{sup 2} at room temperature to form a thin layer of In{sub x}Ga{sub 1-x}N located at the metal-semiconductor interface, achieved to reduce the specific contact resistance due to the improving quantum tunneling transport trough to the structure. The characterization was carried out by high-resolution X-ray diffraction, scanning electron microscopy, Raman spectroscopy, and secondary ion mass spectrometry to investigate the formation of ternary alloy, re-crystallization by rapid thermal annealing process after In{sup +} implantation, and the redistribution of elements. The specific contact resistance was extracted by current-voltage (I-V) curves using transmission line method; the lowest specific contact resistance of 2.5 × 10{sup −4} Ωcm{sup 2} was achieved for Au/Ni/p-In{sub x}Ga{sub 1-x}N/p-GaN ohmic contacts.
Hernández, Iván
2006-01-01
Este artículo contribuye al debate académico sobre los relevos en los cargos de la administración pública central en Colombia. Los resultados muestran algunas entidades públicas con ausencia de mecanismos de selección de mercado que generen relevos en la composición de cargos. Sin embargo, en otras entidades de la administración pública se evidencia un fortalecimiento de los mecanismos de selección de mercado, reflejado en un impacto real de los cambios en la calidad educativa (universitaria ...
Modeling and optimization of InGaAs infrared photovoltaic detectors
Piotrowski, J; Reginski, K
2000-01-01
The performance of In sub x Ga sub 1 sub - sub x As detectors operating in the 2-3.4 mu m spectral range and temperature of 300 K has been analyzed theoretically as a function of wavelength, band gap and doping level with special emphasis on 2-2.5 mu m and 3-3.5 mu m atmospheric window devices. The calculations show that the dominant generation-recombination mechanism in p-type, intrinsic and in a lightly doped n-type InGaAs is the spin split-off band Auger process (AS). Since the AS generation increases with the square of the hole concentration, the minimum thermal generation and the best performance can be obtained using moderately doped n-type material as the absorber region of a photovoltaic device. In principle, the ultimate performance can be achieved in the optimized homojunction devices with relatively thick n-type absorber region forming n-p junction with a thin p-type material. N-type doping of absorber region of InGaAs photodiodes at 300 K changes from 1x10 sup 1 sup 4 to 5.2x10 sup 1 sup 5 cm sup ...
Mechanism of the reactions 14N(d,p)15N and 14N(d,n)15O by Doppler shift line shape method
International Nuclear Information System (INIS)
Abdel-Moneim, A.M.
1976-06-01
In this investigation the total and the differential absolute cross sections of the 14 N(d,p) 15 N reaction leading to excited states at 7.3, 8.3 and 9.05 MeV levels in 15 N and the 14 N(d,n) 15 O reaction leading to the 6.79 MeV level in 15 O, have been studied over the energy range from 0.5 MeV to 3 MeV. Doppler shift line shape method as well as γ-ray yield measurements have been used. The absolute cross sections are determined relative to the known 14 N(p,p) elastic differential cross sections. A comparison with previously determined values for the same reactions at selected energies shows good agreement in angular distribution as well as in absolute values. The total cross section for the d,p reaction shows a general energy dependence which is typical for direct reactions, but with minor contribution from compound nucleus formation at certain energy ranges. For the 14 N(d,n) 15 N reaction, the method applied is unique, since it allows the differential cross section to be studied all the way down to the threshold energy of deuterons at 2 MeV, with a detectorsystem efficiency which is constant over the entire range of neutron energies. The larger part of the energy range that has been investigated is dominated by a resonance at 2.55 π+ 0.05 MeV deuteron energy and a halfwidth depending on the amount of contribution from the direct reaction of the order of 200-400 keV. (JIW)
Segregated copper ratio experiment on transient stability (SeCRETS). Final Report
International Nuclear Information System (INIS)
Bruzzone, P.
2001-01-01
Two Nb 3 Sn, steel jacketed, cable-in-conduit conductors have been manufactured with identical non-Cu cross sections and the stabilizer either included in the Nb 3 Sn composite or partly segregated as copper wires. The two conductors are series connected and wound as a bifilar , single layer solenoid, assembled in the high field bore (11 T) of the SULTAN test facility. The operating current is up to 12 kA (400 A/mm 2 ). A transverse pulsed field is applied with ΔB up to 2.7 T, field rate up to 180 T/s and field integral up to 530 T 2 /s. In the dc test, a good agreement is found between the I c and the T cs results, both correctly scaling according to the parameters derived from the strand tests. The n-value from the V-I curve is in the range of 15. The current sharing at the high field section is correlated with a local current re-distribution, observed by arrays of miniature Hall sensors, detecting the self-field around the conductor. The ac losses results in the range of 2 to 9 Hz by gas flow calorimetry indicate coupling currents constant, nτ, in the range of 1.5 ms at high field, increasing by a factor of 2 with 12 kA transport current. Loss extrapolation to 0 frequency suggests that the loss curve may be not linear outside the test range, with higher nτ at lower field rate. The calorimetric loss estimation at the fast field transient (f=15 Hz) indicates nτ ≅ 2 ms. The ITER plasma disruption transients have been reproduced by the pulsed coils. Due to the very low ac losses, no quench could be generated in either conductor even reducing the temperature margin below 0.2-0.3 K. Very large field transients, with integral above 100 T 2 /s, are required to quench the conductors. In that range, the conductor without segregated copper has superior performance. Due to the large interstrand resistance (very low ac losses), the segregated copper has marginal contribution to the stability. No evidence of current redistribution is observed during the field transients
P- and N-type implantation doping of GaN with Ca and O
International Nuclear Information System (INIS)
Zolper, J.C.; Wilson, R.G.; Pearton, S.J.
1996-01-01
III-N photonic devices have made great advances in recent years following the demonstration of doping of GaN p-type with Mg and n-type with Si. However, the deep ionization energy level of Mg in GaN (∼ 160 meV) limits the ionized of acceptors at room temperature to less than 1.0% of the substitutional Mg. With this in mind, the authors used ion implantation to characterize the ionization level of Ca in GaN since Ca had been suggested by Strite to be a shallow acceptor in GaN. Ca-implanted GaN converted from n-to-p type after a 1,100 C activation anneal. Variable temperature Hall measurements give an ionization level at 169 meV. Although this level is equivalent to that of Mg, Ca-implantation may have advantages (shallower projected range and less straggle for a given energy) than Mg for electronic devices. In particular, the authors report the first GaN device using ion implantation doping. This is a GaN junction field effect transistor (JFET) which employed Ca-implantation. A 1.7 microm JFET had a transconductance of 7 mS/mm, a saturation current at 0 V gate bias of 33 mA/mm, a f t of 2.7 GHz, and a f max of 9.4 GHz. O-implantation was also studied and shown to create a shallow donor level (∼ 25 meV) that is similar to Si. SIMS profiles of as-implanted and annealed samples showed no measurable redistribution of either Ca or O in GaN at 1,125 C
Espacio público resignificado: la (re)conceptualización del imaginario urbano
Treviño Aldape, Abiel; Ramírez Ibarra, Ramón; Vázquez Rodríguez, Gerardo
2016-01-01
El imaginario social, en cuanto red de sentido que liga símbolos a representaciones (Castoriadis, 2013) ha crecido en interés para estudiarlo académicamente. El fenómeno urbano no es ajeno a esta producción simbólica y a través del nexo entre dominio público, espacialidad y cultura, advertimos como la utilización del espacio público está sufriendo resignificaciones, alterando la percepción y significación respecto a su uso. Esta resignificación implica que los agentes colectivos p...
Complete wind farm electromagnetic transient modelling for grid integration studies
International Nuclear Information System (INIS)
Zubia, I.; Ostolaza, X.; Susperregui, A.; Tapia, G.
2009-01-01
This paper presents a modelling methodology to analyse the impact of wind farms in surrounding networks. Based on the transient modelling of the asynchronous generator, the multi-machine model of a wind farm composed of N generators is developed. The model incorporates step-up power transformers, distribution lines and surrounding loads up to their connection to the power network. This model allows the simulation of symmetric and asymmetric short-circuits located in the distribution network and the analysis of transient stability of wind farms. It can be also used to study the islanding operation of wind farms
Transients: The regulator's view
International Nuclear Information System (INIS)
Sheron, B.W.; Speis, T.P.
1984-01-01
This chapter attempts to clarify the basis for the regulator's concerns for transient events. Transients are defined as both anticipated operational occurrences and postulated accidents. Recent operational experience, supplemented by improved probabilistic risk analysis methods, has demonstrated that non-LOCA transient events can be significant contributors to overall risk. Topics considered include lessons learned from events and issues, the regulations governing plant transients, multiple failures, different failure frequencies, operator errors, and public pressure. It is concluded that the formation of Owners Groups and Regulatory Response Groups within the owners groups are positive signs of the industry's concern for safety and responsible dealing with the issues affecting both the US NRC and the industry
Charakterizace reologického chování směsí HMW-PP/HMS-PP pro přípravu plastových pěn
Czech Academy of Sciences Publication Activity Database
Piyamanocha, P.; Sedláček, T.; Filip, Petr; Saha, P.
2014-01-01
Roč. 108, č. 1 (2014), s. 44-49 ISSN 0009-2770 Grant - others:GA MŠk(CZ) EE.2.3.20.0104; GA MŠk(CZ) ED2.1.00/03.0111 Institutional support: RVO:67985874 Keywords : polypropylenová pěn a * morfologie pěn y * reologické chování * tlakově závislá smyková viskozita * deformační zpevnění Subject RIV: BK - Fluid Dynamics Impact factor: 0.272, year: 2014
High surface hole concentration p-type GaN using Mg implantation
International Nuclear Information System (INIS)
Long Tao; Yang Zhijian; Zhang Guoyi
2001-01-01
Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 17 cm -3 ) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration
P-N defect in GaNP studied by optically detected magnetic resonance
International Nuclear Information System (INIS)
Chen, W.M.; Thinh, N.Q.; Vorona, I.P.; Buyanova, I.A.; Xin, H.P.; Tu, C.W.
2003-01-01
We provide experimental evidence for an intrinsic defect in GaNP from optically detected magnetic resonance (ODMR). This defect is identified as a P-N complex, exhibiting hyperfine structure due to interactions with a nuclear spin I=((1)/(2)) of one P atom and also a nuclear spin I=1 due to one N atom. The introduction of the defect is assisted by the incorporation of N within the studied N composition range of up to 3.1%, under non-equilibrium growth conditions during gas-source molecular beam epitaxy. The corresponding ODMR spectrum was found to be isotropic, suggesting an A 1 symmetry of the defect state. The localization of the electron wave function at the P-N defect in GaNP is found to be even stronger than that for the isolated P Ga antisite in its parent binary compound GaP
Effect of N, P and K humates on dry matter of Zea mays and soil pH ...
African Journals Online (AJOL)
Ammonia volatilization from surface-applied urea reduces urea-N use efficiency in crop production and it also pollutes the environment; it is an economic loss. A greenhouse study was conducted to confirm the effect of similar fertilizer formulations (N, P and K humates) on soil pH, exchangeable ammonium, available nitrate ...
Gestión de la investigación en la universidad pública venezolana
Wendolin Suárez Amaya; Jazmín Díaz-Barrios
2014-01-01
Este trabajo tiene como propósito comparar la gestión de la investigación en la universidad pública venezolana durante el período 2004-2008, de acuerdo a visión sistémico-compleja de Pacheco et al (2002). La gestión se estudió desde los objetivos y estrategias; prácticas directivas; estructura y organización; métodos, instrumentos y herramientas, y cultura y personalidad. Se trabajó bajo un enfoque cualitativo, la recolección de la información se hizo a través de observación, realización de e...
Directory of Open Access Journals (Sweden)
Luisa Torres-Sánchez
2007-03-01
Full Text Available Basado en la revisión sistemática de 32 artículos publicados en PubMed-Medline hasta enero del 2006 y utilizando como palabras clave DDT exposure, human, milk y Mexico; este estudio analiza la situación acerca de la exposición en México a difenildicloroetano (DDT y su principal metabolito p,p,'-DDE, así como, su posible repercusión sobre la salud humana. Aún cuando, el uso del DDT se suspendió en 1999, los estudios evaluados reportan niveles importantes de p,p'-DDE, en muestras biológicas de suero, tejido adiposo y leche materna de poblaciones no ocupacionalmente expuestas. Así mismo, existen evidencias sobre daños a la salud, especialmente relacionados con el área reproductiva masculina, la lactancia materna y más recientemente daños a nivel celular, así como, alteración en el desarrollo psicomotor de niños expuestos in utero. Aún cuando existen muchas lagunas, acerca de otros efectos adversos a la salud relacionados con la exposición a DDT o sus metabolitos, la experiencia lograda hasta ahora, debe ser tomada en cuenta en México y el resto de Latinoamérica, para que considerando el principio precautorio se legisle sobre el DDT y otros contaminantes orgánicos persistente que tienen actividad y características similares al DDT o sus metabolitos.Based on the systematic revision of 32 articles published in PubMed-Medline until January of 2006 and using like key words DDT exposure, human, milk and Mexico; this study analyzes the situation about the exposure of difenildicloroetano (DDT and its main metabolite p,p,'-DDE in Mexico, as well as, their possible repercussion on the human health. Even though, the use of the DDT in Mexico was banned in 1999, the evaluated studies report significant levels of p, p'-DDE, in biological samples of serum, adipose tissue and maternal milk of populations not occupationally exposed. Also, there are evidences on damages to the health, specially related to the reproductive area, and more
La mala administración de la seguridad pública
Directory of Open Access Journals (Sweden)
John Gledhill
2013-12-01
Full Text Available Este artículo examina políticas públicas basadas en la idea de que las situaciones en áreas de marginación social amenazan la seguridad de todos los otros residentes urbanos. Utilizando evidencias de Río de Janeiro, San Pablo y Salvador, las tres mayores ciudades de Brasil, donde el planteamiento de la mano dura goza con frecuencia de apoyo popular y donde la significativa reducción de la pobreza y la desigualdad social no han reducido el crimen y la violencia, el análisis demuestra las contraproducentes consecuencias de simples políticas de represión, especialmente en el contexto del modelo de desarrollo urbano neoliberal basado en la “acumulación por desposesión” y en la privatización del poder público que actúa en contra del éxito de la reforma policial. El artículo explora los modos en que, a pesar de nuevos compromisos para lograr justicia social, el estado sigue siendo parte del problema junto con las implicaciones de las diferencias en la organización de tráfico de drogas y las formas alternativas de “pacificación” que ofrece “la ley del crimen”. Criticando una política securitaria que prioriza una guerra teatral contra la delincuencia que criminaliza la pobreza y la adicción a las drogas, el texto defiende la democratización de la producción de políticas públicas de seguridad, que entienda qué tipo de seguridad prioriza la gente pobre, tales como la seguridad contra el desalojo, y dedique más recursos públicos a mejorar la carrera policial.
Isolated transient vertigo: posterior circulation ischemia or benign origin?
Blasberg, Tobias F; Wolf, Lea; Henke, Christian; Lorenz, Matthias W
2017-06-14
Isolated transient vertigo can be the only symptom of posterior circulation ischemia. Thus, it is important to differentiate isolated vertigo of a cerebrovascular origin from that of more benign origins, as patients with cerebral ischemia have a much higher risk for future stroke than do those with 'peripheral' vertigo. The current study aims to identify risk factors for cerebrovascular origin of isolated transient vertigo, and for future cerebrovascular events. From the files of 339 outpatients with isolated transient vertigo we extracted history, clinical and technical findings, diagnosis, and follow-up information on subsequent stroke or transient ischemic attack (TIA). Risk factors were analyzed using multivariate regression models (logistic or Cox) and reconfirmed in univariate analyses. On first presentation, 48 (14.2%) patients received the diagnosis 'probable or definite cerebrovascular vertigo'. During follow-up, 41 patients suffered stroke or TIA (event rate 7.9 per 100 person years, 95% confidence interval (CI) 5.5-10.4), 26 in the posterior circulation (event rate 4.8 per 100 person years, 95% CI 3.0-6.7). The diagnosis was not associated with follow-up cerebrovascular events. In multivariate models testing multiple potential determinants, only the presentation mode was consistently associated with the diagnosis and stroke risk: patients who presented because of vertigo (rather than reporting vertigo when they presented for other reasons) had a significantly higher risk for future stroke or TIA (p = 0.028, event rate 13.4 vs. 5.4 per 100 person years) and for future posterior circulation stroke or TIA (p = 0.044, event rate 7.8 vs. 3.5 per 100 person years). We here report for the first time follow-up stroke rates in patients with transient isolated vertigo. In such patients, the identification of those with cerebrovascular origin remains difficult, and presentation mode was found to be the only consistent risk factor. Confirmation in an independent
El procedimiento de la licitación pública
Directory of Open Access Journals (Sweden)
Miriam Mabel Ivanega
2011-12-01
Full Text Available El procedimiento de la licitación pública es la regla general en materia de contratos administrativos; a través de la competencia de distintas ofertas, la administración puede obtener los mejores productos (bienes, servicios, obras al precio más conveniente. Ese vínculo contractual debe responder a determinados principios generales, centrados principalmente en la transparencia pública. La sociedad tieen derecho a conocer qué se contrata, con quién y bajo qué condiciones. Por eso, la juridicidad, la publicidad, el acceso a la información y la buena fe se instituyen como pilares de la estructura contractual en la actualidad. Una síntesis de las principales normas e interpretaciones jurisdiccionales permite comprender el alcance que en la prática se ha otorgado a dichos principios.
An experimental test of charge symmetry in n-p elastic scattering
International Nuclear Information System (INIS)
Birchall, J.; Davison, N.E.; Gubler, H.P.
1982-06-01
An experiment is described to investigate the isospin-mixing, charge-symmetry breaking component in the n-p interaction. The experiment measures the difference ΔA between the neutron and proton analyzing power Asub(n) and Asub(p) in n-p elastic scattering at 500 MeV. The experiment consists of two interleaved phases in which polarised neutrons, respectively unpolarised neutrons are scattered from an unpolarised, respectively polarised proton target of the frozen spin type. Designed as a null-measurement requiring no accurately known polarisation standards, the experiment determines the difference in angle at which Asub(n) and Asub(p) cross through zero. It is intended to provide an unambiguous test of a class IV charge-symmetry breaking effect to the level of ΔA approximately equal to 0.001, corresponding to a laboratory angle difference at zero crossing of approximately 0.05 0
High surface hole concentration p-type GaN using Mg implantation
Long Tao; Zhang Guo Yi
2001-01-01
Mg ions were implanted on Mg-doped GaN grown by metalorganic chemical vapor deposition (MOCVD). The p-type GaN was achieved with high hole concentration (8.28 x 10 sup 1 sup 7 cm sup - sup 3) conformed by Van derpauw Hall measurement after annealing at 800 degree C for 1 h. this is the first experimental report of Mg implantation on Mg-doped GaN and achieving p-type GaN with high surface hole concentration
Wang, Jun; Guo, Jin; Xie, Feng; Wang, Guosheng; Wu, Haoran; Song, Man; Yi, Yuanyuan
2016-10-01
This paper presents the comparative analysis of influence of doping level and doping profile of the active region on zero bias photoresponse characteristics of GaN-based p-i-n ultraviolet (UV) photodetectors operating at front- and back-illuminated. A two dimensional physically-based computer simulation of GaN-based p-i-n UV photodetectors is presented. We implemented GaN material properties and physical models taken from the literature. It is shown that absorption layer doping profile has notable impacts on the photoresponse of the device. Especially, the effect of doping concentration and distribution of the absorption layer on photoresponse is discussed in detail. In the case of front illumination, comparative to uniform n-type doping, the device with n-type Gaussian doping profiles at absorption layer has higher responsivity. Comparative to front illumination, back illuminated detector with p-type doping profiles at absorption layer has higher maximum photoresponse, while the Gaussian doping profiles have a weaker ability to enhance the device responsivity. It is demonstrated that electric field distribution, mobility degradation, and recombinations are jointly responsible for the variance of photoresponse. Our work enriches the understanding and utilization of GaN based p-i-n UV photodetectors.
InGaP/InGaAsN/GaAs NpN double-heterojunction bipolar transistor
International Nuclear Information System (INIS)
Chang, P. C.; Baca, A. G.; Li, N. Y.; Xie, X. M.; Hou, H. Q.; Armour, E.
2000-01-01
We have demonstrated a functional NpN double-heterojunction bipolar transistor (DHBT) using InGaAsN for the base layer. The InGaP/In 0.03 Ga 0.97 As 0.99 N 0.01 /GaAs DHBT has a low V ON of 0.81 V, which is 0.13 V lower than in a InGaP/GaAs heterojunction bipolar transistor (HBT). The lower turn-on voltage is attributed to the smaller band gap (1.20 eV) of metalorganic chemical vapor deposition-grown In 0.03 Ga 0.97 As 0.99 N 0.01 base layer. GaAs is used for the collector; thus the breakdown voltage (BV CEO ) is 10 V, consistent with the BV CEO of InGaP/GaAs HBTs of comparable collector thickness and doping level. To alleviate the current blocking phenomenon caused by the larger conduction band discontinuity between InGaAsN and GaAs, a graded InGaAs layer with δ doping is inserted at the base-collector junction. The improved device has a peak current gain of seven with ideal current-voltage characteristics. (c) 2000 American Institute of Physics
Effect of sewage sludge and synthetic fertilizer on pH, available N ...
African Journals Online (AJOL)
use
2011-11-21
Nov 21, 2011 ... Key words: Sewage sludge, synthetic fertilizer, pH, N, P, available duration. INTRODUCTION ... nutrient availability of N and P from organic amendments .... likened to 98.8 ton/ha applied in a semi-arid rangeland (Fish, 1995).
International Nuclear Information System (INIS)
Wang, Yongjin; Zhu, Guixia; Gao, Xumin; Yang, Yongchao; Yuan, Jialei; Shi, Zheng; Zhu, Hongbo; Cai, Wei
2016-01-01
We propose, fabricate, and characterize the on-chip integration of suspended p-n junction InGaN/GaN multiple quantum wells (MQWs) device and multiple waveguides on the same GaN-on-silicon platform. The integrated devices are fabricated via a wafer-level process and exhibit selectable functionalities for diverse applications. As the suspended p-n junction InGaN/GaN MQWs device operates under a light emitting diode (LED) mode, part of the light emission is confined and guided by the suspended waveguides. The in-plane propagation along the suspended waveguides is measured by a micro-transmittance setup. The on-chip data transmission is demonstrated for the proof-of-concept photonic integration. As the suspended p-n junction InGaN/GaN MQWs device operates under photodiode mode, the light is illuminated on the suspended waveguides with the aid of the micro-transmittance setup and, thus, coupled into the suspended waveguides. The guided light is finally sensed by the photodiode, and the induced photocurrent trace shows a distinct on/off switching performance. These experimental results indicate that the on-chip photonic integration is promising for the development of sophisticated integrated photonic circuits in the visible wavelength region.
Mo, Qianzhen; Mai, Rongjia; Yang, Zhixiao; Chen, Minfang; Yang, Tiezhao; Lai, Huafang; Yang, Peiliang; Chen, Qiang; Zhou, Xiaohong
2012-06-01
To develop a hydroponic Nicotiana cultivation system for rapid and high-yield transient expression of recombinant proteins under laboratory conditions. To establish the hydroponic cultivation system, several parameters were examined to define the optimal conditions for the expression of recombinant proteins in plants. We used the green fluorescent protein (GFP) and the geminiviral plant transient expression vector as the model protein/expression vector. We examined the impact of Nicotiana species, the density and time of Agrobacterium infiltration, and the post-infiltration growth period on the accumulation of GFP. The expression levels of GFP in Nicotiana leaves were then examined by Western blotting and ELISA. Our data indicated that a hydroponic Nicotiana cultivation system with a light intensity of 9000 LX/layer, a light cycle of 16 h day/8 h night, a temperature regime of 28 degrees celsius; day/21 degrees celsius; night, and a relative humidity of 80% could support the optimal plant growth and protein expression. After agroinfiltration with pBYGFPDsRed.R/LBA4404, high levels of GFP expression were observed in both N. benthamiana and N. tobaccum (cv. Yuyan No.5) plants cultured with this hydroponic cultivation system. An optimal GFP expression was achieved in both Nicotiana species leaves 4 days after infiltration by Agrobacterium with an OD(600) of 0.8. At a given time point, the average biomass of N. tobaccum (cv. Yuyan No.5) was significantly higher than that of N. benthamiana. The leaves from 6-week-old N. benthamiana plants and 5-week-old N. tobaccum (cv. Yuyan No.5) plants could be the optimal material for agroinfiltration. We have established a hydroponic cultivation system that allows robust growth of N. benthamiana and N. tobaccum (cv. Yuyan No.5) plants and the optimal GFP expression in the artificial climate box.
Kriitikat pälvinud EL nõus langetama põllumajandustolle / Krister Paris
Paris, Krister, 1977-
2005-01-01
EL-i kaubandusvolinik Peter Mandelson pakkus EL-i kaubanduspartneritele, et EL kärbib tariife keskmiselt 46% võrra. Prantsusmaa on EL-i põllumajandustollide vähendamise vastu. USA tahab, et EL vähendaks oma tariife 55-90%. Lisa: WTO nõuab EL-ilt suhkrureformi
Ultrafast transient-absorption of the solvated electron in water
International Nuclear Information System (INIS)
Kimura, Y.; Alfano, J.C.; Walhout, P.K.; Barbara, P.F.
1994-01-01
Ultrafast near infrared (NIR)-pump/variable wavelength probe transient-absorption spectroscopy has been performed on the aqueous solvated electron. The photodynamics of the solvated electron excited to its p-state are qualitatively similar to previous measurements of the dynamics of photoinjected electrons at high energy. This result confirms the previous interpretation of photoinjected electron dynamics as having a rate-limiting bottleneck at low energies presumably involving the p-state
US features of transient small bowel intussusception in pediatric patients
International Nuclear Information System (INIS)
Kim, Ji Hye
2004-01-01
To describe the sonographic (US) and clinical features of spontaneously reduced small bowel intussusception, and to discuss the management options for small bowel intussusception based on US findings with clinical correlation. During a five years of period, 34 small bowel intussusceptions were diagnosed on US in 32 infants and children. The clinical presentations and imaging findings of the patients were reviewed. The clinical presentations included abdominal pain or irritability (n = 25), vomiting (n 5), diarrhea (n = 3), bloody stool (n = 1), and abdominal distension (n = 1), in combination or alone. US showed multi-layered round masses of small (mean, 1.5 ± 0.3 cm) diameters and with thin (mean, 3.5 ± 1 mm) outer rims along the course of the small bowel. The mean length was 1.8 ± 0.5 cm and peristalsis was seen on the video records. There were no visible lead points. The vascular flow signal appeared on color Doppler images in all 21 patients examined. Spontaneous reduction was confirmed by combinations of US (n = 28), small bowel series (n = 6), CT scan (n = 3), and surgical exploration (n 2). All patients discharged with improved condition. Typical US findings of the transient small bowel intussusception included 1) small size without wall swelling, 2) short segment, 3) preserved wall motion, and 4) absence of the lead point. Conservative management with US monitoring rather than an immediate operation is recommended for those patient with typical transient small bowel intussusceptions. Atypical US findings or clinical deterioration of the patient with persistent intussusception warrant surgical exploration
Globalización, poder y educación pública
Directory of Open Access Journals (Sweden)
John Saxe Fernández
2001-04-01
Full Text Available Uno de los aspectos de mayor relieve sobre los problemas y dilemas encerrados en cualquier reflexión en torno a la “Globalización, el Poder y la Educación Superior” consiste en el reconocimiento, desde el inicio mismo de esta conversación, sobre la indispensable apertura a la teorización no sólo económica sino también política y social que toma en cuenta los eventos emergentes en un mundo en constante cambio. El enfoque interdisciplinario permite mayor fortaleza explicativa y por lo tanto, puede facilitar una mejor comprensión, tanto sobre la compleja gama de variables involuctradas, como de su posible desenvolvimiento en el tiempo. Abordar así este fenómeno es al mismo tiempo una tarea difícil así como azaroza. Existe una motivación personal para abordar esta temática que se sintetiza en un nombre, Don Mauro Fernández, el discípulo de Herbert Spencer y Ministro de Educación de Costa Rica que a finales del siglo diecinueve introdujo en esa nación centroamericana el concepto de laicización universitaria y de la educación pública, gratuita, universal y obligatoria.También existe una motivación política: la Universidad Nacional Autónoma de México (UNAM hoy en día la institución universitaria de mayor envergadura de América Latina, está sometida, junto con todo el sistema de educación media superior y superior de la región a un ataque sistemático de sus fundamentos y de la tradición de libertad de cátedra y de investigación que se cimentó durante siglos: la autonomía, fundamento del concepto humanista y de la libertad de cátedra e investigación desinteresada que caracterizan a la universidad clásica, están siendo puestos en la picota, no por las “exigencias del mercado” o de una globalización en abstracto, sino específicamente por el Banco Mundial (BM y los poderosos intereses domésticos e internacionales que se articulan desde ese centro institucional de poder imperial.
INFLUENCIA DEL pH SOBRE LA ADSORCIÓN EN CARBÓN ACTIVADO DE Cd(II) Y Ni(II) DESDE SOLUCIONES ACUOSAS
Paola Rodríguez; Liliana Giraldo; Juan Carlos Moreno
2011-01-01
La adsorción de iones Cd(II) y Ni(II) desde soluciones acuosas sobre carbón activado se estudia con diferentes valores de pH. La adsorción de los iones se realiza en dos condiciones de pH de la solución: en la primera el pH varía en el transcurso del proceso a medida que los iones se adsorben y en la segunda el pH se mantiene fijo durante la adsorción. Cuando no se realiza un control en el pH de la solución se observan incrementos en la concentración de los io...
The electronic structure of radial p-n junction silicon nanowires
Chiou, Shan-Haw; Grossman, Jeffrey
2007-03-01
Silicon nanowires with radial p-n junctions have recently been suggested for photovoltaic applications because incident light can be absorbed along the entire length of the wire, while photogenerated carriers only need to diffuse a maximum of one radius to reach the p-n junction. If the differential of the potential is larger than the binding energy of the electron-hole pair and has a range larger than the Bohr radius of electron-hole pair, then the charge separation mechanism will be similar to traditional silicon solar cells. However, in the small-diameter limit, where quantum confinement effects are prominent, both the exciton binding energy and the potential drop will increase, and the p-n junction itself may have a dramatically different character. We present ab initio calculations based on the generalized gradient approximation (GGA) of silicon nanowires with 2-3 nm diameter in the [111] growth direction. A radial p-n junction was formed by symmetrically doping boron and phosphorous at the same vertical level along the axis of the nanowire. The competition between the slope and character of the radial electronic potential and the exciton binding energy will presented in the context of a charge separation mechanism.
Defendiendo lo colectivo: combatir el conformismo, promover la educación pública
Directory of Open Access Journals (Sweden)
Luis Torrego Egido
2013-01-01
Full Text Available La escuela pública, ese instrumento de igualdad, está siendo desmantelada. El sentido común sobre la educación está siendo colonizado por el lenguaje de la mercantilización y del economicismo. Es preciso reaccionar y hacerlo desde la formación del profesorado. Para ello en este artículo, tras mostrar con tres anécdotas la pérdida de los logros de lo público, de lo común, se propone recuperar el discurso del sueño educativo de igualdad de oportunidades que supuso la alfabetización y la educación pública. También se enumeran media docena de propuestas que pueden ponerse en marcha por parte del profesorado para recuperar el sentido de la escuela pública.
Alles-Borelli, V; Frisk, A; Michejda, L
1966-01-01
Observation of spin alignment of resonances produced in the 3-body reactions : $\\overline{p}$p $\\rightarrow$ $\\overline{p}$p $\\omega^{\\omicron}$ and $\\overline{p}$p $\\rightarrow$ $\\overline{N}^{x++}\\pi^{\\omicron}$ at 5.7 GeV/c
Temperature-dependent thermal and thermoelectric properties of n -type and p -type S c1 -xM gxN
Saha, Bivas; Perez-Taborda, Jaime Andres; Bahk, Je-Hyeong; Koh, Yee Rui; Shakouri, Ali; Martin-Gonzalez, Marisol; Sands, Timothy D.
2018-02-01
Scandium Nitride (ScN) is an emerging rocksalt semiconductor with octahedral coordination and an indirect bandgap. ScN has attracted significant attention in recent years for its potential thermoelectric applications, as a component material in epitaxial metal/semiconductor superlattices, and as a substrate for defect-free GaN growth. Sputter-deposited ScN thin films are highly degenerate n -type semiconductors and exhibit a large thermoelectric power factor of ˜3.5 ×10-3W /m -K2 at 600-800 K. Since practical thermoelectric devices require both n- and p-type materials with high thermoelectric figures-of-merit, development and demonstration of highly efficient p-type ScN is extremely important. Recently, the authors have demonstrated p-type S c1 -xM gxN thin film alloys with low M gxNy mole-fractions within the ScN matrix. In this article, we demonstrate temperature dependent thermal and thermoelectric transport properties, including large thermoelectric power factors in both n- and p-type S c1 -xM gxN thin film alloys at high temperatures (up to 850 K). Employing a combination of temperature-dependent Seebeck coefficient, electrical conductivity, and thermal conductivity measurements, as well as detailed Boltzmann transport-based modeling analyses of the transport properties, we demonstrate that p-type S c1 -xM gxN thin film alloys exhibit a maximum thermoelectric power factor of ˜0.8 ×10-3W /m -K2 at 850 K. The thermoelectric properties are tunable by adjusting the M gxNy mole-fraction inside the ScN matrix, thereby shifting the Fermi energy in the alloy films from inside the conduction band in case of undoped n -type ScN to inside the valence band in highly hole-doped p -type S c1 -xM gxN thin film alloys. The thermal conductivities of both the n- and p-type films were found to be undesirably large for thermoelectric applications. Thus, future work should address strategies to reduce the thermal conductivity of S c1 -xM gxN thin-film alloys, without affecting
Transient Hearing Loss in Adults Associated With Zika Virus Infection.
Vinhaes, Eriko S; Santos, Luciane A; Dias, Lislane; Andrade, Nilvano A; Bezerra, Victor H; de Carvalho, Anderson T; de Moraes, Laise; Henriques, Daniele F; Azar, Sasha R; Vasilakis, Nikos; Ko, Albert I; Andrade, Bruno B; Siqueira, Isadora C; Khouri, Ricardo; Boaventura, Viviane S
2017-03-01
In 2015, during the outbreak of Zika virus (ZIKV) in Brazil, we identified 3 cases of acute hearing loss after exanthematous illness. Serology yielded finding compatible with ZIKV as the cause of a confirmed (n = 1) and a probable (n = 2) flavivirus infection, indicating an association between ZIKV infection and transient hearing loss. © The Author 2016. Published by Oxford University Press for the Infectious Diseases Society of America.
Energy efficient approach for transient fault recovery in real time ...
African Journals Online (AJOL)
DR OKE
Keywords: DVS, Fault tolerance, Real Time System, Transient Fault. ... in which missing the deadline may cause a failure and soft real time system, ..... Pillai, P., Shin, K., Real-time dynamic voltage scaling for low-power embedded operating ...
The synthesis and characterization of p(nBA-DEAEMA) polymer
Jiang, X. M.; Zhu, J.; Duan, Y. Y.
2018-01-01
In this paper, the polymer of p (nBA-DEAEMA) was polymerized and characterized. The structure of copolymer was characterized by FT-IR and 1HNMR, and the analysis of particle size, appearance and rheology was determined too. The results showed that, the p (nBA-DEAEMA) was synthesised successful, and it could become a surface modification for the inner face of artificial trachea, after further optimization.
Theory of the (n,p) reaction on /sup 90/Zr
International Nuclear Information System (INIS)
Yabe, M.
1987-01-01
A theoretical study of the /sup 90/Zr(n,p) reaction is performed at an incident energy of 200 MeV. The forward angle /sup 90/Zr(n,p)= spectra are calculated within a microscopic model using random phase approximation transition densities for the description of the nuclear excited states. The calculated spectra are compared to those published previously by Klein, Love, and Auerbach
Convergence of valence bands for high thermoelectric performance for p-type InN
International Nuclear Information System (INIS)
Li, Hai-Zhu; Li, Ruo-Ping; Liu, Jun-Hui; Huang, Ming-Ju
2015-01-01
Band engineering to converge the bands to achieve high valley degeneracy is one of effective approaches for designing ideal thermoelectric materials. Convergence of many valleys in the valence band may lead to a high Seebeck coefficient, and induce promising thermoelectric performance of p-type InN. In the current work, we have systematically investigated the electronic structure and thermoelectric performance of wurtzite InN by using the density functional theory combined with semiclassical Boltzmann transport theory. Form the results, it can be found that intrinsic InN has a large Seebeck coefficient (254 μV/K) and the largest value of Z e T is 0.77. The transport properties of p-type InN are better than that of n-type one at the optimum carrier concentration, which mainly due to the large Seebeck coefficient for p-type InN, although the electrical conductivity of n-type InN is larger than that of p-type one. We found that the larger Seebeck coefficient for p-type InN may originate from the large valley degeneracy in the valence band. Moreover, the low minimum lattice thermal conductivity for InN is one key factor to become a good thermoelectric material. Therefore, p-type InN could be a potential material for further applications in the thermoelectric area.
Meddeb, H.; Bearda, Twan; Payo, M. Recaman; Abdelwahab, I.; Abdulraheem, Yaser; Ezzaouia, H.; Gordon, I.; Szlufcik, J.; POORTMANS, Jef
2015-01-01
The influence of the cleaning process on the amorphous silicon passivation of homojunction emitters is investigated. A significant variation in the passivation quality following different cleaning sequences is not observed, even though differences in cleaning performance are evident. These results point out the effectiveness of our cleaning treatment and provide a hydrogen termination for intrinsic amorphous silicon passivation. A post-deposition treatment improves the passivation level yield...
Electrical properties of n-type and p-type InP grown by the synthesis, solute diffusion technique
International Nuclear Information System (INIS)
Siegel, W.; Kuehnel, G.; Koi, H.; Gerlach, W.
1986-01-01
Undoped n-InP and Zn-doped p-InP are grown by the SSD method. Hall measurements on wafers cut from the polycrystalline n-InP ingots give values between 10 15 and 10 16 cm -3 for the carrier concentration averaged over the crystallites of the wafer. From the electron mobilities measured at 77 K on single crystalline samples (maximally 5.0 x 10 4 cm 2 /Vs) it can be concluded on the high purity and perfection of this material. Zn doping yields p-InP with p = (3 to 4) x 10 16 cm -3 and μ = (113 to 140) cm 2 /Vs at room temperature. The hole mobilities at 77 K (1700 to 2160 cm 2 /Vs) are the highest ones reported for InP up to now. By fitting of the p(T) curves between 30 and 500 K concentrations and activation energies for the shallow acceptor Zn and for a medium deep acceptor present beside Zn are determined. (author)
Jedidi, Abdesslem; Li, Rui; Fornasiero, Paolo; Cavallo, Luigi; Carbonniere, Philippe
2015-12-03
Vibrational fingerprints of small Pt(n)P(2n) (n = 1-5) clusters were computed from their low-lying structures located from a global exploration of their DFT potential energy surfaces with the GSAM code. Five DFT methods were assessed from the CCSD(T) wavenumbers of PtP2 species and CCSD relative energies of Pt2P4 structures. The eight first Pt(n)P(2n) isomers found are reported. The vibrational computations reveal (i) the absence of clear signatures made by overtone or combination bands due to very weak mechanical and electrical anharmonicities and (ii) some significant and recurrent vibrational fingerprints in correlation with the different PP bonding situations in the Pt(n)P(2n) structures.
Modelling and transient simulation of water flow in pipelines using WANDA Transient software
Directory of Open Access Journals (Sweden)
P.U. Akpan
2017-09-01
Full Text Available Pressure transients in conduits such as pipelines are unsteady flow conditions caused by a sudden change in the flow velocity. These conditions might cause damage to the pipelines and its fittings if the extreme pressure (high or low is experienced within the pipeline. In order to avoid this occurrence, engineers usually carry out pressure transient analysis in the hydraulic design phase of pipeline network systems. Modelling and simulation of transients in pipelines is an acceptable and cost effective method of assessing this problem and finding technical solutions. This research predicts the pressure surge for different flow conditions in two different pipeline systems using WANDA Transient simulation software. Computer models were set-up in WANDA Transient for two different systems namely; the Graze experiment (miniature system and a simple main water riser system based on some initial laboratory data and system parameters. The initial laboratory data and system parameters were used for all the simulations. Results obtained from the computer model simulations compared favourably with the experimental results at Polytropic index of 1.2.
Panorama de la Educación: la gestión empresarial de la enseñanza pública
Directory of Open Access Journals (Sweden)
Beatriz QUIRÓS MADARIAGA
2010-01-01
Full Text Available En el artículo se revisa la situación educativa en el Estado Español y las tendencias más relevantes derivadas de las políticas de ajuste actuales así como de las tendencias neoliberales que hoy ponen en cuestión la propia existencia del sistema público de enseñanza. La entrada en vigor de la LOE y las políticas de gestión empresarial de lo público marcan una tendencia. Se relaciona la situación con la de otros países europeos y con la de otros servicios públicos hoy también en peligro.
RFI flagging implications for short-duration transients
Cendes, Y.; Prasad, P.; Rowlinson, A.; Wijers, R. A. M. J.; Swinbank, J. D.; Law, C. J.; van der Horst, A. J.; Carbone, D.; Broderick, J. W.; Staley, T. D.; Stewart, A. J.; Huizinga, F.; Molenaar, G.; Alexov, A.; Bell, M. E.; Coenen, T.; Corbel, S.; Eislöffel, J.; Fender, R.; Grießmeier, J.-M.; Jonker, P.; Kramer, M.; Kuniyoshi, M.; Pietka, M.; Stappers, B.; Wise, M.; Zarka, P.
2018-04-01
With their wide fields of view and often relatively long coverage of any position in the sky in imaging survey mode, modern radio telescopes provide a data stream that is naturally suited to searching for rare transients. However, Radio Frequency Interference (RFI) can show up in the data stream in similar ways to such transients, and thus the normal pre-treatment of filtering RFI (flagging) may also remove astrophysical transients from the data stream before imaging. In this paper we investigate how standard flagging affects the detectability of such transients by examining the case of transient detection in an observing mode used for Low Frequency Array (LOFAR; van Haarlem et al., 2013) surveys. We quantify the fluence range of transients that would be detected, and the reduction of their SNR due to partial flagging. We find that transients with a duration close to the integration sampling time, as well as bright transients with durations on the order of tens of seconds, are completely flagged. For longer transients on the order of several tens of seconds to minutes, the flagging effects are not as severe, although part of the signal is lost. For these transients, we present a modified flagging strategy which mitigates the effect of flagging on transient signals. We also present a script which uses the differences between the two strategies, and known differences between transient RFI and astrophysical transients, to notify the observer when a potential transient is in the data stream.
Sobre la deseable relación entre comprensión pública de la ciencia y alfabetización científica
Pedro Membiela
2010-01-01
Parece conveniente una mayor colaboración entre la línea de trabajo de comprensión pública de la Ciencia y la de alfabetización científica. La comprensión pública de la Ciencia, orientándose hacia una visión mucho más amplia y participativa de la ciudadanía como agente y sujeto activo en el papel desempeñado por la Ciencia en la sociedad. La alfabetización científica, haciendo progresar la educación científica desde estar orientada básicamente a formar futuros titulados universitarios científ...
Transient Radiation Darkening Features in VISAR Window materials
International Nuclear Information System (INIS)
Stevens, G.D.; Moy, K.J.
2001-01-01
We have studied and characterized radiation-induced changes in the index of refraction of materials used in Z experiments. Interferometric measurements of the radiation-induced change in the real part, n, of the complex index of refraction, N = n + iK, have been made in lithium fluoride (LiF), sapphire, and fused silica samples. Our results indicate that the index changes are small, with δn/n ∼ 1 x 10 -5 /kGy. In addition, we have characterized the dose dependence of the radiation-induced transient radiation darkening (TRD) of these materials, which is related to K, the imaginary part of the refractive index. We have also measured time-resolved spectral profiles of TRD in LiF and sapphire, and have examined the results in terms of known color centers and possible colloid aggregation
The stretch to stray on time: Resonant length of random walks in a transient
Falcke, Martin; Friedhoff, Victor Nicolai
2018-05-01
First-passage times in random walks have a vast number of diverse applications in physics, chemistry, biology, and finance. In general, environmental conditions for a stochastic process are not constant on the time scale of the average first-passage time or control might be applied to reduce noise. We investigate moments of the first-passage time distribution under an exponential transient describing relaxation of environmental conditions. We solve the Laplace-transformed (generalized) master equation analytically using a novel method that is applicable to general state schemes. The first-passage time from one end to the other of a linear chain of states is our application for the solutions. The dependence of its average on the relaxation rate obeys a power law for slow transients. The exponent ν depends on the chain length N like ν = - N / ( N + 1 ) to leading order. Slow transients substantially reduce the noise of first-passage times expressed as the coefficient of variation (CV), even if the average first-passage time is much longer than the transient. The CV has a pronounced minimum for some lengths, which we call resonant lengths. These results also suggest a simple and efficient noise control strategy and are closely related to the timing of repetitive excitations, coherence resonance, and information transmission by noisy excitable systems. A resonant number of steps from the inhibited state to the excitation threshold and slow recovery from negative feedback provide optimal timing noise reduction and information transmission.
AUTHOR|(CDS)2084505
2015-01-01
In order to determine the most radiation hard silicon sensors for the CMS Experiment after the Phase II Upgrade in 2023 a comprehensive study of silicon sensors after a fluence of up to $1.5\\times10^{15} n_{eq}/cm^{2}$ corresponding to $3000 fb^{-1}$ after the HL-LHC era has been carried out. The results led to the decision that the future Outer Tracker (20~cm${<}R{<}$110~cm) of CMS will consist of n-in-p type sensors. This technology is more radiation hard but also the manufacturing is more challenging compared to p-in-n type sensors due to additional process steps in order to suppress the accumulation of electrons between the readout strips. One possible isolation technique of adjacent strips is the p-stop structure which is a p-type material implantation with a certain pattern for each individual strip. However, electrical breakdown and charge collection studies indicate that the process parameters of the p-stop structure have to be carefully calibrated in order to achieve a sufficient strip isolatio...
International Nuclear Information System (INIS)
Raymond, J.R.; Fargin, A.; Lohse, M.J.; Regan, J.W.; Senogles, S.E.; Lefkowitz, R.J.; Caron, M.G.
1989-01-01
The ligand-binding subunit of the human 5-hydroxytryptamine1A (5-HT1A) receptor transiently expressed in COS-7 cells and of the native human 5-HT1A receptor derived from hippocampus and frontal cortex were identified by photoaffinity labeling with N-(p-azido-m-[125I]iodophenethyl)spiperone [( 125I]N3-NAPS), previously characterized as a high affinity radioiodinated D2-dopamine receptor probe. The identity of the ligand-binding subunit was confirmed by immunoprecipitation with an antipeptide rabbit antiserum, JWR21, raised against a synthetic peptide derived from the predicted amino acid sequence of the putative third intracellular loop of the human 5-HT1A receptor. In transiently transfected COS-7 cells expressing 14 +/- 3 pmol/mg of protein human 5-HT1A receptors, a single broad 75-kDa band was photoaffinity labeled by [125I]N3-NAPS. This band displayed the expected pharmacology of the 5-HT1A receptor, as evidenced by the ability of a series of competing ligands to block [125I]N3-NAPS photoincorporation. Moreover, antiserum JWR21 specifically and quantitatively immunoprecipitated the 75-kDa photoaffinity-labeled band from a soluble extract of the transfected COS-7 cell membranes, further confirming its identity. Finally, utilizing a combination of photoaffinity labeling and immunoprecipitation, the native ligand-binding subunit of 62-64 kDa was identified in human hippocampus and frontal cortex. The availability of the high specific activity, high affinity, photoaffinity ligand [125I]N3-NAPS and of a potent immunoprecipitating antiserum (JWR21) should greatly facilitate the biochemical characterization of the human 5-HT1A receptor
Study of the rearrangement of N-alkylaniline to p-aminoalkylbencene. I. N-ethyl-l-14C-aniline
International Nuclear Information System (INIS)
Molera, M. J.; Gamboa, J. M.; Val Cob, M. del
1961-01-01
The rearrangement of N-ethylaniline to p-aminoethylbenzene has been studied over the temperature range 200-300 degree centigrade using different catalysts: Cl 2 Co, Cl 2 Zn, Cl 2 Ni, Cl 3 Al, Cl 2 Cd and Br H.N-ethyl-1- 1 4C-aniline has been synthesized from ethyl-1- 1 4C-iodide and aniline and its rearrangement to p-aminoethyl-benzene proves that the ethyl group does not rearrange itself during the reaction. A scheme for the degradation of both the N-ethyl-1- 1 4C aniline and the p-aminoethylbenzene produces is described. (Author) 14 refs
Ge-semiconductor detectors with a p-implanted n+-contact
International Nuclear Information System (INIS)
Protic, D.; Riepe, G.
1979-01-01
P-implanted large-surface-detectors with improved properties can be produced by implantation of the n + -contact with relatively low dose and high energy. After an annealing process a nearly perfect lattice structure is obtained. By a subsequent p-implantation step with high dose and low energy, the surface restisivity can be reduced. The p + -contacts are obtained by B-implantation. (DG) [de
Capacci, Chiara; Ciabatti, Iacopo; Femoni, Cristina; Iapalucci, Maria Carmela; Funaioli, Tiziana; Zacchini, Stefano; Zanotti, Valerio
2018-02-05
The reaction of [NEt 4 ] 2 [Ni 6 (CO) 12 ] in thf with 0.5 equiv of PCl 3 affords the monophosphide [Ni 11 P(CO) 18 ] 3- that in turn further reacts with PCl 3 resulting in the tetra-phosphide carbonyl cluster [HNi 31 P 4 (CO) 39 ] 5- . Alternatively, the latter can be obtained from the reaction of [NEt 4 ] 2 [Ni 6 (CO) 12 ] in thf with 0.8-0.9 equiv of PCl 3 . The [HNi 31 P 4 (CO) 39 ] 5- penta-anion is reversibly protonated by strong acids leading to the [H 2 Ni 31 P 4 (CO) 39 ] 4- tetra-anion, whereas deprotonation affords the [Ni 31 P 4 (CO) 39 ] 6- hexa-anion. The latter is reduced with Na/naphthalene yielding the [Ni 31 P 4 (CO) 39 ] 7- hepta-anion. In order to shed light on the polyhydride nature and redox behavior of these clusters, electrochemical and spectroelectrochemical studies were carried out on [Ni 11 P(CO) 18 ] 3- , [HNi 31 P 4 (CO) 39 ] 5- , and [H 2 Ni 31 P 4 (CO) 39 ] 4- . The reversible formation of the stable [Ni 11 P(CO) 18 ] 4- tetra-anion is demonstrated through the spectroelectrochemical investigation of [Ni 11 P(CO) 18 ] 3- . The redox changes of [HNi 31 P 4 (CO) 39 ] 5- show features of chemical reversibility and the vibrational spectra in the ν CO region of the nine redox states of the cluster [HNi 31 P 4 (CO) 39 ] n- (n = 3-11) are reported. The spectroelectrochemical investigation of [H 2 Ni 31 P 4 (CO) 39 ] 4- revealed the presence of three chemically reversible reduction processes, and the IR spectra of [H 2 Ni 31 P 4 (CO) 39 ] n- (n = 4-7) have been recorded. The different spectroelectrochemical behavior of [HNi 31 P 4 (CO) 39 ] 5- and [H 2 Ni 31 P 4 (CO) 39 ] 4- support their formulations as polyhydrides. Unfortunately, all the attempts to directly confirm their poly hydrido nature by 1 H NMR spectroscopy failed, as previously found for related large metal carbonyl clusters. Thus, the presence and number of hydride ligands have been based on the observed protonation/deprotonation reactions and the spectroelectrochemical
Assessment of the turbine trip transient in Cofrentes NPP with TRAC-BF1
International Nuclear Information System (INIS)
Castrillo, F.; Gomez, A.; Gallego, I.
1993-06-01
This report presents the results of the assessment of TRAC-BF1 (G1-J1) code with the model of C. N. Cofrentes for simulation of the transient originated by the manual trip of the main turbine. C. N. Cofrentes is a General Electric designed BWR/6 plant, with a nominal core thermal power of 2894 Mwt, in commercial operation since 1985, owned and operated by Hidroelectrica Espanola, S. A. The plant incorporates all the characteristics of BWR/6 reactors, with two turbine driven FW pumps. As a result of this assessment a model of C. N. Cofrentes has been developed for TRAC-BF1 that fairly reproduces operational transient behavior of the plant. A special purpose code was generated to obtain reactivity coefficients, as required by TRAC-BF1, from the 3D simulator
Investigation of (n, p) and (n, alpha) reactions with thermal and resonance energy neutrons
Gledenov, Yu M
2002-01-01
Influence of results of (n, alpha) and (n, p) reactions cross section and asymmetry measurements on certain branches of fundamental and applied science is considered. For instance, some of the cross sections are critical for comprehension of many scenarios of nuclear synthesis in the Universe. They are also used for studying some aspects of nuclear structure and fundamental symmetries, such as mixing of isospin and non-retaining of spatial parity. And, finally, cross sections of the reactions are of applied interest, for reactor materials technologies specifically. Types of sources and methods of (n, p) and (n, alpha) reactions measurements in energy range of thermal and resonance neutrons are described in the review. Special attention is paid to measurements in radioactive relatively short-lived samples and very small stable samples. Several examples of the measurements are provided, which are of scientific interest, as they permit demonstrating the method used. Possible future measurements are discussed in ...
LLL transient-electromagnetics-measurement facility
International Nuclear Information System (INIS)
Deadrick, F.J.; Miller, E.K.; Hudson, H.G.
1975-01-01
The operation and hardware of the Lawrence Livermore Laboratory's transient-electromagnetics (EM)-measurement facility are described. The transient-EM range is useful for determining the time-domain transient responses of structures to incident EM pulses. To illustrate the accuracy and utility of the EM-measurement facility, actual experimental measurements are compared to numerically computed values
Systematic study of (n, p) reaction cross sections from the reaction threshold to 20 MeV
Lalremruata, B.; Otuka, N.; Tambave, G. J.; Mulik, V. K.; Patil, B. J.; Dhole, S. D.; Saxena, A.; Ganesan, S.; Bhoraskar, V. N.
2012-01-01
The cross sections of Cr-nat(n, x)V-52, Cr-52(n, p)V-52, Cr-nat(n, x)V-53, Cr-53(n, p)V-53, Zn-nat(n, x)Cu-66, Zn-66(n, p)Cu-66, Zn-nat(n, x)Cu-68(m), Zn-68(n, p)Cu-68(m), Mo-nat(n, x)Nb-97(g), Mo-97(n, p)Nb-97(g), Mo-nat(n, x)Nb-97(m), Mo-97(n, p)Nb-97(m), Sn-nat(n, x)In-116(m1+m2), Sn-116(n,
Covalently linked multimers of gold nanoclusters Au102(p-MBA)44 and Au∼250(p-MBA)n.
Lahtinen, Tanja; Hulkko, Eero; Sokołowska, Karolina; Tero, Tiia-Riikka; Saarnio, Ville; Lindgren, Johan; Pettersson, Mika; Häkkinen, Hannu; Lehtovaara, Lauri
2016-11-10
We present the synthesis, separation, and characterization of covalently-bound multimers of para-mercaptobenzoic acid (p-MBA) protected gold nanoclusters. The multimers were synthesized by performing a ligand-exchange reaction of a pre-characterized Au 102 (p-MBA) 44 nanocluster with biphenyl-4,4'-dithiol (BPDT). The reaction products were separated using gel electrophoresis yielding several distinct bands. The bands were analyzed by transmission electron microscopy (TEM) revealing monomer, dimer, and trimer fractions of the nanocluster. TEM analysis of dimers in combination with molecular dynamics simulations suggest that the nanoclusters are covalently bound via a disulfide bridge between BPDT molecules. The linking chemistry is not specific to Au 102 (p-MBA) 44 . The same approach yields multimers also for a larger monodisperse p-MBA-protected cluster of approximately 250 gold atoms, Au ∼250 (p-MBA) n . While the Au 102 (p-MBA) 44 is not plasmonic, the Au ∼250 (p-MBA) n nanocluster supports localized surface plasmon resonance (LSPR) at 530 nm. Multimers of the Au ∼250 (p-MBA) n exhibit additional transitions in their UV-vis spectrum at 630 nm and 810 nm, indicating the presence of hybridized LSPR modes. Well-defined structures and relatively small sizes make these systems excellent candidates for connecting ab initio theoretical studies and experimental quantum plasmonics. Moreover, our work opens new possibilities in the controlled synthesis of advanced monodisperse nanocluster superstructures.
Directory of Open Access Journals (Sweden)
Vavilov, V. P.
2003-12-01
Full Text Available A short review of recent achievements in both theory and practice of transient infrared thermographic nondestructive evaluation in the application to corrosion detection is given. The potentials of 1D, 2D and 3D approaches to solving a heat conduction problem related to detecting material loss are compared, as well as the requirements to the inspection equipment are formulated. The practical illustrations are taken from the inspection of thin aluminum structures and thick steel samples.
Se presenta una corta revisión sobre los logros recientes en teoría y práctica de la evaluación no destructiva por termografía infrarroja transitoria aplicada a la detección de la corrosión. Se comparan los potenciales de las aproximaciones 1D, 2D y 3D para resolver el problema de la conducción del calor relacionada a la detección de pérdida de material, y, también, se formulan los requerimientos de los equipos de inspección. Se toman ilustraciones prácticas de la inspección de estructuras delgadas de aluminio y de muestras de acero gruesas.
Ad Hoc Transient Communities to Enhance Social Interaction and Spread Tutor Responsibilities
Sloep, Peter; Kester, Liesbeth; Brouns, Francis; Van Rosmalen, Peter; De Vries, Fred; De Croock, Marcel; Koper, Rob
2007-01-01
Sloep, P.B., Kester, L., Brouns, F., Van Rosmalen, P., De Vries, F., De Croock, M., Koper, R. (2007). Ad Hoc Transient Communities to Enhance Social Interaction and Spread Tutor Responsibilities. Presentation given at the Sixth IASTED International Conference on Web-based Education, 14-16 March,
Directory of Open Access Journals (Sweden)
Irit Milkes
2017-06-01
Full Text Available La obra colectiva La administración de la escasez: los fundamentos de la actividad administrativa de adjudicación de derechos limitados en número aborda el rol de la administración pública en su imperiosa necesidad de apoyarse en la construcción dogmática realizada sobre los temas de la función administrativa en general, y sobre la actividad de adjudicación de derechos públicos subjetivos en situaciones de concurrencia, en particular. Para esto estudia aquellas situaciones de escasez derivadas de una mayor demanda de interesados en la obtención o reconocimiento de derechos a “adjudicar” respecto a la oferta realizada por la administración pública. Se plantea así la relevancia de dotar al procedimiento administrativo en cada caso concreto de los principios generales del derecho y de los principios constitucionales que materialicen aquellas garantías que conducen a la eficacia y el debido respeto de los derechos fundamentales de cada sujeto procesal.
Davies, Benjamin M; Tirr, Erica; Wang, Yi Yuen; Gnanalingham, Kanna K
2017-06-01
Object Endoscopic transsphenoidal surgery is the commonest approach to pituitary tumors. One disadvantage of this approach is the development of early postoperative nasal symptoms. Our aim was to clarify the peak onset of these symptoms and their temporal evolution. Methods The General Nasal Patient Inventory (GNPI) was administered to 56 patients undergoing endoscopic transsphenoidal surgery for pituitary tumors preoperatively and at 1 day, 3 days, 2 weeks, 3 months, and 6 to 12 months postoperatively. Most patients underwent surgery for pituitary adenomas ( N = 49; 88%) and through a uninostril approach ( N = 55; 98%). Total GNPI (0-135) and scores for the 45 individual components were compared. Results GNPI scores peaked at 1 to 3 days postoperatively, with rapid reduction to baseline by 2 weeks and below baseline by 6 to 12 months postsurgery ( p surgery ( p transsphenoidal pituitary surgery is common, but transient, more so in the functioning subgroup. Nasal symptoms improve below baseline by 6 to 12 months, without the need for specific long-term postoperative interventions in the vast majority of patients.
Obtención de péptidos antioxidantes de glicinina de soja
Directory of Open Access Journals (Sweden)
Alejandra Medrano
2011-12-01
Full Text Available El objetivo de este trabajo fue evaluar la potencialidad de dos preparados enzimáticos, proteasas de Streptomyces griseus (PSG y de Aspergillus oryzae (Flavourzyme, en la obtención de péptidos antioxidantes de glicinina de soja. La reacción de hidrólisis se realizó bajo condiciones controladas de pH, temperatura y tiempo. El grado de hidrólisis (GH% se estimó mediante determinación de grupos amino libres. Los hidrolizados obtenidos se caracterizaron por SDS-PAGE y análisis de sus propiedades antioxidantes por ABTS, ORAC y oxidación de desoxirribosa. Los compuestos fenólicos se determinaron por reacción con Folin-Ciocalteu. El GH% dependió del tiempo de reacción y de la naturaleza del preparado enzimático, con Flavourzyme más activa que PSG (p ≤ 0.05. Se observó una correlación directa entre GH% de Flavourzyme y la acción frente a radicales ABTS e hidroxilos (p ≤ 0.05 de sus hidrolizados. Los hidrolizados de PSG resultaron significativamente más efectivos (p ≤ 0.05 frente a radicales alquilperoxílicos que los de Flavourzyme. No se detectaron diferencias significativas (p>0,05 en las concentraciones de compuestos fenólicos de las muestras, por lo que las diferencias en capacidad antioxidante de los hidrolizados proteicos se pueden asociar a su composición peptídica. Las investigaciones de este equipo remarcan el interés del análisis de fenoles en hidrolizados proteicos con propiedades antioxidantes.
Energy Technology Data Exchange (ETDEWEB)
Laureau, A., E-mail: laureau.axel@gmail.com; Heuer, D.; Merle-Lucotte, E.; Rubiolo, P.R.; Allibert, M.; Aufiero, M.
2017-05-15
Highlights: • Neutronic ‘Transient Fission Matrix’ approach coupled to the CFD OpenFOAM code. • Fission Matrix interpolation model for fast spectrum homogeneous reactors. • Application for coupled calculations of the Molten Salt Fast Reactor. • Load following, over-cooling and reactivity insertion transient studies. • Validation of the reactor intrinsic stability for normal and accidental transients. - Abstract: In this paper we present transient studies of the Molten Salt Fast Reactor (MSFR). This generation IV reactor is characterized by a liquid fuel circulating in the core cavity, requiring specific simulation tools. An innovative neutronic approach called “Transient Fission Matrix” is used to perform spatial kinetic calculations with a reduced computational cost through a pre-calculation of the Monte Carlo spatial and temporal response of the system. Coupled to this neutronic approach, the Computational Fluid Dynamics code OpenFOAM is used to model the complex flow pattern in the core. An accurate interpolation model developed to take into account the thermal hydraulics feedback on the neutronics including reactivity and neutron flux variation is presented. Finally different transient studies of the reactor in normal and accidental operating conditions are detailed such as reactivity insertion and load following capacities. The results of these studies illustrate the excellent behavior of the MSFR during such transients.
International Nuclear Information System (INIS)
Laureau, A.; Heuer, D.; Merle-Lucotte, E.; Rubiolo, P.R.; Allibert, M.; Aufiero, M.
2017-01-01
Highlights: • Neutronic ‘Transient Fission Matrix’ approach coupled to the CFD OpenFOAM code. • Fission Matrix interpolation model for fast spectrum homogeneous reactors. • Application for coupled calculations of the Molten Salt Fast Reactor. • Load following, over-cooling and reactivity insertion transient studies. • Validation of the reactor intrinsic stability for normal and accidental transients. - Abstract: In this paper we present transient studies of the Molten Salt Fast Reactor (MSFR). This generation IV reactor is characterized by a liquid fuel circulating in the core cavity, requiring specific simulation tools. An innovative neutronic approach called “Transient Fission Matrix” is used to perform spatial kinetic calculations with a reduced computational cost through a pre-calculation of the Monte Carlo spatial and temporal response of the system. Coupled to this neutronic approach, the Computational Fluid Dynamics code OpenFOAM is used to model the complex flow pattern in the core. An accurate interpolation model developed to take into account the thermal hydraulics feedback on the neutronics including reactivity and neutron flux variation is presented. Finally different transient studies of the reactor in normal and accidental operating conditions are detailed such as reactivity insertion and load following capacities. The results of these studies illustrate the excellent behavior of the MSFR during such transients.
Transient heat transfer in longitudinal fins of various profiles with ...
Indian Academy of Sciences (India)
Transient heat transfer through a longitudinal fin of various profiles is studied. The thermal conductivity and heat transfer coefficients are assumed to be temperature dependent. The resulting partial differential equation is highly