WorldWideScience

Sample records for total soc stock

  1. What are the effects of agricultural management on soil organic carbon (SOC) stocks?

    DEFF Research Database (Denmark)

    Söderström, Bo; Hedlund, Katarina; Jackson, Louise E.

    2014-01-01

    the physical and biological properties of the soil. Intensification of agriculture and land-use change from grasslands to croplands are generally known to deplete SOC stocks. The depletion is exacerbated through agricultural practices with low return of organic material and various mechanisms......Changes in soil organic carbon (SOC) stocks significantly influence the atmospheric C concentration. Agricultural management practices that increase SOC stocks thus may have profound effects on climate mitigation. Additional benefits include higher soil fertility since increased SOC stocks improve......, such as oxidation/mineralization, leaching and erosion. However, a systematic review comparing the efficacy of different agricultural management practices to increase SOC stocks has not yet been produced. Since there are diverging views on this matter, a systematic review would be timely for framing policies...

  2. Impact of vegetation types on soil organic carbon stocks SOC-S in Mediterranean natural areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Cantudo-Pérez, Marta

    2015-04-01

    with different vegetation types (Quercus suber, Quercus ilex, Quercus faginea, Pinus pinaster and Pinus pinea) in The Cardeña-Montoro Natural Park, a nature reserve that consists of a 38,449 ha forested area in southern Spain. Sixty-eight sampling points were selected in the study zone. Each sampling point was analyzed as soil control section with different depth increments (0-25, 25-50, 50-75 and 75-100 cm). The studied soils were classified as Cambisols and the major goal of this research was to study the SOCS variability at regional scale. The total SOCS in The Cardeña-Montoro Natural Park was higher in MEOW with olive grove (111,69 Mg ha-1) and lower in MEOW with Quercus faginea (93,57 Mg ha-1). However, when the top soil (superficial section control) was analyzed, the SOCS was the highest in MEOW with olive grove (70,12 Mg-1) and the lowest in MEOW with Pinus (47,82 Mg ha-1). This research is a preliminary assessment for modeling SOCS at the regional level in Mediterranean natural areas. References Hontoria, C., Rodríguez-Murillo, J., and Saa, A.: Contenido de carbono orgánico en el suelo y factores de control en la España Peninsular, Edafología, 11, 149-155, 2004. Parras-Alcántara, L., Díaz-Jaimes, L., and Lozano-García, B: Organic farming affects C and N in soils under olive groves in Mediterranean areas, Land Degrad. Develop., in press, available online: in Wiley Online Library (wileyonlinelibrary.com), http://dx.doi.org/10.1002/ldr.2231, 2013. Parras-Alcántara, L., Díaz-Jaimes, L., Lozano-García, B., Fernández Rebollo, P., Moreno Elcure, F., Carbonero Muñoz, M.D.: Organic farming has little effect on carbon stock in a Mediterranean dehesa (southern Spain). Catena 113 (2014) 9-17. http://dx.doi.org/10.1016/j.catena.2013.09.002 Parras-Alcántara, L., Díaz-Jaimes, L., and Lozano-García, B.: Management effects on soil organic carbon stock in Mediterranean open rangelands -- treeless grasslands, Land Degrad. Develop., in press, available online: in

  3. A Bayesian Belief Network framework to predict SOC stock change: the Veneto region (Italy) case study

    Science.gov (United States)

    Dal Ferro, Nicola; Quinn, Claire Helen; Morari, Francesco

    2017-04-01

    A key challenge for soil scientists is predicting agricultural management scenarios that combine crop productions with high standards of environmental quality. In this context, reversing the soil organic carbon (SOC) decline in croplands is required for maintaining soil fertility and contributing to mitigate GHGs emissions. Bayesian belief networks (BBN) are probabilistic models able to accommodate uncertainty and variability in the predictions of the impacts of management and environmental changes. By linking multiple qualitative and quantitative variables in a cause-and-effect relationships, BBNs can be used as a decision support system at different spatial scales to find best management strategies in the agroecosystems. In this work we built a BBN to model SOC dynamics (0-30 cm layer) in the low-lying plain of Veneto region, north-eastern Italy, and define best practices leading to SOC accumulation and GHGs (CO2-equivalent) emissions reduction. Regional pedo-climatic, land use and management information were combined with experimental and modelled data on soil C dynamics as natural and anthropic key drivers affecting SOC stock change. Moreover, utility nodes were introduced to determine optimal decisions for mitigating GHGs emissions from croplands considering also three different IPCC climate scenarios. The network was finally validated with real field data in terms of SOC stock change. Results showed that the BBN was able to model real SOC stock changes, since validation slightly overestimated SOC reduction (+5%) at the expenses of its accumulation. At regional level, probability distributions showed 50% of SOC loss, while only 17% of accumulation. However, the greatest losses (34%) were associated with low reduction rates (100-500 kg C ha-1 y-1), followed by 33% of stabilized conditions (-100 < SOC < 100 kg ha-1 y-1). Land use management (especially tillage operations and soil cover) played a primary role to affect SOC stock change, while climate conditions

  4. Soc stock in different forest-related land-uses in central Stara planina mountain, Bulgaria

    Directory of Open Access Journals (Sweden)

    Zhiyanski Miglena

    2009-01-01

    Full Text Available Forest conversions may lead to an accumulation of carbon in vegetation, but little is known about changes in soil C storage with establishment of plantation forests. Understanding these effects is important to addressing issues relevant to ecosystem function and productivity, and to global balance of carbon. The study investigated the effects of the created coniferous plantations on former beech and pasture sites on the soil organic carbon storage. The major forest-related land-uses in the high mountainous regions of central Stara Planina Mountain were investigated: mountainous pasture, coniferous plantations (planted on previous pasture and beech forests between four and five decades ago and natural beech forests. The experimental data of soil properties, conducted in 2005, 2006 and 2007, were used in determining the variations in organic carbon storage in forest litter and in mineral soil under different land-use patterns. At each site five representative soil profiles were opened and described giving a total 75 soil samples from the soil layers respectively at 0-10, 10-30 and 30-50 cm depth. A total of 55 samples from forest floor layers (Aol, Aof, Aoh and greensward were collected with 25:25 cm plastic frame. The main soil properties were determined in accordance with the standardized methods in the Laboratory of soil science at the Forest Research Institute - BAS. The IPCC Good Practice Guidance for Land Use, Land Use Change and Forestry was used to estimate the soil organic carbon stock in soil and litter. The results obtained showed that the SOC stock was quite similar among forest land-uses. The conversion of natural beech forests to coniferous plantations in studied region is related with slightly expressed decrease in soil carbon storage. The values of SOC stocks in 0-50 cm soil layer in these sites were 8.5 (±2.1 tones/ha for pine and 11.0 (±1.4 tones/ha for spruce, while under the natural beech forest it was 14.8 (±1.0 tones

  5. Plant growth controls short-term changes in soil organic carbon (SOC) stocks of croplands - new insights from the CarboZALF experiment

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Joana; Albiac Borraz, Elisa; Schmidt, Marten; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2016-04-01

    The long-term influence of crop rotations, climate conditions or soil type on soil organic carbon stock (SOC) patterns and gaseous C emissions of agricultural landscapes is widely recognized. However, the question of short-term seasonal changes in SOC within these areas remains unclear. A main reason for this is the detection problem of temporal and spatial variability in gaseous C exchange and thus, changes in SOC stocksSOC) in a high resolution. This study introduces dynamic C balances as a method to obtain seasonal changes in SOC stocks. Dynamic C balances were calculated by a combination of automatic chamber CO2 exchange measurements and empirical biomass models. Measurements were performed for three consecutive years at a colluvial depression (Colluvic Regosol) in the hummocky ground moraine landscape of NE Germany (CarboZALF experimental site). The investigated crop rotation was maize, winter fodder rye, maize, winter fodder rye, and sudangrass. The site is characterized by a gradient in ground water level (GWL) and related spatial heterogeneity in soil properties, such as SOC as well as soil nitrogen (Nt) stocks. Modelled dynamic C balances reveal that up to 79% of the standard deviation of estimated annual ΔSOC between single chambers emerged during the main period of crop growth (three months in summer). No significant changes in ΔSOC were detected outside the growing season. Instead, differences between chambers remain constant despite ΔSOC dynamics. Environmental variables (Nt stocks of Ap horizon and GWL), affecting plant-mediated C sequestration, explained up to 95% of temporal and spatial variability in CO2 exchange and ΔSOC. Thus, plant activities were the major catalyst for small scale differences in annual ΔSOC of croplands.

  6. Longevity of contributions to SOC stocks from roots and aboveground plant litter below a Miscanthus plantation

    Science.gov (United States)

    Robertson, Andrew; Smith, Pete; Davies, Christian; Bottoms, Emily; McNamara, Niall

    2013-04-01

    Miscanthus is a lignocellulosic crop that uses the Hatch-Slack (C4) photosynthetic pathway as opposed to most C3 vegetation native to the UK. Miscanthus can be grown for a number of practical end-uses but recently interest has increased in its viability as a bioenergy crop; both providing a renewable source of energy and helping to limit climate change by improving the carbon (C) budgets associated with energy generation. Recent studies have shown that Miscanthus plantations may increase stocks of soil organic carbon (SOC), however the longevity and origin of this 'new' SOC must be assessed. Consequently, we combined an input manipulation experiment with physio-chemical soil fractionation to quantify new SOC and CO2 emissions from Miscanthus roots, decomposing plant litter and soil individually. Further, fractionation of SOC from the top 30 cm gave insight into the longevity of that SOC. In January 2009 twenty-five 2 m2 plots were set up in a three-year old 11 hectare Miscanthus plantation in Lincolnshire, UK; with five replicates of five treatments. These treatments varied plant input to the soil by way of controlled exclusion techniques. Treatments excluded roots only ("No Roots"), surface litter only ("No Litter"), both roots and surface litter ("No Roots or Litter") or had double the litter amount added to the soil surface ("Double Litter"). A fifth treatment was a control with undisturbed roots and an average amount of litter added. Monthly measurements of CO2 emissions were taken at the soil surface from each treatment between March 2009 and March 2013, and soil C from the top 30 cm was monitored in all plots over the same period. Miscanthus-derived SOC was determined using the isotopic discrimination between C4 plant matter and C3 soil, and soil fractionation was then used to establish the longevity of that Miscanthus-derived SOC. Ongoing results for CO2 emissions indicate a strong seasonal variation; litter decomposition forms a large portion of the CO2

  7. Digital mapping of soil organic carbon contents and stocks in Denmark.

    Science.gov (United States)

    Adhikari, Kabindra; Hartemink, Alfred E; Minasny, Budiman; Bou Kheir, Rania; Greve, Mette B; Greve, Mogens H

    2014-01-01

    Estimation of carbon contents and stocks are important for carbon sequestration, greenhouse gas emissions and national carbon balance inventories. For Denmark, we modeled the vertical distribution of soil organic carbon (SOC) and bulk density, and mapped its spatial distribution at five standard soil depth intervals (0-5, 5-15, 15-30, 30-60 and 60-100 cm) using 18 environmental variables as predictors. SOC distribution was influenced by precipitation, land use, soil type, wetland, elevation, wetness index, and multi-resolution index of valley bottom flatness. The highest average SOC content of 20 g kg(-1) was reported for 0-5 cm soil, whereas there was on average 2.2 g SOC kg(-1) at 60-100 cm depth. For SOC and bulk density prediction precision decreased with soil depth, and a standard error of 2.8 g kg(-1) was found at 60-100 cm soil depth. Average SOC stock for 0-30 cm was 72 t ha(-1) and in the top 1 m there was 120 t SOC ha(-1). In total, the soils stored approximately 570 Tg C within the top 1 m. The soils under agriculture had the highest amount of carbon (444 Tg) followed by forest and semi-natural vegetation that contributed 11% of the total SOC stock. More than 60% of the total SOC stock was present in Podzols and Luvisols. Compared to previous estimates, our approach is more reliable as we adopted a robust quantification technique and mapped the spatial distribution of SOC stock and prediction uncertainty. The estimation was validated using common statistical indices and the data and high-resolution maps could be used for future soil carbon assessment and inventories.

  8. Stocks of organic carbon in Estonian soils

    Directory of Open Access Journals (Sweden)

    Kõlli, Raimo

    2009-06-01

    Full Text Available The soil organic carbon (SOC stocks (Mg ha–1 ofautomorphic mineral (9 soil groups, hydromorphic mineral (7, and lowland organic soils (4 are given for the soil cover or solum layer as a whole and also for its epipedon (topsoil layer. The SOC stocks for forest, arable lands, and grasslands and for the entire Estonian soil cover were calculated on the basis of the mean SOC stock and distribution area of the respective soil type. In the Estonian soil cover (42 400 km2, a total of 593.8 ± 36.9 Tg of SOC is retained, with 64.9% (385.3 ± 27.5 Tg in the epipedon layer (O, H, and A horizons and 35.1% in the subsoil (B and E horizons. The pedo-ecological regularities of SOC retention in soils are analysed against the background of the Estonian soil ordination net.

  9. Spatial distribution of soil organic carbon stocks in France

    Directory of Open Access Journals (Sweden)

    M. P. Martin

    2011-05-01

    Full Text Available Soil organic carbon plays a major role in the global carbon budget, and can act as a source or a sink of atmospheric carbon, thereby possibly influencing the course of climate change. Changes in soil organic carbon (SOC stocks are now taken into account in international negotiations regarding climate change. Consequently, developing sampling schemes and models for estimating the spatial distribution of SOC stocks is a priority. The French soil monitoring network has been established on a 16 km × 16 km grid and the first sampling campaign has recently been completed, providing around 2200 measurements of stocks of soil organic carbon, obtained through an in situ composite sampling, uniformly distributed over the French territory.

    We calibrated a boosted regression tree model on the observed stocks, modelling SOC stocks as a function of other variables such as climatic parameters, vegetation net primary productivity, soil properties and land use. The calibrated model was evaluated through cross-validation and eventually used for estimating SOC stocks for mainland France. Two other models were calibrated on forest and agricultural soils separately, in order to assess more precisely the influence of pedo-climatic variables on SOC for such soils.

    The boosted regression tree model showed good predictive ability, and enabled quantification of relationships between SOC stocks and pedo-climatic variables (plus their interactions over the French territory. These relationships strongly depended on the land use, and more specifically, differed between forest soils and cultivated soil. The total estimate of SOC stocks in France was 3.260 ± 0.872 PgC for the first 30 cm. It was compared to another estimate, based on the previously published European soil organic carbon and bulk density maps, of 5.303 PgC. We demonstrate that the present estimate might better represent the actual SOC stock distributions of France, and consequently that the

  10. Comparison of spatial association approaches for landscape mapping of soil organic carbon stocks

    Science.gov (United States)

    Miller, B. A.; Koszinski, S.; Wehrhan, M.; Sommer, M.

    2015-03-01

    The distribution of soil organic carbon (SOC) can be variable at small analysis scales, but consideration of its role in regional and global issues demands the mapping of large extents. There are many different strategies for mapping SOC, among which is to model the variables needed to calculate the SOC stock indirectly or to model the SOC stock directly. The purpose of this research is to compare direct and indirect approaches to mapping SOC stocks from rule-based, multiple linear regression models applied at the landscape scale via spatial association. The final products for both strategies are high-resolution maps of SOC stocks (kg m-2), covering an area of 122 km2, with accompanying maps of estimated error. For the direct modelling approach, the estimated error map was based on the internal error estimations from the model rules. For the indirect approach, the estimated error map was produced by spatially combining the error estimates of component models via standard error propagation equations. We compared these two strategies for mapping SOC stocks on the basis of the qualities of the resulting maps as well as the magnitude and distribution of the estimated error. The direct approach produced a map with less spatial variation than the map produced by the indirect approach. The increased spatial variation represented by the indirect approach improved R2 values for the topsoil and subsoil stocks. Although the indirect approach had a lower mean estimated error for the topsoil stock, the mean estimated error for the total SOC stock (topsoil + subsoil) was lower for the direct approach. For these reasons, we recommend the direct approach to modelling SOC stocks be considered a more conservative estimate of the SOC stocks' spatial distribution.

  11. Detecting small-scale spatial heterogeneity and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-03-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial (10-30 m) and temporal changes in SOC stocks, particularly pronounced in arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal dynamics as well as small-scale spatial differences of ΔSOC using measurements of the net ecosystem carbon balance (NECB) as a proxy. To estimate the NECB, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) were used. To verify our method, results were compared with ΔSOC observed by soil resampling. Soil resampling and AC measurements were performed from 2010 to 2014 at a colluvial depression located in the hummocky ground moraine landscape of northeastern Germany. The measurement site is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity regarding SOC and nitrogen (Nt) stocks. Tendencies and magnitude of ΔSOC values derived by AC measurements and repeated soil inventories corresponded well. The period of maximum plant growth was identified as being most important for the development of spatial differences in annual ΔSOC. Hence, we were able to confirm that AC-based C budgets are able

  12. Soil organic carbon pools and stocks in permafrost-affected soils on the tibetan plateau.

    Directory of Open Access Journals (Sweden)

    Corina Dörfer

    Full Text Available The Tibetan Plateau reacts particularly sensitively to possible effects of climate change. Approximately two thirds of the total area is affected by permafrost. To get a better understanding of the role of permafrost on soil organic carbon pools and stocks, investigations were carried out including both discontinuous (site Huashixia, HUA and continuous permafrost (site Wudaoliang, WUD. Three organic carbon fractions were isolated using density separation combined with ultrasonic dispersion: the light fractions (1.6 g cm(-3 of mineral associated organic matter (MOM. The fractions were analyzed for C, N, and their portion of organic C. FPOM contained an average SOC content of 252 g kg(-1. Higher SOC contents (320 g kg(-1 were found in OPOM while MOM had the lowest SOC contents (29 g kg(-1. Due to their lower density the easily decomposable fractions FPOM and OPOM contribute 27% (HUA and 22% (WUD to the total SOC stocks. In HUA mean SOC stocks (0-30 cm depth account for 10.4 kg m(-2, compared to 3.4 kg m(-2 in WUD. 53% of the SOC is stored in the upper 10 cm in WUD, in HUA only 39%. Highest POM values of 36% occurred in profiles with high soil moisture content. SOC stocks, soil moisture and active layer thickness correlated strongly in discontinuous permafrost while no correlation between SOC stocks and active layer thickness and only a weak relation between soil moisture and SOC stocks could be found in continuous permafrost. Consequently, permafrost-affected soils in discontinuous permafrost environments are susceptible to soil moisture changes due to alterations in quantity and seasonal distribution of precipitation, increasing temperature and therefore evaporation.

  13. Detecting small-scale spatial differences and temporal dynamics of soil organic carbon (SOC) stocks: a comparison between automatic chamber-derived C budgets and repeated soil inventories

    Science.gov (United States)

    Hoffmann, Mathias; Jurisch, Nicole; Garcia Alba, Juana; Albiac Borraz, Elisa; Schmidt, Marten; Huth, Vytas; Rogasik, Helmut; Rieckh, Helene; Verch, Gernot; Sommer, Michael; Augustin, Jürgen

    2017-04-01

    Carbon (C) sequestration in soils plays a key role in the global C cycle. It is therefore crucial to adequately monitor dynamics in soil organic carbon (ΔSOC) stocks when aiming to reveal underlying processes and potential drivers. However, small-scale spatial and temporal changes in SOC stocks, particularly pronounced on arable lands, are hard to assess. The main reasons for this are limitations of the well-established methods. On the one hand, repeated soil inventories, often used in long-term field trials, reveal spatial patterns and trends in ΔSOC but require a longer observation period and a sufficient number of repetitions. On the other hand, eddy covariance measurements of C fluxes towards a complete C budget of the soil-plant-atmosphere system may help to obtain temporal ΔSOC patterns but lack small-scale spatial resolution. To overcome these limitations, this study presents a reliable method to detect both short-term temporal as well as small-scale spatial dynamics of ΔSOC. Therefore, a combination of automatic chamber (AC) measurements of CO2 exchange and empirically modeled aboveground biomass development (NPPshoot) was used. To verify our method, results were compared with ΔSOC observed by soil resampling. AC measurements were performed from 2010 to 2014 under a silage maize/winter fodder rye/sorghum-Sudan grass hybrid/alfalfa crop rotation at a colluvial depression located in the hummocky ground moraine landscape of NE Germany. Widespread in large areas of the formerly glaciated Northern Hemisphere, this depression type is characterized by a variable groundwater level (GWL) and pronounced small-scale spatial heterogeneity in soil properties, such as SOC and nitrogen (Nt). After monitoring the initial stage during 2010, soil erosion was experimentally simulated by incorporating topsoil material from an eroded midslope soil into the plough layer of the colluvial depression. SOC stocks were quantified before and after soil manipulation and at the end

  14. Soil salinity decreases global soil organic carbon stocks.

    Science.gov (United States)

    Setia, Raj; Gottschalk, Pia; Smith, Pete; Marschner, Petra; Baldock, Jeff; Setia, Deepika; Smith, Jo

    2013-11-01

    Saline soils cover 3.1% (397 million hectare) of the total land area of the world. The stock of soil organic carbon (SOC) reflects the balance between carbon (C) inputs from plants, and losses through decomposition, leaching and erosion. Soil salinity decreases plant productivity and hence C inputs to the soil, but also microbial activity and therefore SOC decomposition rates. Using a modified Rothamsted Carbon model (RothC) with a newly introduced salinity decomposition rate modifier and a plant input modifier we estimate that, historically, world soils that are currently saline have lost an average of 3.47 tSOC ha(-1) since they became saline. With the extent of saline soils predicted to increase in the future, our modelling suggests that world soils may lose 6.8 Pg SOC due to salinity by the year 2100. Our findings suggest that current models overestimate future global SOC stocks and underestimate net CO2 emissions from the soil-plant system by not taking salinity effects into account. From the perspective of enhancing soil C stocks, however, given the lower SOC decomposition rate in saline soils, salt tolerant plants could be used to sequester C in salt-affected areas. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. Alaskan soil carbon stocks: spatial variability and dependence on environmental factors

    Directory of Open Access Journals (Sweden)

    U. Mishra

    2012-09-01

    Full Text Available The direction and magnitude of soil organic carbon (SOC changes in response to climate change depend on the spatial and vertical distributions of SOC. We estimated spatially resolved SOC stocks from surface to C horizon, distinguishing active-layer and permafrost-layer stocks, based on geospatial analysis of 472 soil profiles and spatially referenced environmental variables for Alaska. Total Alaska state-wide SOC stock was estimated to be 77 Pg, with 61% in the active-layer, 27% in permafrost, and 12% in non-permafrost soils. Prediction accuracy was highest for the active-layer as demonstrated by highest ratio of performance to deviation (1.5. Large spatial variability was predicted, with whole-profile, active-layer, and permafrost-layer stocks ranging from 1–296 kg C m−2, 2–166 kg m−2, and 0–232 kg m−2, respectively. Temperature and soil wetness were found to be primary controllers of whole-profile, active-layer, and permafrost-layer SOC stocks. Secondary controllers, in order of importance, were found to be land cover type, topographic attributes, and bedrock geology. The observed importance of soil wetness rather than precipitation on SOC stocks implies that the poor representation of high-latitude soil wetness in Earth system models may lead to large uncertainty in predicted SOC stocks under future climate change scenarios. Under strict caveats described in the text and assuming temperature changes from the A1B Intergovernmental Panel on Climate Change emissions scenario, our geospatial model indicates that the equilibrium average 2100 Alaska active-layer depth could deepen by 11 cm, resulting in a thawing of 13 Pg C currently in permafrost. The equilibrium SOC loss associated with this warming would be highest under continuous permafrost (31%, followed by discontinuous (28%, isolated (24.3%, and sporadic (23.6% permafrost areas. Our high-resolution mapping of soil carbon stock reveals the

  16. Elevation-based upscaling of organic carbon stocks in High-Arctic permafrost terrain

    DEFF Research Database (Denmark)

    Weiss, Niels; Faucherre, Samuel; Lampiris, Nikos

    2017-01-01

    Accurate quantity and distribution estimates of permafrost soil organic carbon (SOC) stocks are needed to project potential feedbacks to climate, following warming. Still, upscaling from local field observations to regional estimates to circumarctic assessments remains a challenge. Here we explore...... elevation-based upscaling techniques for High-Arctic permafrost SOC stocks. We combine two detailed, high-resolution SOC inventories on Spitsbergen (Svalbard) with regional validation data. We find a clear relationship between elevation and SOC content, and use this observed exponential correlation, as well...... as discrete elevation classes, as upscaling models for Spitsbergen. We estimate the total amount of permafrost SOC currently present in soils on Spitsbergen to be 105.36 Tg (0.11 Pg), with a mean SOC content of 2.84 ± 0.74 kg C m−2 (mean ± 95% confidence interval). Excluding glaciers and permanent snowfields...

  17. Land-cover effects on soil organic carbon stocks in a European city.

    Science.gov (United States)

    Edmondson, Jill L; Davies, Zoe G; McCormack, Sarah A; Gaston, Kevin J; Leake, Jonathan R

    2014-02-15

    Soil is the vital foundation of terrestrial ecosystems storing water, nutrients, and almost three-quarters of the organic carbon stocks of the Earth's biomes. Soil organic carbon (SOC) stocks vary with land-cover and land-use change, with significant losses occurring through disturbance and cultivation. Although urbanisation is a growing contributor to land-use change globally, the effects of urban land-cover types on SOC stocks have not been studied for densely built cities. Additionally, there is a need to resolve the direction and extent to which greenspace management such as tree planting impacts on SOC concentrations. Here, we analyse the effect of land-cover (herbaceous, shrub or tree cover), on SOC stocks in domestic gardens and non-domestic greenspaces across a typical mid-sized U.K. city (Leicester, 73 km(2), 56% greenspace), and map citywide distribution of this ecosystem service. SOC was measured in topsoil and compared to surrounding extra-urban agricultural land. Average SOC storage in the city's greenspace was 9.9 kg m(-2), to 21 cm depth. SOC concentrations under trees and shrubs in domestic gardens were greater than all other land-covers, with total median storage of 13.5 kg m(-2) to 21 cm depth, more than 3 kg m(-2) greater than any other land-cover class in domestic and non-domestic greenspace and 5 kg m(-2) greater than in arable land. Land-cover did not significantly affect SOC concentrations in non-domestic greenspace, but values beneath trees were higher than under both pasture and arable land, whereas concentrations under shrub and herbaceous land-covers were only higher than arable fields. We conclude that although differences in greenspace management affect SOC stocks, trees only marginally increase these stocks in non-domestic greenspaces, but may enhance them in domestic gardens, and greenspace topsoils hold substantial SOC stores that require protection from further expansion of artificial surfaces e.g. patios and driveways. Copyright

  18. Spatial variability of soil carbon stock in the Urucu river basin, Central Amazon-Brazil

    International Nuclear Information System (INIS)

    Ceddia, Marcos Bacis; Villela, André Luis Oliveira; Pinheiro, Érika Flávia Machado; Wendroth, Ole

    2015-01-01

    The Amazon Forest plays a major role in C sequestration and release. However, few regional estimates of soil organic carbon (SOC) stock in this ecoregion exist. One of the barriers to improve SOC estimates is the lack of recent soil data at high spatial resolution, which hampers the application of new methods for mapping SOC stock. The aims of this work were: (i) to quantify SOC stock under undisturbed vegetation for the 0–30 and the 0–100 cm under Amazon Forest; (ii) to correlate the SOC stock with soil mapping units and relief attributes and (iii) to evaluate three geostatistical techniques to generate maps of SOC stock (ordinary, isotopic and heterotopic cokriging). The study site is located in the Central region of Amazon State, Brazil. The soil survey covered the study site that has an area of 80 km 2 and resulted in a 1:10,000 soil map. It consisted of 315 field observations (96 complete soil profiles and 219 boreholes). SOC stock was calculated by summing C stocks by horizon, determined as a product of BD, SOC and the horizon thickness. For each one of the 315 soil observations, relief attributes were derived from a topographic map to understand SOC dynamics. The SOC stocks across 30 and 100 cm soil depth were 3.28 and 7.32 kg C m −2 , respectively, which is, 34 and 16%, lower than other studies. The SOC stock is higher in soils developed in relief forms exhibiting well-drained soils, which are covered by Upland Dense Tropical Rainforest. Only SOC stock in the upper 100 cm exhibited spatial dependence allowing the generation of spatial variability maps based on spatial (co)-regionalization. The CTI was inversely correlated with SOC stock and was the only auxiliary variable feasible to be used in cokriging interpolation. The heterotopic cokriging presented the best performance for mapping SOC stock. - Highlights: • The SOC stocks across 30 and 100 cm depth were 3.28 and 7.32 kg C m −2 , respectively. • SOC stocks were 34 and 16%, respectively

  19. On the rebound: soil organic carbon stocks can bounce back to near forest levels when agroforests replace agriculture in southern India

    Science.gov (United States)

    Hombegowda, H. C.; van Straaten, O.; Köhler, M.; Hölscher, D.

    2016-01-01

    Tropical agroforestry has an enormous potential to sequester carbon while simultaneously producing agricultural yields and tree products. The amount of soil organic carbon (SOC) sequestered is influenced by the type of the agroforestry system established, the soil and climatic conditions, and management. In this regional-scale study, we utilized a chronosequence approach to investigate how SOC stocks changed when the original forests are converted to agriculture, and then subsequently to four different agroforestry systems (AFSs): home garden, coffee, coconut and mango. In total we established 224 plots in 56 plot clusters across 4 climate zones in southern India. Each plot cluster consisted of four plots: a natural forest reference, an agriculture reference and two of the same AFS types of two ages (30-60 years and > 60 years). The conversion of forest to agriculture resulted in a large loss the original SOC stock (50-61 %) in the top meter of soil depending on the climate zone. The establishment of home garden and coffee AFSs on agriculture land caused SOC stocks to rebound to near forest levels, while in mango and coconut AFSs the SOC stock increased only slightly above the agriculture SOC stock. The most important variable regulating SOC stocks and its changes was tree basal area, possibly indicative of organic matter inputs. Furthermore, climatic variables such as temperature and precipitation, and soil variables such as clay fraction and soil pH were likewise all important regulators of SOC and SOC stock changes. Lastly, we found a strong correlation between tree species diversity in home garden and coffee AFSs and SOC stocks, highlighting possibilities to increase carbon stocks by proper tree species assemblies.

  20. Spatial variability of soil carbon stock in the Urucu river basin, Central Amazon-Brazil

    Energy Technology Data Exchange (ETDEWEB)

    Ceddia, Marcos Bacis, E-mail: marcosceddia@gmail.com [Department of Soil, Institute of Agronomy, Universidade Federal Rural do Rio de Janeiro (UFRRJ), Seropédica, RJ 23890-000 (Brazil); Villela, André Luis Oliveira [Colégio Técnico da UFRRJ, RJ, Seropédica 23890-000 (Brazil); Pinheiro, Érika Flávia Machado [Department of Soil, Institute of Agronomy, Universidade Federal Rural do Rio de Janeiro (UFRRJ), Seropédica, RJ 23890-000 (Brazil); Wendroth, Ole [Department of Plant & Soil Sciences, University of Kentucky, College of Agriculture, Lexington, KY (United States)

    2015-09-01

    The Amazon Forest plays a major role in C sequestration and release. However, few regional estimates of soil organic carbon (SOC) stock in this ecoregion exist. One of the barriers to improve SOC estimates is the lack of recent soil data at high spatial resolution, which hampers the application of new methods for mapping SOC stock. The aims of this work were: (i) to quantify SOC stock under undisturbed vegetation for the 0–30 and the 0–100 cm under Amazon Forest; (ii) to correlate the SOC stock with soil mapping units and relief attributes and (iii) to evaluate three geostatistical techniques to generate maps of SOC stock (ordinary, isotopic and heterotopic cokriging). The study site is located in the Central region of Amazon State, Brazil. The soil survey covered the study site that has an area of 80 km{sup 2} and resulted in a 1:10,000 soil map. It consisted of 315 field observations (96 complete soil profiles and 219 boreholes). SOC stock was calculated by summing C stocks by horizon, determined as a product of BD, SOC and the horizon thickness. For each one of the 315 soil observations, relief attributes were derived from a topographic map to understand SOC dynamics. The SOC stocks across 30 and 100 cm soil depth were 3.28 and 7.32 kg C m{sup −2}, respectively, which is, 34 and 16%, lower than other studies. The SOC stock is higher in soils developed in relief forms exhibiting well-drained soils, which are covered by Upland Dense Tropical Rainforest. Only SOC stock in the upper 100 cm exhibited spatial dependence allowing the generation of spatial variability maps based on spatial (co)-regionalization. The CTI was inversely correlated with SOC stock and was the only auxiliary variable feasible to be used in cokriging interpolation. The heterotopic cokriging presented the best performance for mapping SOC stock. - Highlights: • The SOC stocks across 30 and 100 cm depth were 3.28 and 7.32 kg C m{sup −2}, respectively. • SOC stocks were 34 and 16

  1. Unexpectedly high soil organic carbon stocks under impervious surfaces contributed by urban deep cultural layers

    Science.gov (United States)

    Bae, J.; Ryu, Y.

    2017-12-01

    The expansion of urban artificial structures has altered the spatial distribution of soil organic carbon (SOC) stocks. The majority of the urban soil studies within the land-cover types, however, focused on top soils despite the potential of deep soils to store large amounts of SOC. Here, we investigate vertical distribution of SOC stocks in both impervious surfaces (n = 11) and adjacent green spaces (n = 8) to a depth of 4 m with in an apartment complex area, Seoul, Republic of Korea. We found that more than six times differences in SOC stocks were observed at 0-1 m depth between the impervious surfaces (1.90 kgC m-2) and the green spaces (12.03 kgC m-2), but no significant differences appeared when comparing them at the depth of 0-4 m. We found "cultural layers" with the largest SOC stocks at 1-2 m depth in the impervious surfaces (15.85 kgC m-2) and 2-3 m depths in urban green spaces (12.52 kgC m-2). Thus, the proportions of SOC stocks at the 0-1 m depth to the total of 0-4 m depth were 6.83% in impervious surfaces and 32.15% in urban green spaces, respectively. The 13C and 15N stable isotope data with historical aerial photographs revealed that the cropland which existed before 1978 formed the SOC in the cultural layers. Our results highlight that impervious surface could hold large amount of SOC stock which has been overlooked in urban carbon cycles. We believe this finding will help city planners and policy makers to develop carbon management programs better towards sustainable urban ecosystems.

  2. Mapping the variation of soil organic carbon (SOC) stock in time and space in Sicily, an extremely variable semi-arid Mediterranean region, highlighted that C was lost in area rich in organic C and gained in poor-C areas

    Science.gov (United States)

    Schillaci, Calogero; Acutis, Marco; Lombardo, Luigi; Lipani, Aldo; Fantappiè, Maria; Märker, Michael; Saia, Sergio

    2017-04-01

    The stock of organic carbon in the soil (SOC) is an indicator of soil ability to support agro-ecosystems productivity and resilience to environmental changes (Schillaci et al. 2016; 2017). In addition, SOC stock change through space and especially time is a valuable indicator of the soil ability to sequester CO2 from the atmosphere and thus its potential to reduce the greenhouse gas effect. In the present work, we mapped (1-km resolution) the space-time variation of the SOC stock after 15 years (1993 to 2008) in a semi-arid Mediterranean area (25,286 km2) after modelling SOC concentration (0-0.4 m depth) with boosted regression trees (BRT) and computing the SOC stock after the application of the bulk density maps of ISRIC (soilgrid.com, Hengl et al., 2014). The area under study (Sicily, south of Italy) has a plenty of contrasting environments, with changing ecosystems, soils, and microclimatic regions. The BRT procedure was run with a set of 25 predictors per year, including land use, soil traits, morphometric indicators and remote sensing covariates (derived from Landsat5 data). The BRT output consisted of a high pseudo-R2(=0.71 for 1993 and 0.63 for 2008) of the SOC concentration, low uncertainty (standard deviation doi:10.1016/j.geoderma.2016.10.

  3. Spatial variability of soil carbon stock in the Urucu river basin, Central Amazon-Brazil.

    Science.gov (United States)

    Ceddia, Marcos Bacis; Villela, André Luis Oliveira; Pinheiro, Érika Flávia Machado; Wendroth, Ole

    2015-09-01

    The Amazon Forest plays a major role in C sequestration and release. However, few regional estimates of soil organic carbon (SOC) stock in this ecoregion exist. One of the barriers to improve SOC estimates is the lack of recent soil data at high spatial resolution, which hampers the application of new methods for mapping SOC stock. The aims of this work were: (i) to quantify SOC stock under undisturbed vegetation for the 0-30 and the 0-100 cm under Amazon Forest; (ii) to correlate the SOC stock with soil mapping units and relief attributes and (iii) to evaluate three geostatistical techniques to generate maps of SOC stock (ordinary, isotopic and heterotopic cokriging). The study site is located in the Central region of Amazon State, Brazil. The soil survey covered the study site that has an area of 80 km(2) and resulted in a 1:10,000 soil map. It consisted of 315 field observations (96 complete soil profiles and 219 boreholes). SOC stock was calculated by summing C stocks by horizon, determined as a product of BD, SOC and the horizon thickness. For each one of the 315 soil observations, relief attributes were derived from a topographic map to understand SOC dynamics. The SOC stocks across 30 and 100 cm soil depth were 3.28 and 7.32 kg C m(-2), respectively, which is, 34 and 16%, lower than other studies. The SOC stock is higher in soils developed in relief forms exhibiting well-drained soils, which are covered by Upland Dense Tropical Rainforest. Only SOC stock in the upper 100 cm exhibited spatial dependence allowing the generation of spatial variability maps based on spatial (co)-regionalization. The CTI was inversely correlated with SOC stock and was the only auxiliary variable feasible to be used in cokriging interpolation. The heterotopic cokriging presented the best performance for mapping SOC stock. Copyright © 2015 Elsevier B.V. All rights reserved.

  4. Spatial patterns of soil organic carbon stocks in Estonian arable soils

    Science.gov (United States)

    Suuster, Elsa; Astover, Alar; Kõlli, Raimo; Roostalu, Hugo; Reintam, Endla; Penu, Priit

    2010-05-01

    study area from 0.6 to 45%. Then we constructed a statistical mixed model for predicting bulk density (Db) of humus layer from multiple variables (SOC content, depth, moisture content, texture). Constructed model is not compatible for predicting Db values for peat soils, which was estimated through the degree of peat decomposition. For modelling Db we used a dataset compiled from soil samples collected from 1983-1994 under the framework of national monitoring of arable soils. The dataset consists of 90 different sites all over Estonia holding 17,294 unique Db values. SOC stocks were calculated (also the coarse soil fraction was subtracted from the total soil volume) and integrated to Estonian large scale soil map. Up-scaling from soil mapping units allowed assessing SOC stocks at the regional level. Also it formed a methodology and basis to develop nationwide spatial decision support system for SOC accounting and management. The integration of precise soil map and soil models enables to give more accurate estimates of many soil properties including SOC. Thus our study provides the knowledge of how much carbon is stored in the arable soils, we can take better actions to control SOC fluxes and preventing climate change, e.g. using appropriate land management. Also it helps to construct an upgraded agricultural land use suitability models in which soil organic matter and environmental aspects are more deeply involved.

  5. Scaling impacts on environmental controls and spatial heterogeneity of soil organic carbon stocks

    Science.gov (United States)

    Mishra, U.; Riley, W. J.

    2015-07-01

    The spatial heterogeneity of land surfaces affects energy, moisture, and greenhouse gas exchanges with the atmosphere. However, representing the heterogeneity of terrestrial hydrological and biogeochemical processes in Earth system models (ESMs) remains a critical scientific challenge. We report the impact of spatial scaling on environmental controls, spatial structure, and statistical properties of soil organic carbon (SOC) stocks across the US state of Alaska. We used soil profile observations and environmental factors such as topography, climate, land cover types, and surficial geology to predict the SOC stocks at a 50 m spatial scale. These spatially heterogeneous estimates provide a data set with reasonable fidelity to the observations at a sufficiently high resolution to examine the environmental controls on the spatial structure of SOC stocks. We upscaled both the predicted SOC stocks and environmental variables from finer to coarser spatial scales (s = 100, 200, and 500 m and 1, 2, 5, and 10 km) and generated various statistical properties of SOC stock estimates. We found different environmental factors to be statistically significant predictors at different spatial scales. Only elevation, temperature, potential evapotranspiration, and scrub land cover types were significant predictors at all scales. The strengths of control (the median value of geographically weighted regression coefficients) of these four environmental variables on SOC stocks decreased with increasing scale and were accurately represented using mathematical functions (R2 = 0.83-0.97). The spatial structure of SOC stocks across Alaska changed with spatial scale. Although the variance (sill) and unstructured variability (nugget) of the calculated variograms of SOC stocks decreased exponentially with scale, the correlation length (range) remained relatively constant across scale. The variance of predicted SOC stocks decreased with spatial scale over the range of 50 m to ~ 500 m, and remained

  6. Combined influence of sedimentation and vegetation on the soil carbon stocks of a coastal wetland in the Changjiang estuary

    Science.gov (United States)

    Zhang, Tianyu; Chen, Huaipu; Cao, Haobing; Ge, Zhenming; Zhang, Liquan

    2017-07-01

    Coastal wetlands play an important role in the global carbon cycle. Large quantities of sediment deposited in the Changjiang (Yangtze) estuary by the Changjiang River promote the propagation of coastal wetlands, the expansion of saltmarsh vegetation, and carbon sequestration. In this study, using the Chongming Dongtan Wetland in the Changjiang estuary as the study area, the spatial and temporal distribution of soil organic carbon (SOC) stocks and the influences of sedimentation and vegetation on the SOC stocks of the coastal wetland were examined in 2013. There was sediment accretion in the northern and middle areas of the wetland and in the Phragmites australis marsh in the southern area, and sediment erosion in the Scirpus mariqueter marsh and the bare mudflat in the southern area. More SOC accumulated in sediments of the vegetated marsh than in the bare mudflat. The total organic carbon (TOC) stocks increased in the above-ground biomass from spring to autumn and decreased in winter; in the below-ground biomass, they gradually increased from spring to winter. The TOC stocks were higher in the below-ground biomass than in the above-ground biomass in the P. australis and Spartina alterniflora marshes, but were lower in the below-ground biomass in S. mariqueter marsh. Stocks of SOC showed temporal variation and increased gradually in all transects from spring to winter. The SOC stocks tended to decrease from the high marsh down to the bare mudflat along the three transects in the order: P. australis marsh > S. alterniflora marsh > S. mariqueter marsh > bare mudflat. The SOC stocks of the same vegetation type were higher in the northern and middle transects than in the southern transect. These results suggest that interactions between sedimentation and vegetation regulate the SOC stocks in the coastal wetland in the Changjiang estuary.

  7. Benchmark values for forest soil carbon stocks in Europe

    DEFF Research Database (Denmark)

    De Vos, Bruno; Cools, Nathalie; Ilvesniemi, Hannu

    2015-01-01

    Soil organic carbon (SOC) stocks in forest floors and in mineral and peat forest soils were estimated at the European scale. The assessment was based on measured C concentration, bulk density, coarse fragments and effective soil depth data originating from 4914 plots in 22 EU countries belonging...... to the UN/ECE ICP Forests 16 × 16 km Level I network. Plots were sampled and analysed according to harmonized methods during the 2nd European Forest Soil Condition Survey. Using continuous carbon density depth functions, we estimated SOC stocks to 30-cm and 1-m depth, and stratified these stocks according...... to 22 WRB Reference Soil Groups (RSGs) and 8 humus forms to provide European scale benchmark values. Average SOC stocks amounted to 22.1 t C ha− 1 in forest floors, 108 t C ha− 1 in mineral soils and 578 t C ha− 1 in peat soils, to 1 m depth. Relative to 1-m stocks, the vertical SOC distribution...

  8. Effects of land use change and management on SOC and soil quality in Mediterranean rangelands areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Requejo, Ana; Zornoza, Raúl

    2017-04-01

    INTRODUCTION Rangelands in the Iberian Peninsula occupy more than 90,000 km2. These rangelands were created from the former Mediterranean oak forests, mainly composed of holm oak and cork oak (Quercus ilex rotundifolia and Quercus suber), by clear-cutting shrubs, removing selected trees and cultivating. These man-made landscapes are called 'dehesas' in Spain and 'montados' in Portugal. Between 1955 and 1981, more than 5,000 km2 of dehesas was converted from pastureland to cultivated land. This process has been accelerated since 1986 owing to subsidies from the European Common Agricultural Policy (Parras-Alcántara et al., 2015a). The role that natural rangelands play in the global carbon cycle is extremely important, accounting for 10-30% of the world's total soil organic carbon (SOC), in addition, SOC concentration is closely related to soil quality and vegetation productivity (Brevik, 2012). Therefore, to study the land use and management changes is important, particularly in Mediterranean soils, as they are characterized by low organic carbon content, furthermore, the continuous use of ploughing for grain production is the principal cause of soil degradation. Therefore, land use decisions and management systems can increase or decrease SOC content and stock (Corral-Fernández et al., 2013; Parras-Alcántara et al., 2014, 2015a and 2015b; Parras-Alcántara and Lozano-García, 2014) MATERIAL AND METHODS A field study was conducted to determine the land use change (Mediterranean evergreen oak woodland to olive grove and cereal, all of them managed under conventional tillage and under conservationist practices) effects on SOC stocks and the soil quality (Stratification Ratio) in Los Pedroches valley, southern Spain. RESULTS Results for the present study indicate that management practices had little effect on SOC storage in dehesas. The stratification ratio was >2 both under conventional tillage and under organic farming, so, soils under dehesa had high quality

  9. Deforestation impacts on soil organic carbon stocks in the Semiarid Chaco Region, Argentina.

    Science.gov (United States)

    Villarino, Sebastián Horacio; Studdert, Guillermo Alberto; Baldassini, Pablo; Cendoya, María Gabriela; Ciuffoli, Lucía; Mastrángelo, Matias; Piñeiro, Gervasio

    2017-01-01

    Land use change affects soil organic carbon (SOC) and generates CO 2 emissions. Moreover, SOC depletion entails degradation of soil functions that support ecosystem services. Large areas covered by dry forests have been cleared in the Semiarid Chaco Region of Argentina for cropping expansion. However, deforestation impacts on the SOC stock and its distribution in the soil profile have been scarcely reported. We assessed these impacts based on the analysis of field data along a time-since-deforestation-for-cropping chronosequence, and remote sensing indices. Soil organic C was determined up to 100cm depth and physically fractionated into mineral associated organic carbon (MAOC) and particulate organic C (POC). Models describing vertical distribution of SOC were fitted. Total SOC, POC and MAOC stocks decreased markedly with increasing cropping age. Particulate organic C was the most sensitive fraction to cultivation. After 10yr of cropping SOC loss was around 30%, with greater POC loss (near 60%) and smaller MAOC loss (near 15%), at 0-30cm depth. Similar relative SOC losses were observed in deeper soil layers (30-60 and 60-100cm). Deforestation and subsequent cropping also modified SOC vertical distribution. Soil organic C loss was negatively associated with the proportion of maize in the rotation and total crop biomass inputs, but positively associated with the proportion of soybean in the rotation. Without effective land use polices, deforestation and agricultural expansion can lead to rapid soil degradation and reductions in the provision of important ecosystem services. Copyright © 2016 Elsevier B.V. All rights reserved.

  10. Underestimation of boreal soil carbon stocks by mathematical soil carbon models linked to soil nutrient status

    Science.gov (United States)

    Ťupek, Boris; Ortiz, Carina A.; Hashimoto, Shoji; Stendahl, Johan; Dahlgren, Jonas; Karltun, Erik; Lehtonen, Aleksi

    2016-08-01

    Inaccurate estimate of the largest terrestrial carbon pool, soil organic carbon (SOC) stock, is the major source of uncertainty in simulating feedback of climate warming on ecosystem-atmosphere carbon dioxide exchange by process-based ecosystem and soil carbon models. Although the models need to simplify complex environmental processes of soil carbon sequestration, in a large mosaic of environments a missing key driver could lead to a modeling bias in predictions of SOC stock change.We aimed to evaluate SOC stock estimates of process-based models (Yasso07, Q, and CENTURY soil sub-model v4) against a massive Swedish forest soil inventory data set (3230 samples) organized by a recursive partitioning method into distinct soil groups with underlying SOC stock development linked to physicochemical conditions.For two-thirds of measurements all models predicted accurate SOC stock levels regardless of the detail of input data, e.g., whether they ignored or included soil properties. However, in fertile sites with high N deposition, high cation exchange capacity, or moderately increased soil water content, Yasso07 and Q models underestimated SOC stocks. In comparison to Yasso07 and Q, accounting for the site-specific soil characteristics (e. g. clay content and topsoil mineral N) by CENTURY improved SOC stock estimates for sites with high clay content, but not for sites with high N deposition.Our analysis suggested that the soils with poorly predicted SOC stocks, as characterized by the high nutrient status and well-sorted parent material, indeed have had other predominant drivers of SOC stabilization lacking in the models, presumably the mycorrhizal organic uptake and organo-mineral stabilization processes. Our results imply that the role of soil nutrient status as regulator of organic matter mineralization has to be re-evaluated, since correct SOC stocks are decisive for predicting future SOC change and soil CO2 efflux.

  11. Mapping of soil organic carbon stocks for spatially explicit assessments of climate change mitigation potential

    International Nuclear Information System (INIS)

    Vågen, Tor-Gunnar; Winowiecki, Leigh A

    2013-01-01

    Current methods for assessing soil organic carbon (SOC) stocks are generally not well suited for understanding variations in SOC stocks in landscapes. This is due to the tedious and time-consuming nature of the sampling methods most commonly used to collect bulk density cores, which limits repeatability across large areas, particularly where information is needed on the spatial dynamics of SOC stocks at scales relevant to management and for spatially explicit targeting of climate change mitigation options. In the current study, approaches were explored for (i) field-based estimates of SOC stocks and (ii) mapping of SOC stocks at moderate to high resolution on the basis of data from four widely contrasting ecosystems in East Africa. Estimated SOC stocks for 0–30 cm depth varied both within and between sites, with site averages ranging from 2 to 8 kg m −2 . The differences in SOC stocks were determined in part by rainfall, but more importantly by sand content. Results also indicate that managing soil erosion is a key strategy for reducing SOC loss and hence in mitigation of climate change in these landscapes. Further, maps were developed on the basis of satellite image reflectance data with multiple R-squared values of 0.65 for the independent validation data set, showing variations in SOC stocks across these landscapes. These maps allow for spatially explicit targeting of potential climate change mitigation efforts through soil carbon sequestration, which is one option for climate change mitigation and adaptation. Further, the maps can be used to monitor the impacts of such mitigation efforts over time. (letter)

  12. Monitoring of soil organic carbon and nitrogen stocks in different ...

    African Journals Online (AJOL)

    SOC and SN stocks are a function of the SOC and SN concentrations and the bulk density of the soil that are prone to changes under land use types and soil erosion. The objective of this study was to evaluate SOC and SN stock in different land use types under surface erosion at catchment scale. In view of this, bulk density, ...

  13. Modeling soil organic carbon stock after 10 years of cover crops in Mediterranean vineyards: improving ANN prediction by digital terrain analysis.

    Science.gov (United States)

    Lo Papa, Giuseppe; Novara, Agata; Santoro, Antonino; Gristina, Luciano

    2014-05-01

    Estimate changes in soil organic carbon (SOC) stock after Agro Environment Measures adoption are strategically for national and regional scale. Uncertainty in estimates also represents a very important parameter in terms of evaluation of the exact costs and agro environment payments to farmers. In this study we modeled the variation of SOC stock after 10-year cover crop adoption in a vine growing area of South-Eastern Sicily. A paired-site approach was chosen to study the difference in SOC stocks. A total 100 paired sites (i.e. two adjacent plots) were chosen and three soil samples (Ap soil horizons, circa 0-30 cm depth) were collected in each plot to obtain a mean value of organic carbon concentration for each plot. The variation of soil organic carbon (SOCv) for each plot was calculated by differences between concentrations of the plot subjected to cover crops (SOC10) and the relative plot subjected to traditional agronomic practices (SOC0). The feasibility of using artificial neural networks as a method to predict soil organic carbon stock variation and the contribution of digital terrain analysis to improve the prediction were tested. We randomly subdivided the experimental values of SOC-stock difference in 80 learning samples and 20 test samples for model validation. SOCv was strongly correlated to the SOC0 concentration. Model validation using only SOCv as unique covariate showed a training and test perfection of 0.724 and 0.871 respectively. We hypothesized that terrain-driven hydrological flow patterns, mass-movement and local micro-climatic factors could be responsible processes contributing for SOC redistributions, thus affecting soil carbon stock in time. Terrain attributes were derived by digital terrain analysis from the 10 m DEM of the study area. A total of 37 terrain attributes were calculated and submitted to statistical feature selection. The Chi-square ranking indicated only 4 significant covariates among the terrain attributes (slope height

  14. Organic carbon stocks in Mediterranean soil types under different land uses (Southern Spain)

    Energy Technology Data Exchange (ETDEWEB)

    Munoz-Rojas, M. [CSIC Spin-off, Instituto de Recursos Naturales y Agrobiologia de Sevilla (CSIC), Seville (Spain). Evenor-Tech; Sevilla Univ. (Spain). MED Soil Research Group; Jordan, A.; Zavala, L.M. [Sevilla Univ. (Spain). MED Soil Research Group; Rosa, D. de la [Instituto de Recursos Naturales y Agrobiologia de Sevilla (CSIC), Seville (Spain); Abd-Elmabod, S.K. [Instituto de Recursos Naturales y Agrobiologia de Sevilla (CSIC), Seville (Spain); National Research Centre, Cairo (Egypt). Dept. of Soil and Water Use; Anaya-Romero, M. [CSIC Spin-off, Instituto de Recursos Naturales y Agrobiologia de Sevilla (CSIC), Seville (Spain). Evenor-Tech

    2012-07-01

    Soil C sequestration through changes in land use and management is one of the sustainable and long-term strategies to mitigate climate change. This research explores and quantifies the role of soil and land use as determinants of the ability of soils to store C along Mediterranean systems. Detailed studies of soil organic C (SOC) dynamics are necessary in order to identify factors determining fluctuations and intensity of changes. In this study, SOC contents from different soil and land use types have been investigated in Andalusia (Southern Spain). We have used soil information from different databases, as well as land use digital maps, climate databases and digital elevation models. The average SOC content for each soil control section (0-25, 25-50 and 50-75 cm) was determined and SOC stocks were calculated for each combination of soil and land use type, using soil and land cover maps. The total organic C stocks in soils of Andalusia is 415 Tg for the upper 75 cm, with average values ranging from 15.9 MgC ha{sup -1} (Solonchaks under ''arable land'') to 107.6 MgC ha{sup -1} (Fluvisols from ''wetlands''). Up to 55% of SOC accumulates in the top 25 cm of soil (229.7 Tg). This research constitutes a preliminary assessment for modelling SOC stock under scenarios of land use and climate change. (orig.)

  15. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system - combining experimental and modeling approaches

    Science.gov (United States)

    Cardinael, Rémi; Guenet, Bertrand; Chevallier, Tiphaine; Dupraz, Christian; Cozzi, Thomas; Chenu, Claire

    2018-01-01

    Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC) stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC) inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia × nigra) and durum wheat (Triticum turgidum L. subsp. durum) and an adjacent agricultural control plot to quantify all OC inputs to the soil - leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation - and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha-1 yr-1) down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha-1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to store large amounts of carbon, especially at depth. Deep

  16. Agricultural management explains historic changes in regional soil carbon stocks

    Science.gov (United States)

    van Wesemael, Bas; Paustian, Keith; Meersmans, Jeroen; Goidts, Esther; Barancikova, Gabriela; Easter, Mark

    2010-01-01

    Agriculture is considered to be among the economic sectors having the greatest greenhouse gas mitigation potential, largely via soil organic carbon (SOC) sequestration. However, it remains a challenge to accurately quantify SOC stock changes at regional to national scales. SOC stock changes resulting from SOC inventory systems are only available for a few countries and the trends vary widely between studies. Process-based models can provide insight in the drivers of SOC changes, but accurate input data are currently not available at these spatial scales. Here we use measurements from a soil inventory dating from the 1960s and resampled in 2006 covering the major soil types and agricultural regions in Belgium together with region-specific land use and management data and a process-based model. The largest decreases in SOC stocks occurred in poorly drained grassland soils (clays and floodplain soils), consistent with drainage improvements since 1960. Large increases in SOC in well drained grassland soils appear to be a legacy effect of widespread conversion of cropland to grassland before 1960. SOC in cropland increased only in sandy lowland soils, driven by increasing manure additions. Modeled land use and management impacts accounted for more than 70% of the variation in observed SOC changes, and no bias could be demonstrated. There was no significant effect of climate trends since 1960 on observed SOC changes. SOC monitoring networks are being established in many countries. Our results demonstrate that detailed and long-term land management data are crucial to explain the observed SOC changes for such networks. PMID:20679194

  17. Alpine grassland soil organic carbon stock and its uncertainty in the three rivers source region of the Tibetan Plateau.

    Directory of Open Access Journals (Sweden)

    Xiaofeng Chang

    Full Text Available Alpine grassland of the Tibetan Plateau is an important component of global soil organic carbon (SOC stocks, but insufficient field observations and large spatial heterogeneity leads to great uncertainty in their estimation. In the Three Rivers Source Region (TRSR, alpine grasslands account for more than 75% of the total area. However, the regional carbon (C stock estimate and their uncertainty have seldom been tested. Here we quantified the regional SOC stock and its uncertainty using 298 soil profiles surveyed from 35 sites across the TRSR during 2006-2008. We showed that the upper soil (0-30 cm depth in alpine grasslands of the TRSR stores 2.03 Pg C, with a 95% confidence interval ranging from 1.25 to 2.81 Pg C. Alpine meadow soils comprised 73% (i.e. 1.48 Pg C of the regional SOC estimate, but had the greatest uncertainty at 51%. The statistical power to detect a deviation of 10% uncertainty in grassland C stock was less than 0.50. The required sample size to detect this deviation at a power of 90% was about 6-7 times more than the number of sample sites surveyed. Comparison of our observed SOC density with the corresponding values from the dataset of Yang et al. indicates that these two datasets are comparable. The combined dataset did not reduce the uncertainty in the estimate of the regional grassland soil C stock. This result could be mainly explained by the underrepresentation of sampling sites in large areas with poor accessibility. Further research to improve the regional SOC stock estimate should optimize sampling strategy by considering the number of samples and their spatial distribution.

  18. High organic inputs explain shallow and deep SOC storage in a long-term agroforestry system – combining experimental and modeling approaches

    Directory of Open Access Journals (Sweden)

    R. Cardinael

    2018-01-01

    Full Text Available Agroforestry is an increasingly popular farming system enabling agricultural diversification and providing several ecosystem services. In agroforestry systems, soil organic carbon (SOC stocks are generally increased, but it is difficult to disentangle the different factors responsible for this storage. Organic carbon (OC inputs to the soil may be larger, but SOC decomposition rates may be modified owing to microclimate, physical protection, or priming effect from roots, especially at depth. We used an 18-year-old silvoarable system associating hybrid walnut trees (Juglans regia  ×  nigra and durum wheat (Triticum turgidum L. subsp. durum and an adjacent agricultural control plot to quantify all OC inputs to the soil – leaf litter, tree fine root senescence, crop residues, and tree row herbaceous vegetation – and measured SOC stocks down to 2 m of depth at varying distances from the trees. We then proposed a model that simulates SOC dynamics in agroforestry accounting for both the whole soil profile and the lateral spatial heterogeneity. The model was calibrated to the control plot only. Measured OC inputs to soil were increased by about 40 % (+ 1.11 t C ha−1 yr−1 down to 2 m of depth in the agroforestry plot compared to the control, resulting in an additional SOC stock of 6.3 t C ha−1 down to 1 m of depth. However, most of the SOC storage occurred in the first 30 cm of soil and in the tree rows. The model was strongly validated, properly describing the measured SOC stocks and distribution with depth in agroforestry tree rows and alleys. It showed that the increased inputs of fresh biomass to soil explained the observed additional SOC storage in the agroforestry plot. Moreover, only a priming effect variant of the model was able to capture the depth distribution of SOC stocks, suggesting the priming effect as a possible mechanism driving deep SOC dynamics. This result questions the potential of soils to

  19. Dynamics and fate of SOC in tidal marshes along a salinity gradient (Scheldt estuary, Belgium)

    Science.gov (United States)

    Van de Broek, Marijn; Temmermann, Stijn; Merckx, Roel; Wang, Zhengang; Govers, Gerard

    2016-04-01

    Coastal ecosystems have been attributed the potential to store large amounts of organic carbon (OC), often referred to as blue carbon, of which a considerable amount is stored in tidal marsh soils. Large uncertainties still exist with respect to the amount and controlling factors of soil organic carbon (SOC) stored in these ecosystems. Moreover, most research has focused on SOC dynamics of saltmarshes, while brackish and freshwater marshes are often even more productive and thus receive even larger organic carbon inputs. Therefore, in this study the OC dynamics of tidal marsh soils along an estuarine gradient are studied in order to contribute to our knowledge of 1) the stocks, 2) the controlling factors and 3) the fate of SOC in tidal marshes with different environmental characteristics. This research thus contributes to a better understanding of the potential of coastal environments to store organic carbon under future climatic changes. Soil and vegetation samples are collected in tidal salt-, brackish- and freshwater marshes in the Scheldt estuary (Belgium - The Netherlands). At each tidal marsh, three replicate soil cores up to 1.5m depth in 0.03m increments are collected at locations with both a low and a high elevation. These cores are analyzed for OC, stable C and N isotopes, bulk density and texture. Incubation experiments of topsoil samples were conducted and both aboveground and belowground biomass were collected. The results show that SOC stocks (range: 13,5 - 35,4 kg OC m-2), standing biomass (range: 2000 - 7930 g DW m-2) and potential soil respiration of CO2 (range: 0,03 - 0,12 % per unit OC per day) decrease with increasing salinity. This shows that both the amount of OC from local macrophytes and the quality of the organic matter are important factors controlling the SOC stocks. In addition, based on the analysis of stable C and N isotopes, it appears that when a significant fraction of SOC is derived from local macrophytes, higher SOC stocks are

  20. Effect of tree species on carbon stocks in forest floor and mineral soil and implications for soil carbon inventories

    NARCIS (Netherlands)

    Schulp, C.J.E.; Nabuurs, G.J.; Verburg, P.H.; Waal, de R.W.

    2008-01-01

    Forest soil organic carbon (SOC) and forest floor carbon (FFC) stocks are highly variable. The sampling effort required to assess SOC and FFC stocks is therefore large, resulting in limited sampling and poor estimates of the size, spatial distribution, and changes in SOC and FFC stocks in many

  1. Modeling soil organic carbon stocks and changes in Spain using the GEFSOC system

    Science.gov (United States)

    Álvaro-Fuentes, Jorge; Easter, Mark; Cantero-Martínez, Carlos; Paustian, Keith

    2010-05-01

    Currently, there is little information about soil organic carbon (SOC) stocks in Spain. To date the effects of land-use and soil management on SOC stocks in Spain have been evaluated in experimental fields under certain soil and climate conditions. However, these field experiments do not account for the spatial variability in management, cropping systems and soil and climate characteristics that exist in the whole territory. More realistic approaches like ecosystem-level dynamic simulation systems linked to geographic information systems (GIS) allow better assessments of SOC stocks at a regional or national level. The Global Environmental Facility Soil Organic Carbon (GEFSOC) system was recently built for this purpose (Milne et al., 2007) and it incorporates three widely used models for estimating SOC dynamics: (a) the Century ecosystem model; (b) the RothC soil C decomposition model; and (c) the Intergovernmental Panel on Climate Change (IPCC) method for assessing soil C at regional scales. We modeled 9.5 Mha in northeast Spain using the GEFSOC system to predict SOC stocks and changes comprising: pasture, forest, cereal-fallow, cereal monoculture, orchards, rice, irrigated land and grapes and olives. The spatial distribution of the different land use categories and their change over time was obtained from the European Corine database and from Spanish census data on land use from 1926 to 2007. At the same time, current and historical management information was collected from different sources in order to have a fairly well picture of changes in land use and management for this area. Soil parameters needed by the system were obtained from the European soil map (1 km x 1 km) and climate data was produced by the Meteorology State Agency (Ministry of the Environment and Rural and Marine Environs of Spain). The SOC stocks simulated were validated with SOC values from the European SOC map and from other national studies. Modeled SOC results suggested that spatial

  2. Sampling for Soil Carbon Stock Assessment in Rocky Agricultural Soils

    Science.gov (United States)

    Beem-Miller, Jeffrey P.; Kong, Angela Y. Y.; Ogle, Stephen; Wolfe, David

    2016-01-01

    Coring methods commonly employed in soil organic C (SOC) stock assessment may not accurately capture soil rock fragment (RF) content or soil bulk density (rho (sub b)) in rocky agricultural soils, potentially biasing SOC stock estimates. Quantitative pits are considered less biased than coring methods but are invasive and often cost-prohibitive. We compared fixed-depth and mass-based estimates of SOC stocks (0.3-meters depth) for hammer, hydraulic push, and rotary coring methods relative to quantitative pits at four agricultural sites ranging in RF content from less than 0.01 to 0.24 cubic meters per cubic meter. Sampling costs were also compared. Coring methods significantly underestimated RF content at all rocky sites, but significant differences (p is less than 0.05) in SOC stocks between pits and corers were only found with the hammer method using the fixed-depth approach at the less than 0.01 cubic meters per cubic meter RF site (pit, 5.80 kilograms C per square meter; hammer, 4.74 kilograms C per square meter) and at the 0.14 cubic meters per cubic meter RF site (pit, 8.81 kilograms C per square meter; hammer, 6.71 kilograms C per square meter). The hammer corer also underestimated rho (sub b) at all sites as did the hydraulic push corer at the 0.21 cubic meters per cubic meter RF site. No significant differences in mass-based SOC stock estimates were observed between pits and corers. Our results indicate that (i) calculating SOC stocks on a mass basis can overcome biases in RF and rho (sub b) estimates introduced by sampling equipment and (ii) a quantitative pit is the optimal sampling method for establishing reference soil masses, followed by rotary and then hydraulic push corers.

  3. Spatial variability and response of soil organic carbon stocks to land abandonment and erosion in mountainous drylands (Invited)

    Science.gov (United States)

    De Baets, S. L.; Meersmans, J.; Vanacker, V.; Quine, T. A.; van oost, K.

    2013-12-01

    This research focuses on understanding the impact of human activities on C dynamics in a mountainous and semi-arid environment. Despite the low C status of drylands, soil organic carbon (SOC) is the largest C pool in these systems and hence possess a large restoration capacity. Still, regional estimates of SOC stocks and insights in their determining factors are lacking. This study therefore aims 1) to interpret the variability of soil organic carbon in relation to key soil, topographical and land use variables and 2) to quantify the effects of land regeneration following abandonment on SOC stocks. Soil profiles were taken in the Sierra de los Filabres (SE Spain) in different land units along geomorphic and degradation gradients. SOC contents were modelled using recovery period, soil and topographical variables. Sample depth, topographical position, altitude, recovery period and stone content are identified as the main factors for predicting SOC concentrations. SOC stocks in 1 m depth of soil vary between 3.16 and 76.44 t ha-1. Recovery period (years since abandonment), topographical position and altitude were used to predict and map SOC stocks in the top 0.2 m. The results show that C accumulates fast during the first 10-50 years following abandonment, whereafter the stocks evolve towards a steady state level. The erosion zones in the study area demonstrate a higher potential to increase their SOC stocks when abandoned. Deposition zones have higher SOC stocks, although their C accumulation rate is lower compared to erosion dominated landscapes in the first 10-50 years following abandonment. Therefore, full understanding of the C sequestration potential of land use change in areas of complex topography requires knowledge of spatial variability in soil properties and in particular SOC.

  4. Changes in soil organic carbon and total nitrogen in croplands converted to walnut-based agroforestry systems and orchards in southeastern Loess Plateau of China.

    Science.gov (United States)

    Lu, Sen; Meng, Ping; Zhang, Jinsong; Yin, Changjun; Sun, Shiyou

    2015-11-01

    Limited information is available on the effects of agroforestry system practices on soil properties in the Loess Plateau of China. Over the last decade, a vegetation restoration project has been conducted in this area by converting cropland into tree-based agroforestry systems and orchards to combat soil erosion and degradation. The objective of the present study was to determine the effects of land use conversion on soil organic carbon and total nitrogen in southeastern Loess Plateau. The experiment included three treatments: walnut intercropping system (AF), walnut orchard (WO), and traditional cropland (CR). After 7 years of continual management, soil samples were collected at 0-10, 10-30, and 30-50-cm depths for three treatments, and soil organic carbon (SOC) and total nitrogen (TN) were measured. Results showed that compared with the CR and AF treatments, WO treatment decreased both SOC and TN concentrations in the 0-50-cm soil profile. However, similar patterns of SOC and TN concentrations were observed in the AF and CR treatments across the entire profile. The SOC stocks at 0-50-cm depth were 5.42, 5.52, and 4.67 kg m(-2) for CR, AF, and WO treatments, respectively. The calculated TN stocks at 0-50-cm depth were 0.63, 0.62, and 0.57 kg m(-2) for CR, AF, and WO treatments, respectively. This result demonstrated that the stocks of SOC and TN in WO were clearly lower than those of AF and CR and that the walnut-based agroforestry system was more beneficial than walnut monoculture in terms of SOC and TN sequestration. Owing to the short-term intercropping practice, the changes in SOC and TN stocks were slight in AF compared with those in CR. However, a significant decrease in SOC and TN stocks was observed during the conversion of cropland to walnut orchard after 7 years of management. We also found that land use types had no significant effect on soil C/N ratio. These findings demonstrated that intercropping between walnut rows can potentially maintain

  5. Effects of adjacent land-use types on the distribution of soil organic carbon stocks in the montane area of central Taiwan.

    Science.gov (United States)

    Chen, Chiou-Pin; Juang, Kai-Wei; Cheng, Chih-Hsin; Pai, Chuang-Wen

    2016-12-01

    Soil organic carbon (SOC) stocks can be altered through reforestation and cropping. We estimated the effects of land use on SOC stocks after natural deciduous forests replaced by crops and coniferous plantations by examining the vertical distribution of SOC stocks at different depth intervals in an adjacent Oolong tea (Camellia sinensis L.) plantation, Moso bamboo (Phyllostachys pubescens) forest, Japanese cedar (Cryptomeria japonica) forest, and Taiwania (Taiwania cryptomerioides) forest in central Taiwan. The main soil characteristics, soil nitrogen (N) content, and soil carbon to nitrogen (C/N) ratio were also determined. Different land uses resulted in significantly higher bulk density, lower cation exchange capacity, SOC, soil N, soil C/N ratio, and SOC stocks in croplands compared to forestlands. Due to the long-term application of chemical fertilizers, a significantly lower soil pH was found in the tea plantation. Croplands had a lower soil C/N ratio because of less C input into the soil and a higher mineralization rate of organic carbon during cultivation. Similar SOC stocks were found in Taiwania and Japanese cedar forests (148.5 and 151.8 Mg C ha -1 , respectively), while the tea plantation had comparable SOC stocks to the bamboo forest (101.8 and 100.5 Mg C ha -1 , respectively). Over 40% of SOC stocks was stored in croplands and over 56% was stored in forestland within the upper 10 cm of soil. Coniferous plantations can contribute to a higher SOC stock than croplands, and a significant difference can be found in the top 0-5 cm of soil.

  6. Modeling soil organic carbon with Quantile Regression: Dissecting predictors' effects on carbon stocks

    KAUST Repository

    Lombardo, Luigi

    2017-08-13

    Soil Organic Carbon (SOC) estimation is crucial to manage both natural and anthropic ecosystems and has recently been put under the magnifying glass after the Paris agreement 2016 due to its relationship with greenhouse gas. Statistical applications have dominated the SOC stock mapping at regional scale so far. However, the community has hardly ever attempted to implement Quantile Regression (QR) to spatially predict the SOC distribution. In this contribution, we test QR to estimate SOC stock (0-30 $cm$ depth) in the agricultural areas of a highly variable semi-arid region (Sicily, Italy, around 25,000 $km2$) by using topographic and remotely sensed predictors. We also compare the results with those from available SOC stock measurement. The QR models produced robust performances and allowed to recognize dominant effects among the predictors with respect to the considered quantile. This information, currently lacking, suggests that QR can discern predictor influences on SOC stock at specific sub-domains of each predictors. In this work, the predictive map generated at the median shows lower errors than those of the Joint Research Centre and International Soil Reference, and Information Centre benchmarks. The results suggest the use of QR as a comprehensive and effective method to map SOC using legacy data in agro-ecosystems. The R code scripted in this study for QR is included.

  7. Influence of different tree-harvesting intensities on forest soil carbon stocks in boreal and northern temperate forest ecosystems

    DEFF Research Database (Denmark)

    Clarke, Nicholas; Gundersen, Per; Jönsson-Belyazid, Ulrika

    2015-01-01

    ) stocks. This paper reviews the findings in the scientific literature concerning the effects of harvesting of different intensities on SOC stocks and fluxes in boreal and northern temperate forest ecosystems to evaluate the evidence for significant SOC losses following biomass removal. An overview...... on SOC stocks in boreal and northern temperate forest ecosystems, which is in any case species-, site- and practice-specific. Properly conducted long-term experiments are therefore necessary to enable us to clarify the relative importance of different harvesting practices on the SOC stores, the key...

  8. Changes in Biomass Carbon and Soil Organic Carbon Stocks following the Conversion from a Secondary Coniferous Forest to a Pine Plantation.

    Directory of Open Access Journals (Sweden)

    Shuaifeng Li

    Full Text Available The objectives of this study were to estimate changes of tree carbon (C and soil organic carbon (SOC stock following a conversion in land use, an issue that has been only insufficiently addressed. For this study, we examined a chronosequence of 2 to 54-year-old Pinus kesiya var. langbianensis plantations that replaced the original secondary coniferous forest (SCF in Southwest China due to clearing. C stocks considered here consisted of tree, understory, litter, and SOC (0-1 m. The results showed that tree C stocks ranged from 0.02±0.001 Mg C ha-1 to 141.43±5.29 Mg C ha-1, and increased gradually with the stand age. Accumulation of tree C stocks occurred in 20 years after reforestaion and C stock level recoverd to SCF. The maximum of understory C stock was found in a 5-year-old stand (6.74±0.7 Mg C ha-1 with 5.8 times that of SCF, thereafter, understory C stock decreased with the growth of plantation. Litter C stock had no difference excluding effects of prescribed burning. Tree C stock exhibited a significant decline in the 2, 5-year-old stand following the conversion to plantation, but later, increased until a steady state-level in the 20, 26-year-old stand. The SOC stocks ranged from 81.08±10.13 Mg C ha-1 to 160.38±17.96 Mg C ha-1. Reforestation significantly decreased SOC stocks of plantation in the 2-year-old stand which lost 42.29 Mg C ha-1 in the 1 m soil depth compared with SCF by reason of soil disturbance from sites preparation, but then subsequently recovered to SCF level. SOC stocks of SCF had no significant difference with other plantation. The surface profile (0-0.1 m contained s higher SOC stocks than deeper soil depth. C stock associated with tree biomass represented a higher proportion than SOC stocks as stand development proceeded.

  9. Urban soils as hotspots of anthropogenic carbon accumulation: Review of stocks, mechanisms and factors

    Science.gov (United States)

    Vasenev, Viacheslav; Kuzyakov, Yakov

    2017-04-01

    Urban soils and cultural layers accumulate carbon (C) over centuries and consequently large C stocks are sequestered below the cities. These C stocks as well as the full range of processes and mechanisms leading to high C accumulation in urban soils remain unknown. We collected data on organic (SOC), inorganic (SOC) and black (pyrogenic) (BC) C content in urban and natural soils from 100 papers based on Scopus and Web-of-Knowledge databases. The yielded database includes 770 values on SOC, SIC and BC stocks from 118 cities worldwide. The collected data were analyzed considering the effects of climatic conditions and urban-specific factors: city size, age and functional zoning. For the whole range of climatic conditions, the C contents in urban soils were 1.5-3 times higher than in respective natural soils. This higher C content and much deeper C accumulation in urban soils resulted in 3 to 5 times higher C stocks compared to natural soils. Urban SOC stocks were positively correlated with latitude, whereas SIC stocks were less affected by climate. The city size and age were the main factors controlling intra-city variability of C stocks with higher stocks in small cities compared to megapolises and in medieval compared to new cities. The inter-city variability of C stocks was dominated by functional zoning: large SOC and N stocks in residential areas and large SIC and BC stocks in industrial zones and roadsides were similar for all climates and for cities of different size and age. Substantial stocks of SOC, SIC and N were sequestered for long-term in the subsoils and cultural layers of the sealed soils, which underline the importance of these 'hidden' stocks for C assessments. Typical and specific for urban soils is that the anthropogenic factor overshadows the other five factors of soil formation. Substantial C stocks in urban soils and cultural layers result from specific mechanisms of C accumulation in cities: i) large and long-term C inputs from outside the

  10. Rationally Managed Pastures Stock More Carbon than No-Tillage Fields

    Directory of Open Access Journals (Sweden)

    Hizumi L. S. Seó

    2017-12-01

    Full Text Available A significant share of Greenhouse Gases (GHG produced from agriculture comes from cattle farming. The reduction in GHG emissions from ruminants fed with grains has led some researchers to recommend such a diet as a means of mitigating emissions in the sector. A more accurate balance of emissions, however, must include the carbon (C stocked by feed crops. Within the grain production system, no-tillage (NT cultivation systems have a greater capacity to increase and store soil organic carbon (SOC. Within grazing management systems, the rotation used in Voisin's Rational Grazing (VRG allows the accumulation of SOC through root growth. The objective of this study was to assess the C stock of pasture under VRG and compare soil C stock between VRG pasture and fields under no-tillage management, in two seasons over a period of 1 year. The study included five dairy farms in Santa Catarina State, Brazil. In each property, we collected soil to quantify SOC from VRG pasture and NT fields, in summer and winter. In the pasture, to determine the total stock, we also collected samples from the aerial parts of plants and the roots. Further, we estimated how efficient would be producing milk from those pastures or from those crops. The VRG pasture showed a greater capacity to stock C in the soil than the no-tillage fields (VRG = 115.0 Mg C ha−1; NT = 92.5 Mg C ha−1; p < 0.00009, with the greatest difference at a depth of 0–10 cm (VRG = 41 Mg C ha−1; NT = 32 Mg C ha−1; p < 0.00008. In VRG, 95% of C was in the soil, 1% in the aerial part of plants, and 4% in the roots. On pasture was produced 0.15 kg of milk.kg−1 of C stored, and on NT system 0.13 kg of milk.kg−1 of C stored. In this study, we conclude that independent of season, the soil in well managed pastures had a greater stock of C, produced more milk and produced more milk.kg−1 of stored C than fields under NT management. Therefore, when comparing GHG emissions of ruminants with different

  11. A new detailed map of total phosphorus stocks in Australian soil.

    Science.gov (United States)

    Viscarra Rossel, Raphael A; Bui, Elisabeth N

    2016-01-15

    Accurate data are needed to effectively monitor environmental condition, and develop sound policies to plan for the future. Globally, current estimates of soil total phosphorus (P) stocks are very uncertain because they are derived from sparse data, with large gaps over many areas of the Earth. Here, we derive spatially explicit estimates, and their uncertainty, of the distribution and stock of total P in Australian soil. Data from several sources were harmonized to produce the most comprehensive inventory of total P in soil of the continent. They were used to produce fine spatial resolution continental maps of total P in six depth layers by combining the bootstrap, a decision tree with piecewise regression on environmental variables and geostatistical modelling of residuals. Values of percent total P were predicted at the nodes of a 3-arcsecond (approximately 90 m) grid and mapped together with their uncertainties. We combined these predictions with those for bulk density and mapped the total soil P stock in the 0-30 cm layer over the whole of Australia. The average amount of P in Australian topsoil is estimated to be 0.98 t ha(-1) with 90% confidence limits of 0.2 and 4.2 t ha(-1). The total stock of P in the 0-30 cm layer of soil for the continent is 0.91 Gt with 90% confidence limits of 0.19 and 3.9 Gt. The estimates are the most reliable approximation of the stock of total P in Australian soil to date. They could help improve ecological models, guide the formulation of policy around food and water security, biodiversity and conservation, inform future sampling for inventory, guide the design of monitoring networks, and provide a benchmark against which to assess the impact of changes in land cover, land use and management and climate on soil P stocks and water quality in Australia. Crown Copyright © 2015. Published by Elsevier B.V. All rights reserved.

  12. Comparison of regression coefficient and GIS-based methodologies for regional estimates of forest soil carbon stocks

    International Nuclear Information System (INIS)

    Elliott Campbell, J.; Moen, Jeremie C.; Ney, Richard A.; Schnoor, Jerald L.

    2008-01-01

    Estimates of forest soil organic carbon (SOC) have applications in carbon science, soil quality studies, carbon sequestration technologies, and carbon trading. Forest SOC has been modeled using a regression coefficient methodology that applies mean SOC densities (mass/area) to broad forest regions. A higher resolution model is based on an approach that employs a geographic information system (GIS) with soil databases and satellite-derived landcover images. Despite this advancement, the regression approach remains the basis of current state and federal level greenhouse gas inventories. Both approaches are analyzed in detail for Wisconsin forest soils from 1983 to 2001, applying rigorous error-fixing algorithms to soil databases. Resulting SOC stock estimates are 20% larger when determined using the GIS method rather than the regression approach. Average annual rates of increase in SOC stocks are 3.6 and 1.0 million metric tons of carbon per year for the GIS and regression approaches respectively. - Large differences in estimates of soil organic carbon stocks and annual changes in stocks for Wisconsin forestlands indicate a need for validation from forthcoming forest surveys

  13. Simulation of salinity effects on past, present, and future soil organic carbon stocks.

    Science.gov (United States)

    Setia, Raj; Smith, Pete; Marschner, Petra; Gottschalk, Pia; Baldock, Jeff; Verma, Vipan; Setia, Deepika; Smith, Jo

    2012-02-07

    Soil organic carbon (SOC) models are used to predict changes in SOC stocks and carbon dioxide (CO(2)) emissions from soils, and have been successfully validated for non-saline soils. However, SOC models have not been developed to simulate SOC turnover in saline soils. Due to the large extent of salt-affected areas in the world, it is important to correctly predict SOC dynamics in salt-affected soils. To close this knowledge gap, we modified the Rothamsted Carbon Model (RothC) to simulate SOC turnover in salt-affected soils, using data from non-salt-affected and salt-affected soils in two agricultural regions in India (120 soils) and in Australia (160 soils). Recently we developed a decomposition rate modifier based on an incubation study of a subset of these soils. In the present study, we introduce a new method to estimate the past losses of SOC due to salinity and show how salinity affects future SOC stocks on a regional scale. Because salinity decreases decomposition rates, simulations using the decomposition rate modifier for salinity suggest an accumulation of SOC. However, if the plant inputs are also adjusted to reflect reduced plant growth under saline conditions, the simulations show a significant loss of soil carbon in the past due to salinization, with a higher average loss of SOC in Australian soils (55 t C ha(-1)) than in Indian soils (31 t C ha(-1)). There was a significant negative correlation (p < 0.05) between SOC loss and osmotic potential. Simulations of future SOC stocks with the decomposition rate modifier and the plant input modifier indicate a greater decrease in SOC in saline than in non-saline soils under future climate. The simulations of past losses of SOC due to salinity were repeated using either measured charcoal-C or the inert organic matter predicted by the Falloon et al. equation to determine how much deviation from the Falloon et al. equation affects the amount of plant inputs generated by the model for the soils used in this study

  14. Modelling the effect of agricultural management practices on soil organic carbon stocks: does soil erosion matter?

    Science.gov (United States)

    Nadeu, Elisabet; Van Wesemael, Bas; Van Oost, Kristof

    2014-05-01

    Over the last decades, an increasing number of studies have been conducted to assess the effect of soil management practices on soil organic carbon (SOC) stocks. At regional scales, biogeochemical models such as CENTURY or Roth-C have been commonly applied. These models simulate SOC dynamics at the profile level (point basis) over long temporal scales but do not consider the continuous lateral transfer of sediment that takes place along geomorphic toposequences. As a consequence, the impact of soil redistribution on carbon fluxes is very seldom taken into account when evaluating changes in SOC stocks due to agricultural management practices on the short and long-term. To address this gap, we assessed the role of soil erosion by water and tillage on SOC stocks under different agricultural management practices in the Walloon region of Belgium. The SPEROS-C model was run for a 100-year period combining three typical crop rotations (using winter wheat, winter barley, sugar beet and maize) with three tillage scenarios (conventional tillage, reduced tillage and reduced tillage in combination with additional crop residues). The results showed that including soil erosion by water in the simulations led to a general decrease in SOC stocks relative to a baseline scenario (where no erosion took place). The SOC lost from these arable soils was mainly exported to adjacent sites and to the river system by lateral fluxes, with magnitudes differing between crop rotations and in all cases lower under conservation tillage practices than under conventional tillage. Although tillage erosion plays an important role in carbon redistribution within fields, lateral fluxes induced by water erosion led to a higher spatial and in-depth heterogeneity of SOC stocks with potential effects on the soil water holding capacity and crop yields. This indicates that studies assessing the effect of agricultural management practices on SOC stocks and other soil properties over the landscape should

  15. Methylation profiling of SOCS1, SOCS2, SOCS3, CISH and SHP1 in Philadelphia-negative myeloproliferative neoplasm.

    Science.gov (United States)

    Zhang, Min Yue; Fung, Tsz Kin; Chen, Fang Yuan; Chim, Chor Sang

    2013-10-01

    Janus kinase-signal transducer and activator of transcription (JAK/STAT) signalling, pivotal in Philadelphia-negative (Ph-ve) myeloproliferative neoplasm (MPN), is negatively regulated by molecules including SOCSs, CISH and SHP1. SOCS1, SOCS2 and SOCS3 methylation have been studied in MPN with discordant results. Herein, we studied the methylation status of SOCS1, SOCS2 and SOCS3, CISH and SHP1 by methylation-specific polymerase chain reaction (MSP) in cell lines and 45 diagnostic marrow samples of Ph-ve MPN. Moreover, we attempted to explain the discordance of methylation frequency by mapping the studied MSP primers to the respective genes. Methylation was detected in normal controls using SOCS2 MSP primers in the 3'translated exonic sequence, but not primers around the transcription start site in the 5' untranslated regions (5'UTR). SOCS1, SOCS2, SOCS3 and CISH were completely unmethylated in primary MPN samples and cell lines. In contrast, methylation of SHP1 was detected in 8.9% primary marrow samples. Moreover, SHP1 was completely methylated in K562 cell line, leading to reversible SHP1 silencing. A review of methylation studies of SOCS1 and SOCS3 showed that spuriously high rates of SOCS methylation had been reported using MSP primers targeting CpG sites in the 3'translated exonic sequence, which is also methylated in normal controls. However, using MSP primers localized to the 5'UTR, methylation of SOCS1, SOCS2 and SOCS3 is infrequent across all studies. In summary, methylation of SOCS1, SOCS2, SOCS3 and CISH is infrequent in Ph-ve MPN. Appropriate MSP primers are important for accurate estimation of the methylation frequency. The role of SHP1 methylation in the pathogenesis of MPN warrants further investigation. © 2013 The Authors. Journal of Cellular and Molecular Medicine published by John Wiley & Sons Ltd and Foundation for Cellular and Molecular Medicine.

  16. Altitudinal variation of soil organic carbon stocks in temperate forests of Kashmir Himalayas, India.

    Science.gov (United States)

    Ahmad Dar, Javid; Somaiah, Sundarapandian

    2015-02-01

    Soil organic carbon stocks were measured at three depths (0-10, 10-20, and 20-30 cm) in seven altitudes dominated by different forest types viz. Populus deltoides, 1550-1800 m; Juglans regia, 1800-2000 m; Cedrus deodara, 2050-2300 m; Pinus wallichiana, 2000-2300 m; mixed type, 2200-2400 m; Abies pindrow, 2300-2800 m; and Betula utilis, 2800-3200 m in temperate mountains of Kashmir Himalayas. The mean range of soil organic carbon (SOC) stocks varied from 39.07 to 91.39 Mg C ha(-1) in J. regia and B. utilis forests at 0-30 cm depth, respectively. Among the forest types, the lowest mean range of SOC at three depths (0-10, 10-20, and 20-30 cm) was observed in J. regia (18.55, 11.31, and 8.91 Mg C ha(-1), respectively) forest type, and the highest was observed in B. utilis (54.10, 21.68, and 15.60 Mg C ha(-1), respectively) forest type. SOC stocks showed significantly (R (2) = 0.67, P = 0.001) an increasing trend with increase in altitude. On average, the percentages of SOC at 0-10-, 10-20-, and 20-30-cm depths were 53.2, 26.5, and 20.3 %, respectively. Bulk density increased significantly with increase in soil depth and decreased with increase in altitude. Our results suggest that SOC stocks in temperate forests of Kashmir Himalaya vary greatly with forest type and altitude. The present study reveals that SOC stocks increased with increase in altitude at high mountainous regions. Climate change in these high mountainous regions will alter the carbon sequestration potential, which would affect the global carbon cycle.

  17. IGF-1 induces SOCS-2 but not SOCS-1 and SOCS-3 transcription in juvenile Nile tilapia (Oreochromis niloticus).

    Science.gov (United States)

    Liu, Cai-Zhi; Luo, Yuan; Limbu, Samwel Mchele; Chen, Li-Qiao; Du, Zhen-Yu

    2018-05-20

    Insulin-like growth factor-1 (IGF-1) plays a crucial role in regulating growth in vertebrates whereas suppressors of cytokine signaling (SOCS) act as feedback inhibitors of the GH/IGF-1 axis. Although SOCS-2 binds the IGF-1 receptor and inhibits IGF-1-induced STAT3 activation, presently there is no clear evidence as to whether IGF-1 could induce SOCS gene expression. The current study aimed to determine whether IGF-1 could induce the transcription of SOCS in juvenile Nile tilapia ( Oreochromis niloticus ). We show that there is a common positive relationship between the mRNA expression of IGF-I and SOCS-2 under different nutritional statuses and stimulants, but not the mRNA expression of SOCS-1 and SOCS-3 Furthermore, rhIGF-1 treatment and transcriptional activity assay confirmed the hypothesis that IGF-1 could induce SOCS-2 expression, whereas it had no effect or even decreased the expression of SOCS-1 and SOCS-3 Overall, we obtained evidence that the transcription of SOCS-2, but not SOCS-1 or SOCS-3, could be induced by IGF signaling, suggesting that SOCS-2 serves as a feedback suppressor of the IGF-1 axis in juvenile Nile tilapia. © 2018. Published by The Company of Biologists Ltd.

  18. Sources of errors and uncertainties in the assessment of forest soil carbon stocks at different scales

    DEFF Research Database (Denmark)

    Vanguelova, E. I.; Bonifacio, E.; De Vos, B.

    2016-01-01

    temporal changes and spatial differences in SOC. This requires sufficiently detailed data to predict SOC stocks at appropriate scales within the required accuracy so that only significant changes are accounted for. When designing sampling campaigns, taking into account factors influencing SOC spatial...... and temporal distribution (such as soil type, topography, climate and vegetation) are needed to optimise sampling depths and numbers of samples, thereby ensuring that samples accurately reflect the distribution of SOC at a site. Furthermore, the appropriate scales related to the research question need...... to be defined: profile, plot, forests, catchment, national or wider. Scaling up SOC stocks from point sample to landscape unit is challenging, and thus requires reliable baseline data. Knowledge of the associated uncertainties related to SOC measures at each particular scale and how to reduce them is crucial...

  19. Modeling global mangrove soil carbon stocks: filling the gaps in coastal environments

    Science.gov (United States)

    Rovai, A.; Twilley, R.

    2017-12-01

    We provide an overview of contemporaneous global mangrove soil organic carbon (SOC) estimates, focusing on a framework to explain disproportionate differences among observed data as a way to improve global estimates. This framework is based on a former conceptual model, the coastal environmental setting, in contrast to the more popular latitude-based hypotheses largely believed to explain hemispheric variation in mangrove ecosystem properties. To demonstrate how local and regional estimates of SOC linked to coastal environmental settings can render more realistic global mangrove SOC extrapolations we combined published and unpublished data, yielding a total of 106 studies, reporting on 552 sites from 43 countries. These sites were classified into distinct coastal environmental setting types according to two concurrent worldwide typology of nearshore coastal systems classifications. Mangrove SOC density varied substantially across coastal environmental settings, ranging from 14.9 ± 0.8 in river dominated (deltaic) soils to 53.9 ± 1.6 mg cm-3 (mean ± SE) in karstic coastlines. Our findings reveal striking differences between published values and contemporary global mangrove SOC extrapolation based on country-level mean reference values, particularly for karstic-dominated coastlines where mangrove SOC stocks have been underestimated by up to 50%. Correspondingly, climate-based global estimates predicted lower mangrove SOC density values (32-41 mg C cm-3) for mangroves in karstic environments, differing from published (21-126 mg C cm-3) and unpublished (47-58 mg C cm-3) values. Moreover, climate-based projections yielded higher SOC density values (27-70 mg C cm-3) for river-dominated mangroves compared to lower ranges reported in the literature (11-24 mg C cm-3). We argue that this inconsistent reporting of SOC stock estimates between river-dominated and karstic coastal environmental settings is likely due to the omission of geomorphological and geophysical

  20. Estimating Soil Organic Carbon stocks and uncertainties for the National inventory Report - a study case in Southern Belgium

    Science.gov (United States)

    Chartin, Caroline; Stevens, Antoine; Kruger, Inken; Esther, Goidts; Carnol, Monique; van Wesemael, Bas

    2016-04-01

    As many other countries, Belgium complies with Annex I of the United Nations Framework Convention on Climate Change (UNFCCC). Belgium thus reports its annual greenhouse gas emissions in its national inventory report (NIR), with a distinction between emissions/sequestration in cropland and grassland (EU decision 529/2013). The CO2 fluxes are then based on changes in SOC stocks computed for each of these two types of landuse. These stocks are specified for each of the agricultural regions which correspond to areas with similar agricultural practices (rotations and/or livestock) and yield potentials. For Southern Belgium (Wallonia) consisting of ten agricultural regions, the Soil Monitoring Network (SMN) 'CARBOSOL' has been developed this last decade to survey the state of agricultural soils by quantifying SOC stocks and their evolution in a reasonable number of locations complying with the time and funds allocated. Unfortunately, the 592 points of the CARBOSOL network do not allow a representative and a sound estimation of SOC stocks and its uncertainties for the 20 possible combinations of land use/agricultural regions. Moreover, the SMN CARBIOSOL is based on a legacy database following a convenience scheme sampling strategy rather than a statistical scheme defined by design-based or model-based strategies. Here, we aim to both quantify SOC budgets (i.e., How much?) and spatialize SOC stocks (i.e., Where?) at regional scale (Southern Belgium) based on data from the SMN described above. To this end, we developed a computation procedure based on Digital Soil Mapping techniques and stochastic simulations (Monte-Carlo) allowing the estimation of multiple (10,000) independent spatialized datasets. This procedure accounts for the uncertainties associated to estimations of both i) SOC stock at the pixelscale and ii) parameters of the models. Based on these 10,000 individual realizations of the spatial model, mean SOC stocks and confidence intervals can be then computed at

  1. Underestimation of soil carbon stocks by Yasso07, Q, and CENTURY models in boreal forest linked to overlooking site fertility

    Science.gov (United States)

    Ťupek, Boris; Ortiz, Carina; Hashimoto, Shoji; Stendahl, Johan; Dahlgren, Jonas; Karltun, Erik; Lehtonen, Aleksi

    2016-04-01

    The soil organic carbon stock (SOC) changes estimated by the most process based soil carbon models (e.g. Yasso07, Q and CENTURY), needed for reporting of changes in soil carbon amounts for the United Nations Framework Convention on Climate Change (UNFCCC) and for mitigation of anthropogenic CO2 emissions by soil carbon management, can be biased if in a large mosaic of environments the models are missing a key factor driving SOC sequestration. To our knowledge soil nutrient status as a missing driver of these models was not tested in previous studies. Although, it's known that models fail to reconstruct the spatial variation and that soil nutrient status drives the ecosystem carbon use efficiency and soil carbon sequestration. We evaluated SOC stock estimates of Yasso07, Q and CENTURY process based models against the field data from Swedish Forest Soil National Inventories (3230 samples) organized by recursive partitioning method (RPART) into distinct soil groups with underlying SOC stock development linked to physicochemical conditions. These models worked for most soils with approximately average SOC stocks, but could not reproduce higher measured SOC stocks in our application. The Yasso07 and Q models that used only climate and litterfall input data and ignored soil properties generally agreed with two third of measurements. However, in comparison with measurements grouped according to the gradient of soil nutrient status we found that the models underestimated for the Swedish boreal forest soils with higher site fertility. Accounting for soil texture (clay, silt, and sand content) and structure (bulk density) in CENTURY model showed no improvement on carbon stock estimates, as CENTURY deviated in similar manner. We highlighted the mechanisms why models deviate from the measurements and the ways of considering soil nutrient status in further model development. Our analysis suggested that the models indeed lack other predominat drivers of SOC stabilization

  2. Matching soil grid unit resolutions with polygon unit scales for DNDC modelling of regional SOC pool

    Science.gov (United States)

    Zhang, H. D.; Yu, D. S.; Ni, Y. L.; Zhang, L. M.; Shi, X. Z.

    2015-03-01

    Matching soil grid unit resolution with polygon unit map scale is important to minimize uncertainty of regional soil organic carbon (SOC) pool simulation as their strong influences on the uncertainty. A series of soil grid units at varying cell sizes were derived from soil polygon units at the six map scales of 1:50 000 (C5), 1:200 000 (D2), 1:500 000 (P5), 1:1 000 000 (N1), 1:4 000 000 (N4) and 1:14 000 000 (N14), respectively, in the Tai lake region of China. Both format soil units were used for regional SOC pool simulation with DeNitrification-DeComposition (DNDC) process-based model, which runs span the time period 1982 to 2000 at the six map scales, respectively. Four indices, soil type number (STN) and area (AREA), average SOC density (ASOCD) and total SOC stocks (SOCS) of surface paddy soils simulated with the DNDC, were attributed from all these soil polygon and grid units, respectively. Subjecting to the four index values (IV) from the parent polygon units, the variation of an index value (VIV, %) from the grid units was used to assess its dataset accuracy and redundancy, which reflects uncertainty in the simulation of SOC. Optimal soil grid unit resolutions were generated and suggested for the DNDC simulation of regional SOC pool, matching with soil polygon units map scales, respectively. With the optimal raster resolution the soil grid units dataset can hold the same accuracy as its parent polygon units dataset without any redundancy, when VIV indices was assumed as criteria to the assessment. An quadratic curve regression model y = -8.0 × 10-6x2 + 0.228x + 0.211 (R2 = 0.9994, p < 0.05) was revealed, which describes the relationship between optimal soil grid unit resolution (y, km) and soil polygon unit map scale (1:x). The knowledge may serve for grid partitioning of regions focused on the investigation and simulation of SOC pool dynamics at certain map scale.

  3. Estimating temporal changes in soil carbon stocks at ecoregional scale in Madagascar using remote-sensing

    Science.gov (United States)

    Grinand, C.; Maire, G. Le; Vieilledent, G.; Razakamanarivo, H.; Razafimbelo, T.; Bernoux, M.

    2017-02-01

    Soil organic carbon (SOC) plays an important role in climate change regulation notably through release of CO2 following land use change such a deforestation, but data on stock change levels are lacking. This study aims to empirically assess SOC stocks change between 1991 and 2011 at the landscape scale using easy-to-access spatially-explicit environmental factors. The study area was located in southeast Madagascar, in a region that exhibits very high rate of deforestation and which is characterized by both humid and dry climates. We estimated SOC stock on 0.1 ha plots for 95 different locations in a 43,000 ha reference area covering both dry and humid conditions and representing different land cover including natural forest, cropland, pasture and fallows. We used the Random Forest algorithm to find out the environmental factors explaining the spatial distribution of SOC. We then predicted SOC stocks for two soil layers at 30 cm and 100 cm over a wider area of 395,000 ha. By changing the soil and vegetation indices derived from remote sensing images we were able to produce SOC maps for 1991 and 2011. Those estimates and their related uncertainties where combined in a post-processing step to map estimates of significant SOC variations and we finally compared the SOC change map with published deforestation maps. Results show that the geologic variables, precipitation, temperature, and soil-vegetation status were strong predictors of SOC distribution at regional scale. We estimated an average net loss of 10.7% and 5.2% for the 30 cm and the 100 cm layers respectively for deforested areas in the humid area. Our results also suggest that these losses occur within the first five years following deforestation. No significant variations were observed for the dry region. This study provides new solutions and knowledge for a better integration of soil threats and opportunities in land management policies.

  4. Modelling the topsoil carbon stock of agricultural lands with the Stochastic Gradient Treeboost in a semi-arid Mediterranean region

    KAUST Repository

    Schillaci, Calogero

    2016-10-29

    Efficient modelling methods to assess soil organic carbon (SOC) stocks have a pivotal importance as inputs for global carbon cycle studies and decision-making processes. However, laboratory analyses of SOC field samples are costly and time consuming. Global-scale estimates of SOC were recently made according to categorical variables, including land use and soil texture. Remote sensing (RS) data can contribute to the better modelling of the spatial distribution of SOC stock at a regional scale. In the present study, we used Stochastic Gradient Treeboost (SGT) to estimate the topsoil (0–30 cm) SOC stock of a Mediterranean semiarid area (Sicily, Italy, 25,286 km2). In particular, our study examined agricultural lands, which represent approximately 64% of the entire region. An extensive soil dataset (2202 samples, 1 profile/7.31 km2 on average) was acquired from the soil database of Sicily. The georeferenced field observations were intersected with remotely sensed environmental data and other spatial data, including climatic data from WORLDCLIM, land cover from CORINE, soil texture, topography and derived indices. Finally, the SGT was compared to published global estimates (GSOC) and data from the International Soil Reference and Information Centre (ISRIC) Soil Grids by comparing the pseudo-regressions of the SGT, GSOC and ISRIC with soil observations. The mean SOC stock across the entire region that was estimated by GSOC and ISRIC was 3.9% lower and 46.2% higher compared to the SGT. The SGT efficiently predicted SOC stocks that were < 70 t ha− 1 (corresponding to the 90th percentile of the observed values). On average, the coefficient of variation of the SGT model was 3.6% when computed on the whole dataset and remained lower than 23% when computed on a distribution basis. The SGT mean absolute error was 14.84 t ha− 1, 18.4% and 36.3% lower than GSOC and ISRIC, respectively. The mean annual rainfall, soil texture, land use, mean annual temperature and Landsat 7

  5. Modelling the topsoil carbon stock of agricultural lands with the Stochastic Gradient Treeboost in a semi-arid Mediterranean region

    KAUST Repository

    Schillaci, Calogero; Lombardo, Luigi; Saia, Sergio; Fantappiè , Maria; Mä rker, Michael; Acutis, Marco

    2016-01-01

    Efficient modelling methods to assess soil organic carbon (SOC) stocks have a pivotal importance as inputs for global carbon cycle studies and decision-making processes. However, laboratory analyses of SOC field samples are costly and time consuming. Global-scale estimates of SOC were recently made according to categorical variables, including land use and soil texture. Remote sensing (RS) data can contribute to the better modelling of the spatial distribution of SOC stock at a regional scale. In the present study, we used Stochastic Gradient Treeboost (SGT) to estimate the topsoil (0–30 cm) SOC stock of a Mediterranean semiarid area (Sicily, Italy, 25,286 km2). In particular, our study examined agricultural lands, which represent approximately 64% of the entire region. An extensive soil dataset (2202 samples, 1 profile/7.31 km2 on average) was acquired from the soil database of Sicily. The georeferenced field observations were intersected with remotely sensed environmental data and other spatial data, including climatic data from WORLDCLIM, land cover from CORINE, soil texture, topography and derived indices. Finally, the SGT was compared to published global estimates (GSOC) and data from the International Soil Reference and Information Centre (ISRIC) Soil Grids by comparing the pseudo-regressions of the SGT, GSOC and ISRIC with soil observations. The mean SOC stock across the entire region that was estimated by GSOC and ISRIC was 3.9% lower and 46.2% higher compared to the SGT. The SGT efficiently predicted SOC stocks that were < 70 t ha− 1 (corresponding to the 90th percentile of the observed values). On average, the coefficient of variation of the SGT model was 3.6% when computed on the whole dataset and remained lower than 23% when computed on a distribution basis. The SGT mean absolute error was 14.84 t ha− 1, 18.4% and 36.3% lower than GSOC and ISRIC, respectively. The mean annual rainfall, soil texture, land use, mean annual temperature and Landsat 7

  6. Differences on soil organic carbon stock estimation according to sampling type in Mediterranean areas

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz

    2016-04-01

    Soil organic carbon (SOC) is an important part of the global carbon (C) cycle. In addition, SOC is a soil property subject to changes and highly variable in space and time. Consequently, the scientific community is researching the fate of the organic carbon in the ecosystems. In this line, soil organic matter configuration plays an important role in the Soil System (Parras-Alcántara and Lozano García, 2014). Internationally it is known that soil C sequestration is a strategy to mitigate climate change. In this sense, many soil researchers have studied this parameter (SOC). However, many of these studies were carried out arbitrarily using entire soil profiles (ESP) by pedogenetic horizons or soil control sections (SCS) (edaphic controls to different thickness). As a result, the indiscriminate use of both methodologies implies differences with respect to SOC stock (SOCS) quantification. This scenario has been indicated and warned for different researchers (Parras-Alcántara et al., 2015a; Parras-Alcántara et al., 2015b). This research sought to analyze the SOC stock (SOCS) variability using both methods (ESP and SCS) in the Cardeña and Montoro Natural Park (Spain). This nature reserve is a forested area with 385 km2 in southern Spain. Thirty-seven sampling points were selected in the study zone. Each sampling point was analyzed in two different ways, as ESP (by horizons) and as SCS with different depth increments (0-25, 25-50, 50-75 and 75-100 cm). The major goal of this research was to study the SOCS variability at regional scale. The studied soils were classified as Phaeozems, Cambisols, Regosols and Leptosols. The results obtained show an overestimation of SOCS when SCS sampling approach is used compared to ESP. This supports that methodology selection is very important to SOCS quantification. This research is an assessment for modeling SOCS at the regional level in Mediterranean natural areas. References Parras-Alcántara, L., Lozano-García, B., 2014

  7. Assessment of Soil Organic Carbon Stock of Temperate Coniferous Forests in Northern Kashmir

    Directory of Open Access Journals (Sweden)

    Davood A. Dar

    2015-02-01

    Full Text Available  Soil organic carbon (SOC estimation in temperate forests of the Himalaya is important to estimate their contribution to regional, national and global carbon stocks. Physico chemical properties of soil were quantified to assess soil organic carbon density (SOC and SOC CO2 mitigation density at two soil depths (0-10 and 10-20 cms under temperate forest in the Northern region of Kashmir Himalayas India. The results indicate that conductance, moisture content, organic carbon and organic matter were significantly higher while as pH and bulk density were lower at Gulmarg forest site. SOC % was ranging from 2.31± 0.96 at Gulmarg meadow site to 2.31 ± 0.26 in Gulmarg forest site. SOC stocks in these temperate forests were from 36.39 ±15.40 to 50.09 ± 15.51 Mg C ha-1. The present study reveals that natural vegetation is the main contributor of soil quality as it maintained the soil organic carbon stock. In addition, organic matter is an important indicator of soil quality and environmental parameters such as soil moisture and soil biological activity change soil carbon sequestration potential in temperate forest ecosystems.DOI: http://dx.doi.org/10.3126/ije.v4i1.12186International Journal of Environment Volume-4, Issue-1, Dec-Feb 2014/15; page: 161-178

  8. Improving the Spatial Prediction of Soil Organic Carbon Stocks in a Complex Tropical Mountain Landscape by Methodological Specifications in Machine Learning Approaches.

    Science.gov (United States)

    Ließ, Mareike; Schmidt, Johannes; Glaser, Bruno

    2016-01-01

    Tropical forests are significant carbon sinks and their soils' carbon storage potential is immense. However, little is known about the soil organic carbon (SOC) stocks of tropical mountain areas whose complex soil-landscape and difficult accessibility pose a challenge to spatial analysis. The choice of methodology for spatial prediction is of high importance to improve the expected poor model results in case of low predictor-response correlations. Four aspects were considered to improve model performance in predicting SOC stocks of the organic layer of a tropical mountain forest landscape: Different spatial predictor settings, predictor selection strategies, various machine learning algorithms and model tuning. Five machine learning algorithms: random forests, artificial neural networks, multivariate adaptive regression splines, boosted regression trees and support vector machines were trained and tuned to predict SOC stocks from predictors derived from a digital elevation model and satellite image. Topographical predictors were calculated with a GIS search radius of 45 to 615 m. Finally, three predictor selection strategies were applied to the total set of 236 predictors. All machine learning algorithms-including the model tuning and predictor selection-were compared via five repetitions of a tenfold cross-validation. The boosted regression tree algorithm resulted in the overall best model. SOC stocks ranged between 0.2 to 17.7 kg m-2, displaying a huge variability with diffuse insolation and curvatures of different scale guiding the spatial pattern. Predictor selection and model tuning improved the models' predictive performance in all five machine learning algorithms. The rather low number of selected predictors favours forward compared to backward selection procedures. Choosing predictors due to their indiviual performance was vanquished by the two procedures which accounted for predictor interaction.

  9. High resolution mapping of soil organic carbon stocks using remote sensing variables in the semi-arid rangelands of eastern Australia.

    Science.gov (United States)

    Wang, Bin; Waters, Cathy; Orgill, Susan; Gray, Jonathan; Cowie, Annette; Clark, Anthony; Liu, De Li

    2018-07-15

    Efficient and effective modelling methods to assess soil organic carbon (SOC) stock are central in understanding the global carbon cycle and informing related land management decisions. However, mapping SOC stocks in semi-arid rangelands is challenging due to the lack of data and poor spatial coverage. The use of remote sensing data to provide an indirect measurement of SOC to inform digital soil mapping has the potential to provide more reliable and cost-effective estimates of SOC compared with field-based, direct measurement. Despite this potential, the role of remote sensing data in improving the knowledge of soil information in semi-arid rangelands has not been fully explored. This study firstly investigated the use of high spatial resolution satellite data (seasonal fractional cover data; SFC) together with elevation, lithology, climatic data and observed soil data to map the spatial distribution of SOC at two soil depths (0-5cm and 0-30cm) in semi-arid rangelands of eastern Australia. Overall, model performance statistics showed that random forest (RF) and boosted regression trees (BRT) models performed better than support vector machine (SVM). The models obtained moderate results with R 2 of 0.32 for SOC stock at 0-5cm and 0.44 at 0-30cm, RMSE of 3.51MgCha -1 at 0-5cm and 9.16MgCha -1 at 0-30cm without considering SFC covariates. In contrast, by including SFC, the model accuracy for predicting SOC stock improved by 7.4-12.7% at 0-5cm, and by 2.8-5.9% at 0-30cm, highlighting the importance of including SFC to enhance the performance of the three modelling techniques. Furthermore, our models produced a more accurate and higher resolution digital SOC stock map compared with other available mapping products for the region. The data and high-resolution maps from this study can be used for future soil carbon assessment and monitoring. Copyright © 2018 Elsevier B.V. All rights reserved.

  10. ORCHIDEE-SOM: modeling soil organic carbon (SOC) and dissolved organic carbon (DOC) dynamics along vertical soil profiles in Europe

    Science.gov (United States)

    Camino-Serrano, Marta; Guenet, Bertrand; Luyssaert, Sebastiaan; Ciais, Philippe; Bastrikov, Vladislav; De Vos, Bruno; Gielen, Bert; Gleixner, Gerd; Jornet-Puig, Albert; Kaiser, Klaus; Kothawala, Dolly; Lauerwald, Ronny; Peñuelas, Josep; Schrumpf, Marion; Vicca, Sara; Vuichard, Nicolas; Walmsley, David; Janssens, Ivan A.

    2018-03-01

    Current land surface models (LSMs) typically represent soils in a very simplistic way, assuming soil organic carbon (SOC) as a bulk, and thus impeding a correct representation of deep soil carbon dynamics. Moreover, LSMs generally neglect the production and export of dissolved organic carbon (DOC) from soils to rivers, leading to overestimations of the potential carbon sequestration on land. This common oversimplified processing of SOC in LSMs is partly responsible for the large uncertainty in the predictions of the soil carbon response to climate change. In this study, we present a new soil carbon module called ORCHIDEE-SOM, embedded within the land surface model ORCHIDEE, which is able to reproduce the DOC and SOC dynamics in a vertically discretized soil to 2 m. The model includes processes of biological production and consumption of SOC and DOC, DOC adsorption on and desorption from soil minerals, diffusion of SOC and DOC, and DOC transport with water through and out of the soils to rivers. We evaluated ORCHIDEE-SOM against observations of DOC concentrations and SOC stocks from four European sites with different vegetation covers: a coniferous forest, a deciduous forest, a grassland, and a cropland. The model was able to reproduce the SOC stocks along their vertical profiles at the four sites and the DOC concentrations within the range of measurements, with the exception of the DOC concentrations in the upper soil horizon at the coniferous forest. However, the model was not able to fully capture the temporal dynamics of DOC concentrations. Further model improvements should focus on a plant- and depth-dependent parameterization of the new input model parameters, such as the turnover times of DOC and the microbial carbon use efficiency. We suggest that this new soil module, when parameterized for global simulations, will improve the representation of the global carbon cycle in LSMs, thus helping to constrain the predictions of the future SOC response to global

  11. Improving the Spatial Prediction of Soil Organic Carbon Stocks in a Complex Tropical Mountain Landscape by Methodological Specifications in Machine Learning Approaches.

    Directory of Open Access Journals (Sweden)

    Mareike Ließ

    Full Text Available Tropical forests are significant carbon sinks and their soils' carbon storage potential is immense. However, little is known about the soil organic carbon (SOC stocks of tropical mountain areas whose complex soil-landscape and difficult accessibility pose a challenge to spatial analysis. The choice of methodology for spatial prediction is of high importance to improve the expected poor model results in case of low predictor-response correlations. Four aspects were considered to improve model performance in predicting SOC stocks of the organic layer of a tropical mountain forest landscape: Different spatial predictor settings, predictor selection strategies, various machine learning algorithms and model tuning. Five machine learning algorithms: random forests, artificial neural networks, multivariate adaptive regression splines, boosted regression trees and support vector machines were trained and tuned to predict SOC stocks from predictors derived from a digital elevation model and satellite image. Topographical predictors were calculated with a GIS search radius of 45 to 615 m. Finally, three predictor selection strategies were applied to the total set of 236 predictors. All machine learning algorithms-including the model tuning and predictor selection-were compared via five repetitions of a tenfold cross-validation. The boosted regression tree algorithm resulted in the overall best model. SOC stocks ranged between 0.2 to 17.7 kg m-2, displaying a huge variability with diffuse insolation and curvatures of different scale guiding the spatial pattern. Predictor selection and model tuning improved the models' predictive performance in all five machine learning algorithms. The rather low number of selected predictors favours forward compared to backward selection procedures. Choosing predictors due to their indiviual performance was vanquished by the two procedures which accounted for predictor interaction.

  12. Organic Carbon Stocks, Dynamics and Restoration in Relation to Soils of Agroecosystems in Ethiopia: A Review

    Directory of Open Access Journals (Sweden)

    Getaneh Gebeyehu

    2017-02-01

    Full Text Available Soils represent the largest carbon pool and play important roles for carbon storage for prolonged periods in agroecosystems. A number of studies were conducted to quantify soil organic carbon (SOC worldwide. The objective of this review was to evaluate organic carbon stocks, dynamics and restoration in soils of agroecosystems in Ethiopia. Soil data from 32 different observations, representing four different agroecosystems, were analysed. The mean SOC stocks in the four agroecosystems varied and ranged from 25.66 (sub-humid agroecosystem to 113.17 (humid mid-highland agroecosystems Mg C ha-1 up to one meter depth. The trend of mean SOC followed (in descending order: humid mid-highland (113.17 Mg C ha-1 > per-humid highland (57.14 Mg C ha-1 > semi-arid (25.77 Mg C ha-1 > sub-humid (25.66 Mg C ha-1. Compared with soils of tropical countries, those in Ethiopian agroecosystems contained low SOC storage potential. This might be associated with differences in measurement and analysis methods as 53.1% of the studies employed the Walkley-Black Method, which is known to underestimate carbon stocks in addition to ecological and management effects. However, shifts of land management from rain-fed to irrigation farming systems exhibited progress in the improvement of mean SOC storage potential. The analyses showed that farming systems involving irrigation sequestered more carbon than rain-fed farm systems. The mean SOC in the various agricultural land uses followed the following trend (in descending order: agroforestry (153.57 Mg C ha-1 > grazing land (34.61 Mg C ha-1 > cereal cultivation (24.18 Mg C ha-1. Therefore, the possible solutions for improvement of organic carbon stocks would be implementation of appropriate restoration strategies based on agroecosystems.INTERNATIONAL JOURNAL OF ENVIRONMENT Volume-6, Issue-1, Dec-Feb 2016/17, page: 1-22 

  13. The effect of long-term changes in plant inputs on soil carbon stocks

    Science.gov (United States)

    Georgiou, K.; Li, Z.; Torn, M. S.

    2017-12-01

    Soil organic carbon (SOC) is the largest actively-cycling terrestrial reservoir of C and an integral component of thriving natural and managed ecosystems. C input interventions (e.g., litter removal or organic amendments) are common in managed landscapes and present an important decision for maintaining healthy soils in sustainable agriculture and forestry. Furthermore, climate and land-cover change can also affect the amount of plant C inputs that enter the soil through changes in plant productivity, allocation, and rooting depth. Yet, the processes that dictate the response of SOC to such changes in C inputs are poorly understood and inadequately represented in predictive models. Long-term litter manipulations are an invaluable resource for exploring key controls of SOC storage and validating model representations. Here we explore the response of SOC to long-term changes in plant C inputs across a range of biomes and soil types. We synthesize and analyze data from long-term litter manipulation field experiments, and focus our meta-analysis on changes to total SOC stocks, microbial biomass carbon, and mineral-associated (`protected') carbon pools and explore the relative contribution of above- versus below-ground C inputs. Our cross-site data comparison reveals that divergent SOC responses are observed between forest sites, particularly for treatments that increase C inputs to the soil. We explore trends among key variables (e.g., microbial biomass to SOC ratios) that inform soil C model representations. The assembled dataset is an important benchmark for evaluating process-based hypotheses and validating divergent model formulations.

  14. Altitudinal variation in soil organic carbon stock in coniferous subtropical and broadleaf temperate forests in Garhwal Himalaya

    Directory of Open Access Journals (Sweden)

    Kumar Munesh

    2009-08-01

    Full Text Available Abstract Background The Himalayan zones, with dense forest vegetation, cover a fifth part of India and store a third part of the country reserves of soil organic carbon (SOC. However, the details of altitudinal distribution of these carbon stocks, which are vulnerable to forest management and climate change impacts, are not well known. Results This article reports the results of measuring the stocks of SOC along altitudinal gradients. The study was carried out in the coniferous subtropical and broadleaf temperate forests of Garhwal Himalaya. The stocks of SOC were found to be decreasing with altitude: from 185.6 to 160.8 t C ha-1 and from 141.6 to 124.8 t C ha-1 in temperature (Quercus leucotrichophora and subtropical (Pinus roxburghii forests, respectively. Conclusion The results of this study lead to conclusion that the ability of soil to stabilize soil organic matter depends negatively on altitude and call for comprehensive theoretical explanation

  15. Evaluation of approaches focused on modelling of organic carbon stocks using the RothC model

    Science.gov (United States)

    Koco, Štefan; Skalský, Rastislav; Makovníková, Jarmila; Tarasovičová, Zuzana; Barančíková, Gabriela

    2014-05-01

    SOC stock, groups of plant residues inputs, groups of farmyard manure inputs), we created 661 simulation groups. Within the group, for all simulation units we used average values of inputs. Export of input data and modelling has been carried out manually in the graphic environment of RothC 26.3 v2.0 application for each group separately. SOC stocks were modeled for 661 groups of simulation units. For the second possibility we used RothC 26.3 version for DOS. The inputs for modelling were exported using VBA scripts in the environment of MS Access program. Equilibrium modelling for more variations of plant residues inputs was performed. Subsequently we selected the nearest value of total pool size to the real initial SOC stock value. All simulation units (1617) were automatically modeled by means of the predefined Batch File. The comparison of two methods of modelling showed spatial differentiation of results mainly with the increasing time of modelling period. In the time sequence, from initial period we mark the increasing the number of simulation units with differences in SOC stocks according to selected approaches. Observed differences suggest that the results of modelling obtained by inputs generalization should be taken into account with a certain degree of reserve. At large scales simulations it is more appropriate to use the DOS version of RothC 26.3 model which allows automated modelling. This reduces the time needed for model operation, without the necessity to look for the possibilities of minimizing the simulated units. Key words Soil organic carbon stock, modelling, RothC 26.3, agricultural soils, Slovakia Acknowledgements This work was supported by the Slovak Research and Development Agency under the contract No. APVV-0580-10 and APVV-0131-11.

  16. Assessment of soil organic carbon stocks under future climate and land cover changes in Europe.

    Science.gov (United States)

    Yigini, Yusuf; Panagos, Panos

    2016-07-01

    Soil organic carbon plays an important role in the carbon cycling of terrestrial ecosystems, variations in soil organic carbon stocks are very important for the ecosystem. In this study, a geostatistical model was used for predicting current and future soil organic carbon (SOC) stocks in Europe. The first phase of the study predicts current soil organic carbon content by using stepwise multiple linear regression and ordinary kriging and the second phase of the study projects the soil organic carbon to the near future (2050) by using a set of environmental predictors. We demonstrate here an approach to predict present and future soil organic carbon stocks by using climate, land cover, terrain and soil data and their projections. The covariates were selected for their role in the carbon cycle and their availability for the future model. The regression-kriging as a base model is predicting current SOC stocks in Europe by using a set of covariates and dense SOC measurements coming from LUCAS Soil Database. The base model delivers coefficients for each of the covariates to the future model. The overall model produced soil organic carbon maps which reflect the present and the future predictions (2050) based on climate and land cover projections. The data of the present climate conditions (long-term average (1950-2000)) and the future projections for 2050 were obtained from WorldClim data portal. The future climate projections are the recent climate projections mentioned in the Fifth Assessment IPCC report. These projections were extracted from the global climate models (GCMs) for four representative concentration pathways (RCPs). The results suggest an overall increase in SOC stocks by 2050 in Europe (EU26) under all climate and land cover scenarios, but the extent of the increase varies between the climate model and emissions scenarios. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  17. Wildfire effects on C stocks in mountain soils

    Science.gov (United States)

    Menéndez-Duarte, R.; Fernández, S.; Santin, C.; Gaspar, L.; Navas, A.

    2012-04-01

    Wildfire is the main perturbation agent in mountain soils of the Cantabrian Range (NW of Spain). Fire affects soil organic carbon (SOC) quality and quantity, both directly (e.g. combustion of organic matter and pyrogenic carbon production) and indirectly (e.g. increase of soil erosion and change of the vegetation cover). After fire, the organic fraction of the soil is expected to be enriched with charred compounds (black carbon, biochar or pyrogenic carbon-PyC). PyC mainly contributes to the recalcitrant C pool and therefore to the medium- and long-term C sequestration in soils. Moreover, recurrent fires in these Atlantic mountain ecosystems cause the conversion of the vegetation cover from forest to heathland, altering C transfer from biomass to soil. On the other hand, in this steep terrain, fire enhances soil erosion by creeping and therefore soil loss and the consequent loss of SOC. Thus, a basic but fundamental question arises: which is the net variation of SOC stocks in these mountain soils due to wildfires? To answer this, soils were sampled in a typical quartzite steep mountain in the Somiedo Natural Park (NW of Spain): i) a transect in the South hillside, prone to fires and with an intense fire history, where the vegetation cover is mostly heather and gorse; and ii) a transect in the North hillside, less affected by fire and with a well preserved vegetation cover (beech and oak forest). Samples of the surface soil (0-5 cm) and the whole soil profile were taken and, bulk density and SOC content were determined. On average fire-affected soils in the South transect have a lower soil depth (12.0 cm) and lower bulk density (0.5 g/cm3) than the North transect soils (17.6 cm depth and 1.0 g/cm3 bulk density) but they have also SOC concentrations six times higher than their unburned counterparts (147.5 and 22.8 mg C/g soil, respectively). When considering SOC stocks, differences are not as pronounced but, even so, fire affected soils content twice as much SOC (7

  18. Mapping SOC (Soil Organic Carbon) using LiDAR-derived vegetation indices in a random forest regression model

    Science.gov (United States)

    Will, R. M.; Glenn, N. F.; Benner, S. G.; Pierce, J. L.; Spaete, L.; Li, A.

    2015-12-01

    Quantifying SOC (Soil Organic Carbon) storage in complex terrain is challenging due to high spatial variability. Generally, the challenge is met by transforming point data to the entire landscape using surrogate, spatially-distributed, variables like elevation or precipitation. In many ecosystems, remotely sensed information on above-ground vegetation (e.g. NDVI) is a good predictor of below-ground carbon stocks. In this project, we are attempting to improve this predictive method by incorporating LiDAR-derived vegetation indices. LiDAR provides a mechanism for improved characterization of aboveground vegetation by providing structural parameters such as vegetation height and biomass. In this study, a random forest model is used to predict SOC using a suite of LiDAR-derived vegetation indices as predictor variables. The Reynolds Creek Experimental Watershed (RCEW) is an ideal location for a study of this type since it encompasses a strong elevation/precipitation gradient that supports lower biomass sagebrush ecosystems at low elevations and forests with more biomass at higher elevations. Sagebrush ecosystems composed of Wyoming, Low and Mountain Sagebrush have SOC values ranging from .4 to 1% (top 30 cm), while higher biomass ecosystems composed of aspen, juniper and fir have SOC values approaching 4% (top 30 cm). Large differences in SOC have been observed between canopy and interspace locations and high resolution vegetation information is likely to explain plot scale variability in SOC. Mapping of the SOC reservoir will help identify underlying controls on SOC distribution and provide insight into which processes are most important in determining SOC in semi-arid mountainous regions. In addition, airborne LiDAR has the potential to characterize vegetation communities at a high resolution and could be a tool for improving estimates of SOC at larger scales.

  19. Increased soil organic carbon stocks under agroforestry: A survey of six different sites in France

    Science.gov (United States)

    Cardinael, Rémi; Chevallier, Tiphaine; Cambou, Aurélie; Beral, Camille; Barthes, Bernard; Dupraz, Christian; Kouakoua, Ernest; Chenu, Claire

    2017-04-01

    Introduction: Agroforestry systems are land use management systems in which trees are grown in combination with crops or pasture in the same field. In silvoarable systems, trees are intercropped with arable crops, and in silvopastoral systems trees are combined with pasture for livestock. These systems may produce forage and timber as well as providing ecosystem services such as climate change mitigation. Carbon (C) is stored in the aboveground and belowground biomass of the trees, and the transfer of organic matter from the trees to the soil can increase soil organic carbon (SOC) stocks. Few studies have assessed the impact of agroforestry systems on carbon storage in soils in temperate climates, as most have been undertaken in tropical regions. Methods: This study assessed five silvoarable systems and one silvopastoral system in France. All sites had an agroforestry system with an adjacent, purely agricultural control plot. The land use management in the inter-rows in the agroforestry systems and in the control plots were identical. The age of the study sites ranged from 6 to 41 years after tree planting. Depending on the type of soil, the sampling depth ranged from 20 to 100 cm and SOC stocks were assessed using equivalent soil masses. The aboveground biomass of the trees was also measured at all sites. Results: In the silvoarable systems, the mean organic carbon stock accumulation rate in the soil was 0.24 (0.09-0.46) Mg C ha-1 yr-1 at a depth of 30 cm and 0.65 (0.004-1.85) Mg C ha-1 yr-1 in the tree biomass. Increased SOC stocks were also found in deeper soil layers at two silvoarable sites. Young plantations stored additional SOC but mainly in the soil under the rows of trees, possibly as a result of the herbaceous vegetation growing in the rows. At the silvopastoral site, the SOC stock was significantly greater at a depth of 30-50 cm than in the control. Overall, this study showed the potential of agroforestry systems to store C in both soil and biomass in

  20. Using 137Cs to quantify the redistribution of soil organic carbon and total N affected by intensive soil erosion in the headwaters of the Yangtze River, China

    International Nuclear Information System (INIS)

    Wei Guoxiao; Wang Yibo; Wang Yanlin

    2008-01-01

    Characteristics of soil organic carbon (SOC) and total nitrogen (total N) are important for determining the overall quality of soils. Studies on spatial and temporal variation in SOC and total N are of great importance because of global environmental concerns. Soil erosion is one of the major processes affecting the redistribution of SOC and total N in the test fields. To characterize the distribution and dynamics of SOC and N in the intensively eroded soil of the headwaters of the Yangtze River, China, we measured profiles of soil organic C, total N stocks, and 137 Cs in a control plot and a treatment plot. The amounts of SOC, 137 Cs of sampling soil profiles increased in the following order, lower>middle>upper portions on the control plot, and the amounts of total N of sampling soil profile increase in the following order: upper>middle>lower on the control plot. Intensive soil erosion resulted in a significant decrease of SOC amounts by 34.9%, 28.3% and 52.6% for 0-30 cm soil layer at upper, middle and lower portions and 137 Cs inventory decreased by 68%, 11% and 85% at upper, middle and lower portions, respectively. On the treatment plot total N decreased by 50.2% and 14.6% at the upper and middle portions and increased by 48.9% at the lower portion. Coefficients of variation (CVs) of SOC decreased by 31%, 37% and 30% in the upper, middle and lower slope portions, respectively. Similar to the variational trend of SOC, CVs of 137 Cs decreased by 19.2%, 0.5% and 36.5%; and total N decreased by 45.7%, 65.1% and 19% in the upper, middle and lower slope portions, respectively. The results showed that 137 Cs, SOC and total N moved on the sloping land almost in the same physical mechanism during the soil erosion procedure, indicating that fallout of 137 Cs could be used directly for quantifying dynamic SOC and total N redistribution as the soil was affected by intensive soil erosion

  1. Using (137)Cs to quantify the redistribution of soil organic carbon and total N affected by intensive soil erosion in the headwaters of the Yangtze River, China.

    Science.gov (United States)

    Guoxiao, Wei; Yibo, Wang; Yan Lin, Wang

    2008-12-01

    Characteristics of soil organic carbon (SOC) and total nitrogen (total N) are important for determining the overall quality of soils. Studies on spatial and temporal variation in SOC and total N are of great importance because of global environmental concerns. Soil erosion is one of the major processes affecting the redistribution of SOC and total N in the test fields. To characterize the distribution and dynamics of SOC and N in the intensively eroded soil of the headwaters of the Yangtze River, China, we measured profiles of soil organic C, total N stocks, and (137)Cs in a control plot and a treatment plot. The amounts of SOC, (137)Cs of sampling soil profiles increased in the following order, lower>middle>upper portions on the control plot, and the amounts of total N of sampling soil profile increase in the following order: upper>middle>lower on the control plot. Intensive soil erosion resulted in a significant decrease of SOC amounts by 34.9%, 28.3% and 52.6% for 0-30cm soil layer at upper, middle and lower portions and (137)Cs inventory decreased by 68%, 11% and 85% at upper, middle and lower portions, respectively. On the treatment plot total N decreased by 50.2% and 14.6% at the upper and middle portions and increased by 48.9% at the lower portion. Coefficients of variation (CVs) of SOC decreased by 31%, 37% and 30% in the upper, middle and lower slope portions, respectively. Similar to the variational trend of SOC, CVs of (137)Cs decreased by 19.2%, 0.5% and 36.5%; and total N decreased by 45.7%, 65.1% and 19% in the upper, middle and lower slope portions, respectively. The results showed that (137)Cs, SOC and total N moved on the sloping land almost in the same physical mechanism during the soil erosion procedure, indicating that fallout of (137)Cs could be used directly for quantifying dynamic SOC and total N redistribution as the soil was affected by intensive soil erosion.

  2. Assessing soil carbon stocks under pastures through orbital remote sensing

    Directory of Open Access Journals (Sweden)

    Gabor Gyula Julius Szakács

    2011-10-01

    Full Text Available The growing demand of world food and energy supply increases the threat of global warming due to higher greenhouse gas emissions by agricultural activity. Therefore, it is widely admitted that agriculture must establish a new paradigm in terms of environmental sustainability that incorporate techniques for mitigation of greenhouse gas emissions. This article addresses to the scientific demand to estimate in a fast and inexpensive manner current and potential soil organic carbon (SOC stocks in degraded pastures, using remote sensing techniques. Four pastures on sandy soils under Brazilian Cerrado vegetation in São Paulo state were chosen due to their SOC sequestration potential, which was characterized for the soil depth 0-50 cm. Subsequently, a linear regression analysis was performed between SOC and Leaf Area Index (LAI measured in the field (LAIfield and derived by satellite (LAIsatellite as well as SOC and pasture reflectance in six spectra from 450 nm - 2350 nm, using the Enhanced Thematic Mapper (ETM+ sensor of satellite Landsat 7. A high correlation between SOC and LAIfield (R² = 0.9804 and LAIsatellite (R² = 0.9812 was verified. The suitability of satellite derived LAI for SOC determination leads to the assumption, that orbital remote sensing is a very promising SOC estimation technique from regional to global scale.

  3. A comparison of soil organic carbon stock in ancient and modern land use systems in Denmark

    DEFF Research Database (Denmark)

    Breuning-Madsen, Henrik; Elberling, Bo; Balstrøm, Thomas

    2009-01-01

    . A comparison of the organic matter content in these mound cores and the plough layer in modern farmland offers an opportunity to compare the soil organic carbon (SOC) stocks in ancient and modern land use systems and to evaluate the long-term trends in carbon (C) sequestration in relation to modern farmland......During the South Scandinavian Early Bronze Age about 3300 years ago, thousands of burial mounds were constructed of sods from fallow ground used for grazing in Denmark and northern Germany. In some of these mounds a wet, anaerobic core developed, preventing the decomposition of organic matter...... with varying inputs of manure and inorganic fertilizers. In the present paper we compare SOC stocks based on integrated horizon-specific densities and SOC contents in three 3300-year-old buried farmland soils, representing the land use system at that time, with results from soil surveys representing modern...

  4. Carbon stock and turnover in riparian soils under lowland rainforest transformation systems on Sumatra, Indonesia

    Science.gov (United States)

    Hennings, Nina; Kuzyakov, Yakov

    2017-04-01

    In many tropical areas, rainforests are being cleared in order to exploit timber and other forest products as well as plant crops for food, feed and fuel use. The determinants of different patterns of deforestation and the roles of resulting transformation systems of tropical riparian rainforests for ecological functions have yet received little attention in scientific research. Especially C stocks in riparian zones are strongly affected by climate and land use changes that lead to changes in water regime and ground water level drops. We investigated the effects of land transformations in riparian ecosystems of Sumatra, on soil C content, stocks and decomposability at the landscape scale. We compare C losses in transformation systems and rainforests and estimate the contribution of soil erosion and organic matter mineralization. Further, these losses are related to changing water level and temperature increase along increasing distance to the stream. This approach is based on changing δ13C values of SOC in the topsoil as compared to those in subsoil. The shift of δ13C of SOC in the topsoil from the linear regression calculated by δ13C value with log(SOC) in the topsoil represents the modification of the C turnover rate in the top soil. Erosion is estimated by the shift of the δ13C value of SOC in the subsoil under plantations. Further, the δ13C and δ15N soil profiles and their comparison with litter of local vegetation, can be used to estimate the contribution of autochthonous and allochthonous organics to soil C stocks. Preliminary results show strong increase of erosive losses, increased decomposition with land-use transformation and decrease of C stocks with decreasing water table.

  5. Respiratory Syncytial Virus Nonstructural Proteins Upregulate SOCS1 and SOCS3 in the Different Manner from Endogenous IFN Signaling

    Directory of Open Access Journals (Sweden)

    Junwen Zheng

    2015-01-01

    Full Text Available Respiratory syncytial virus (RSV infection upregulates genes of the suppressor of cytokine signaling (SOCS family, which utilize a feedback loop to inhibit type I interferon dependent antiviral signaling pathway. Here, we reconstituted RSV nonstructural (NS protein expression plasmids (pNS1, pNS2, and pNS1/2 and tested whether NS1 or NS2 would trigger SOCS1 and SOCS3 protein expression. These NS proteins inhibited interferon- (IFN- α signaling through a mechanism involving the induction of SOCS1 and SOCS3, which appeared to be different from autocrine IFN dependent. NS1 induced both SOCS1 and SOCS3 upregulation, while NS2 only induced SOCS1 expression. The induced expression of SOCS1 and SOCS3 preceded endogenous IFN-signaling activation and inhibited the IFN-inducible antiviral response as well as chemokine induction. Treatments with INF-α and NS proteins both induced SOCS1 expression; however, they had opposing effects on IFN-α-dependent antiviral gene expression. Our results indicate that NS1 and NS2, which induce the expression of SOCS1 or SOCS3, might represent an independent pathway of stimulating endogenous IFN signaling.

  6. Influence of soil sampling approaches in the evaluation of soil organic carbon stocks under different land uses in a Mediterranean area

    Science.gov (United States)

    Francaviglia, Rosa; Doro, Luca; Ledda, Luigi; Parras-Alcántara, Luis; Lozano-García, Beatriz

    2016-04-01

    (Hc), Pasture (P), Cork oak forest (Cof), former vineyards revegetated by Scrublands (Sfv), Mediterranean Maquis (Mmfv), and Helichrysum meadows (Hmfv). Average total SOCs were 128.0 and 140.6 Mg ha-1with the ESP and SCS approaches respectively if the coarse fraction is not included in the equation, 79.0 and 90.4 Mg ha-1when the coarse fraction is included. This indicates the importance to consider the coarse fraction when estimating SOC stocks, and an overestimation of SOCs when SCS sampling approach is adopted equal to about 16%. References Francaviglia, R., Benedetti, A., Doro, L., Madrau, S., Ledda, S., 2014. Influence of land use on soil quality and stratification ratios under agro-silvo-pastoral Mediterranean management systems. Agriculture, Ecosystems and Environment 183, 86-92. Intergovernmental Panel on Climate Change (IPCC), 2003. In: Penman, J., Gytarsky, M., Hiraishi, T., Krug, T., Kruger, D., Pipatti, R., Buendia, L., Miwa, K., Ngara, T., Tanabe, K., Wagner, F. (Eds.), Good Practice Guidance for Land Use, Land Use Change and Forestry. IPCC/OECD/IEA/IGES, Hayama, Japan. IUSS Working Group WRB, 2006.World reference base for soil resources 2006.World Soil Resources Reports No. 103. FAO, Rome. Muñoz-Rojas, M., Doro, L., Ledda, L., Francaviglia, R., 2015. Application of CarboSOIL model to predict the effects of climate change on soil organic carbon stocks in agro-silvo-pastoral Mediterranean management systems. Agriculture, Ecosystems and Environment 202, 8-16. Parras-Alcántara, L., Lozano-García, B., Brevik, E. C., Cerdá, A., 2015a. Soil organic carbon stocks quanti?cation in Mediterranean natural areas, a trade-off between entire soil pro?les and soil control sections. EGU General Assembly. Geophysical Research Abstracts Vol. 17, 2015-9865. Parras-Alcántara, L., Lozano-García, B., Brevik, E., C., Cerdá, A., 2015b. Soil organic carbon stocks assessment in Mediterranean natural areas: A comparison of entire soil profiles and soil control sections

  7. Selection of key terrain attributes for SOC model

    DEFF Research Database (Denmark)

    Greve, Mogens Humlekrog; Adhikari, Kabindra; Chellasamy, Menaka

    As an important component of the global carbon pool, soil organic carbon (SOC) plays an important role in the global carbon cycle. SOC pool is the basic information to carry out global warming research, and needs to sustainable use of land resources. Digital terrain attributes are often use...... was selected, total 2,514,820 data mining models were constructed by 71 differences grid from 12m to 2304m and 22 attributes, 21 attributes derived by DTM and the original elevation. Relative importance and usage of each attributes in every model were calculated. Comprehensive impact rates of each attribute...

  8. Simulating soil organic carbon stock as affected by land cover change and climate change, Hyrcanian forests (northern Iran).

    Science.gov (United States)

    Soleimani, Azam; Hosseini, Seyed Mohsen; Massah Bavani, Ali Reza; Jafari, Mostafa; Francaviglia, Rosa

    2017-12-01

    Soil organic carbon (SOC) contains a considerable portion of the world's terrestrial carbon stock, and is affected by changes in land cover and climate. SOC modeling is a useful approach to assess the impact of land use, land use change and climate change on carbon (C) sequestration. This study aimed to: (i) test the performance of RothC model using data measured from different land covers in Hyrcanian forests (northern Iran); and (ii) predict changes in SOC under different climate change scenarios that may occur in the future. The following land covers were considered: Quercus castaneifolia (QC), Acer velutinum (AV), Alnus subcordata (AS), Cupressus sempervirens (CS) plantations and a natural forest (NF). For assessment of future climate change projections the Fifth Assessment IPCC report was used. These projections were generated with nine Global Climate Models (GCMs), for two Representative Concentration Pathways (RCPs) leading to very low and high greenhouse gases concentration levels (RCP 2.6 and RCP 8.5 respectively), and for four 20year-periods up to 2099 (2030s, 2050s, 2070s and 2090s). Simulated values of SOC correlated well with measured data (R 2 =0.64 to 0.91) indicating a good efficiency of the RothC model. Our results showed an overall decrease in SOC stocks by 2099 under all land covers and climate change scenarios, but the extent of the decrease varied with the climate models, the emissions scenarios, time periods and land covers. Acer velutinum plantation was the most sensitive land cover to future climate change (range of decrease 8.34-21.83tCha -1 ). Results suggest that modeling techniques can be effectively applied for evaluating SOC stocks, allowing the identification of current patterns in the soil and the prediction of future conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Digital Mapping of Soil Organic Carbon Contents and Stocks in Denmark

    DEFF Research Database (Denmark)

    Adhikari, Kabindra; Hartemink, Alfred E.; Minasny, Budiman

    2014-01-01

    Estimation of carbon contents and stocks are important for carbon sequestration, greenhouse gas emissions and national carbon balance inventories. For Denmark, we modeled the vertical distribution of soil organic carbon (SOC) and bulk density, and mapped its spatial distribution at five standard ...

  10. CT-based quantification of bone stock in large head metal-on-metal unilateral total hip replacements

    NARCIS (Netherlands)

    Boomsma, Martijn F.; Slouwerhof, Inge; van Lingen, Christiaan; Pakvis, Dean F. M.; van Dalen, Jorn A.; Edens, Mireille A.; Ettema, Harmen B.; Verheyen, Cees C. P. M.; Maas, Mario

    2016-01-01

    To explore ipsilateral and contralateral acetabular roof bone stock density in unilateral large head MoM THA whether there is a significant lower acetabular bone stock in the hip with a metal-on-metal (MoM) total hip replacement compared to the contralateral side. Second part of this study is to

  11. Towards complete and harmonized assessment of soil carbon stocks and balance in forests: The ability of the Yasso07 model across a wide gradient of climatic and forest conditions in Europe.

    Science.gov (United States)

    Hernández, Laura; Jandl, Robert; Blujdea, Viorel N B; Lehtonen, Aleksi; Kriiska, Kaie; Alberdi, Iciar; Adermann, Veiko; Cañellas, Isabel; Marin, Gheorghe; Moreno-Fernández, Daniel; Ostonen, Ivika; Varik, Mats; Didion, Markus

    2017-12-01

    Accurate carbon-balance accounting in forest soils is necessary for the development of climate change policy. However, changes in soil organic carbon (SOC) occur slowly and these changes may not be captured through repeated soil inventories. Simulation models may be used as alternatives to SOC measurement. The Yasso07 model presents a suitable alternative because most of the data required for the application are readily available in countries with common forest surveys. In this study, we test the suitability of Yasso07 for simulating SOC stocks and stock changes in a variety of European forests affected by different climatic, land use and forest management conditions and we address country-specific cases with differing resources and data availability. The simulated SOC stocks differed only slightly from measured data, providing realistic, reasonable mean SOC estimations per region or forest type. The change in the soil carbon pool over time, which is the target parameter for SOC reporting, was generally found to be plausible although not in the case of Mediterranean forest soils. As expected under stable forest management conditions, both land cover and climate play major roles in determining the SOC stock in forest soils. Greater mean SOC stocks were observed in northern latitudes (or at higher altitude) than in southern latitudes (or plains) and conifer forests were found to store a notably higher amount of SOC than broadleaf forests. Furthermore, as regards change in SOC, an inter-annual sink effect was identified for most of the European forest types studied. Our findings corroborate the suitability of Yasso07 to assess the impact of forest management and land use change on the SOC balance of forests soils, as well as to accurately simulate SOC in dead organic matter (DOM) and mineral soil pools separately. The obstacles encountered when applying the Yasso07 model reflect a lack of available input data. Future research should focus on improving our knowledge of

  12. Process modeling of a reversible solid oxide cell (r-SOC) energy storage system utilizing commercially available SOC reactor

    International Nuclear Information System (INIS)

    Mottaghizadeh, Pegah; Santhanam, Srikanth; Heddrich, Marc P.; Friedrich, K. Andreas; Rinaldi, Fabio

    2017-01-01

    Highlights: • An electric energy storage system was developed based on a commercially available SOC reactor. • Heat generated in SOFC mode of r-SOC is utilized in SOEC operation of r-SOC using latent heat storage. • A round trip efficiency of 54.3% was reached for the reference system at atmospheric pressure. • An improved process system design achieved a round-trip efficiency of 60.4% at 25 bar. - Abstract: The increase of intermittent renewable energy contribution in power grids has urged us to seek means for temporal decoupling of electricity production and consumption. A reversible solid oxide cell (r-SOC) enables storage of surplus electricity through electrochemical reactions when it is in electrolysis mode. The reserved energy in form of chemical compounds is then converted to electricity when the cell operates as a fuel cell. A process system model was implemented using Aspen Plus® V8.8 based on a commercially available r-SOC reactor experimentally characterized at DLR. In this study a complete self-sustaining system configuration is designed by optimal thermal integration and balance of plant. Under reference conditions a round trip efficiency of 54.3% was achieved. Generated heat in fuel cell mode is exploited by latent heat storage tanks to enable endothermic operation of reactor in its electrolysis mode. In total, out of 100 units of thermal energy stored in heat storage tanks during fuel cell mode, 90% was utilized to offset heat demand of system in its electrolysis mode. Parametric analysis revealed the significance of heat storage tanks in thermal management even when reactor entered its exothermic mode of electrolysis. An improved process system design demonstrates a system round-trip efficiency of 60.4% at 25 bar.

  13. Soil carbon stock change following afforestation in Northern Europe

    DEFF Research Database (Denmark)

    Bárcena, Teresa G; Kiær, Lars Pødenphant; Vesterdal, Lars

    2014-01-01

    of forest age, former land-use, forest type, and soil textural class. Three major improvements were incorporated in the meta-analysis: analysis of major interaction groups, evaluation of the influence of nonindependence between samples according to study design, and mass correction. Former land use......Northern Europe supports large soil organic carbon (SOC) pools and has been subjected to high frequency of land-use changes during the past decades. However, this region has not been well represented in previous large-scale syntheses of land-use change effects on SOC, especially regarding effects...... of afforestation. Therefore, we conducted a meta-analysis of SOC stock change following afforestation in Northern Europe. Response ratios were calculated for forest floors and mineral soils (0–10 cm and 0–20/30 cm layers) based on paired control (former land use) and afforested plots. We analyzed the influence...

  14. Dividend Per Share, Retained Earnings, Book Value And Total Debt On Stock Price: Approximation Valuation Model Dividend Per Share, Retained Earnings, Book Value, dan Total Debt terhadap Harga Saham: Pendekatan Valuation Model.

    OpenAIRE

    khikmah, Khoirul

    2011-01-01

    This study examines to dividend per share, retained earnings, book valueand total debt on stock price: approximation valuation model. Data in this studyare manufacture firms listed on Indonesia Stock Exchange in 2005 – 2008. Linearregression analysis used to analysis this data. Result of regression analysis findsthat dividend per share, retained earnings, book value and total debt on stock pricehave significant effect to stock price. Dividend per share and book value havesignificant effect in...

  15. SOCS proteins in development and disease

    Science.gov (United States)

    Trengove, Monique C; Ward, Alister C

    2013-01-01

    Cytokine and growth factor signaling mediates essential roles in the differentiation, proliferation, survival and function of a number of cell lineages. This is achieved via specific receptors located on the surface of target cells, with ligand binding activating key intracellular signal transduction cascades to mediate the requisite cellular outcome. Effective resolution of receptor signaling is also essential, with excessive signaling having the potential for pathological consequences. The Suppressor of cytokine signaling (SOCS) family of proteins represent one important mechanism to extinguish cytokine and growth factor receptor signaling. There are 8 SOCS proteins in mammals; SOCS1-7 and the alternatively named Cytokine-inducible SH2-containing protein (CISH). SOCS1-3 and CISH are predominantly associated with the regulation of cytokine receptor signaling, while SOCS4-7 are more commonly involved in the control of Receptor tyrosine kinase (RTK) signaling. Individual SOCS proteins are typically induced by specific cytokines and growth factors, thereby generating a negative feedback loop. As a consequence of their regulatory properties, SOCS proteins have important functions in development and homeostasis, with increasing recognition of their role in disease, particularly their tumor suppressor and anti-inflammatory functions. This review provides a synthesis of our current understanding of the SOCS family, with an emphasis on their immune and hematopoietic roles. PMID:23885323

  16. Dynamics of soil carbon stocks due to large-scale land use changes across the former Soviet Union during the 20th century

    Science.gov (United States)

    Kurganova, Irina; Prishchepov, Alexander V.; Schierhorn, Florian; Lopes de Gerenyu, Valentin; Müller, Daniel; Kuzyakov, Yakov

    2016-04-01

    Land use change is a major driver of land-atmosphere carbon (C) fluxes. The largest net C fluxes caused by LUC are attributed to the conversion of native unmanaged ecosystems to croplands and vice versa. Here, we present the changes of soil organic carbon (SOC) stocks in response to large-scale land use changes in the former Soviet Union from 1953-2012. Widespread and rapid conversion of native ecosystems to croplands occurred in the course of the Virgin Lands Campaign (VLC) between 1954 to 1963 in the Soviet Union, when more than 45 million hectares (Mha) were ploughed in south-eastern Russia and northern Kazakhstan in order to expand domestic food production. After 1991, the collapse of the Soviet Union triggered the abandonment of around 75 Mha across the post-Soviet states. To assess SOC dynamics, we generated a static cropland mask for 2009 based on three global cropland maps. We used the cropland mask to spatially disaggregate annual sown area statistics at province level based on the suitability of each plot for crop production, which yielded land use maps for each year from 1954 to 2012 for all post-Soviet states. To estimate the SOC-dynamics due to the VLC and post-Soviet croplands abandonment, we used available experimental data, own field measurements, and soil maps. A bookkeeping approach was applied to assess the total changes in SOC-stocks in response to large-scale land use changes in the former Soviet Union. The massive croplands expansion during VLC resulted in a substantial loss of SOC - 611±47 Mt C and 241±11 Mt C for the upper 0-50 cm soil layer during the first 20 years of cultivation for Russia and Kazakhstan, respectively. These magnitudes are similar to C losses due to the plowing up of the prairies in USA in the mid-1930s. The total SOC sequestration due to post-Soviet croplands abandonment was estimated at 72.2±6.0 Mt C per year from 1991 to 2010. This amount of carbon equals about 40% of the current fossil fuel emission for this

  17. Soil organic carbon stock and distribution in cultivated land converted to grassland in a subtropical region of China.

    Science.gov (United States)

    Zhang, J H; Li, F C; Wang, Y; Xiong, D H

    2014-02-01

    Land-use change from one type to another affects soil carbon (C) stocks which is associated with fluxes of CO2 to the atmosphere. The 10-years converted land selected from previously cultivated land in hilly areas of Sichuan, China was studied to understand the effects of land-use conversion on soil organic casrbon (SOC) sequestration under landscape position influences in a subtropical region of China. The SOC concentrations of the surface soil were greater (P\\0.001) for converted soils than those for cultivated soils but lower (P\\0.001) than those for original uncultivated soils. The SOC inventories (1.90–1.95 kg m-2) in the 0–15 cm surface soils were similar among upper, middle, and lower slope positions on the converted land, while the SOC inventories (1.41–1.65 kg m-2) in this soil layer tended to increase from upper to lower slope positions on the cultivated slope. On the whole, SOC inventories in this soil layer significantly increased following the conversion from cultivated land to grassland (P\\0.001). In the upper slope positions, converted soils (especially in 0–5 cm surface soil) exhibited a higher C/N ratio than cultivated soils (P = 0.012), implying that strong SOC sequestration characteristics exist in upper slope areas where severe soil erosion occurred before land conversion. It is suggested that landscape position impacts on the SOC spatial distribution become insignificant after the conversion of cultivated land to grassland, which is conducive to the immobilization of organic C. We speculate that the conversion of cultivated land to grassland would markedly increase SOC stocks in soil and would especially improve the potential for SOC sequestration in the surface soil over a moderate period of time (10 years).

  18. Introducing a decomposition rate modifier in the Rothamsted Carbon Model to predict soil organic carbon stocks in saline soils.

    Science.gov (United States)

    Setia, Raj; Smith, Pete; Marschner, Petra; Baldock, Jeff; Chittleborough, David; Smith, Jo

    2011-08-01

    Soil organic carbon (SOC) models such as the Rothamsted Carbon Model (RothC) have been used to estimate SOC dynamics in soils over different time scales but, until recently, their ability to accurately predict SOC stocks/carbon dioxide (CO(2)) emissions from salt-affected soils has not been assessed. Given the large extent of salt-affected soils (19% of the 20.8 billion ha of arable land on Earth), this may lead to miss-estimation of CO(2) release. Using soils from two salt-affected regions (one in Punjab, India and one in South Australia), an incubation study was carried out measuring CO(2) release over 120 days. The soils varied both in salinity (measured as electrical conductivity (EC) and calculated as osmotic potential using EC and water content) and sodicity (measured as sodium adsorption ratio, SAR). For soils from both regions, the osmotic potential had a significant positive relationship with CO(2)-C release, but no significant relationship was found between SAR and CO(2)-C release. The monthly cumulative CO(2)-C was simulated using RothC. RothC was modified to take into account reductions in plant inputs due to salinity. A subset of non-salt-affected soils was used to derive an equation for a "lab-effect" modifier to account for changes in decomposition under lab conditions and this modifier was significantly related with pH. Using a subset of salt-affected soils, a decomposition rate modifier (as a function of osmotic potential) was developed to match measured and modelled CO(2)-C release after correcting for the lab effect. Using this decomposition rate modifier, we found an agreement (R(2) = 0.92) between modelled and independently measured data for a set of soils from the incubation experiment. RothC, modified by including reduced plant inputs due to salinity and the salinity decomposition rate modifier, was used to predict SOC stocks of soils in a field in South Australia. The predictions clearly showed that SOC stocks are reduced in saline soils

  19. How the 2008 stock market crash and seasons affect total and cardiac deaths in Los Angeles County.

    Science.gov (United States)

    Schwartz, Bryan Glen; Pezzullo, John Christopher; McDonald, Scott Andrew; Poole, William Kenneth; Kloner, Robert Alan

    2012-05-15

    Various stressors trigger cardiac death. The objective was to investigate a possible relation between a stock market crash and cardiac death in a large population within the United States. We obtained daily stock market data (Dow Jones Industrial Average Index), death certificate data for daily deaths in Los Angeles County (LA), and annual LA population estimates for 2005 through 2008. The 4 years death rate curves (2005 through 2008) were averaged into a single curve to illustrate annual trends. Data were "deseasonalized" by subtracting from the daily observed value the average value for that day of year. There was marked seasonal variation in total and cardiac death rates. Even in the mild LA climate, death rates were higher in winter versus summer including total death (+17%), circulatory death (+24%), coronary heart disease death (+28%), and myocardial infarction death (+38%) rates (p stock market crash in October 2008 did not affect death rates in LA. Death rates remained at or below seasonal averages during the stock market crash. In conclusion, after correcting for seasonal variation, the stock market crash in October 2008 was not associated with an increase in total or cardiac death in LA. Annual coronary heart disease death rates continue to decrease. However, seasonal variation (specifically winter) remains a trigger for death and coronary heart disease death even in LA where winters are mild. Copyright © 2012 Elsevier Inc. All rights reserved.

  20. Land use and land management effects on soil organic carbon stock in Mediterranean agricultural areas (Southern Spain)

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz

    2014-05-01

    INTRODUCTION Soils play a key role in the carbon geochemical cycle. Agriculture contributes to carbon sequestration through photosynthesis and the incorporation of carbon into carbohydrates. Soil management is one of the best tools for climate change mitigation. Small increases or decreases in soil carbon content due to changes in land use or management practices, may result in a significant net exchange of carbon between the soil carbon pool and the atmosphere. In the last decades arable crops (AC) have been transformed into olive grove cultivations (OG) or vineyards (V) in Mediterranean areas. A field study was conducted to determine long-term effects of land use change (LUC) (AC by OG and V) on soil organic carbon (SOC), total nitrogen (TN), C:N ratio and their stratification in Calcic-Chromic Luvisols (LVcc/cr) in Mediterranean conditions. MATERIAL AND METHODS An unirrigated farm in Montilla-Moriles (Córdoba, Spain) cultivated under conventional tillage (animal power with lightweight reversible plows and non-mineral fertilization or pesticides) was selected for study in 1965. In 1966, the farm was divided into three plots with three different uses (AC, OG and V). The preliminary analyses were realized in 1965 for AC (AC1), and the second analyses were realized in 2011 for AC (AC2 - winter crop rotation with annual wheat and barley, receiving mineral fertilization or pesticides), OG (annual passes with disk harrow and cultivator in the spring, followed by a tine harrow in the summer receiving mineral fertilization and weed control with residual herbicides), and V (with three or five chisel passes a year from early spring to early autumn with mineral fertilization or pesticides.). In all cases (AC1, AC2, OG and V) were collected soil entire profiles. Soil properties determined were: soil particle size, bulk density, SOC, TN, C:N ratio, stocks and SRs. The statistical significance of the differences in the variables between land use practices was tested using the

  1. Expression of the SOCS family in human chronic wound tissues: Potential implications for SOCS in chronic wound healing

    Science.gov (United States)

    Feng, Yi; Sanders, Andrew J.; Ruge, Fiona; Morris, Ceri-Ann; Harding, Keith G.; Jiang, Wen G.

    2016-01-01

    Cytokines play important roles in the wound healing process through various signalling pathways. The JAK-STAT pathway is utilised by most cytokines for signal transduction and is regulated by a variety of molecules, including suppressor of cytokine signalling (SOCS) proteins. SOCS are associated with inflammatory diseases and have an impact on cytokines, growth factors and key cell types involved in the wound-healing process. SOCS, a negative regulator of cytokine signalling, may hold the potential to regulate cytokine-induced signalling in the chronic wound-healing process. Wound edge tissues were collected from chronic venous leg ulcer patients and classified as non-healing and healing wounds. The expression pattern of seven SOCSs members, at the transcript and protein level, were examined in these tissues using qPCR and immunohistochemistry. Significantly higher levels of SOCS3 (P=0.0284) and SOCS4 (P=0.0376) in non-healing chronic wounds compared to the healing/healed chronic wounds were observed at the transcript level. Relocalisation of SOCS3 protein in the non-healing wound environment was evident in the investigated chronic biopsies. Thus, the results show that the expression of SOCS transcript indicated that SOCS members may act as a prognostic biomarker of chronic wounds. PMID:27635428

  2. Soil organic carbon stocks under native vegetation - revised estimates for use with the simple assessment option of the Carbon Benefits Project system

    NARCIS (Netherlands)

    Batjes, N.H.

    2011-01-01

    The Carbon Benefits Project (CBP) is developing a standardized system for sustainable land management projects to measure, model and report changes in carbon stocks and greenhouse gas (GHG) emissions for use at varying scales. A global framework of soil organic carbon (SOC) stocks under native

  3. SOCS2 and SOCS3 expression in ulcerative colitis and their correlation with inflammatory response and immune response

    Directory of Open Access Journals (Sweden)

    Le Huang1

    2017-05-01

    Full Text Available Objective: To study the correlation of SOCS2 and SOCS3 expression in ulcerative colitis tissue with inflammatory response and immune response. Methods: Ulcerative colitis lesions and normal mucosa from colonoscopic biopsy in Central Hospital of Zibo Mining Refco Group Ltd between May 2014 and July 2016 were selected and enrolled in UC group and control group respectively. RNA was extracted to determine mRNA expression of SOCS2 and SOCS3 as well as inflammatory response JAKs/STATs pathway molecules; protein was extracted to determine the contents of immune response cytokines. Results: SOCS2 mRNA expression in intestinal mucosa of UC group was not significantly different from that of control group, and SOCS3 mRNA expression was significantly lower than that of control group; JAK1, JAK2, JAK3, STAT1, STAT3 and STAT5 mRNA expression as well as IFN-γ and IL-17 protein contents in intestinal mucosa of UC group were significantly higher than those of control group while IL-4 and IL-10 protein contents were significantly lower than those of control group; JAK1, JAK2, JAK3, STAT1, STAT3 and STAT5 mRNA expression as well as IFN-γ and IL-17 protein contents in UC group of intestinal mucosa with low SOCS3 expression were significantly higher than those of intestinal mucosa with high SOCS3 expression while IL-4 and IL-10 protein contents were significantly lower than those of intestinal mucosa with high SOCS3 expression. Conclusion: Low expression of SOCS3 in ulcerative colitis can aggravate the inflammatory reaction and cause the imbalance of Th1/Th2 and Th17/Treg immune response.

  4. Conservation tillage versus conventional tillage on carbon stock in a Mediterranean dehesa (southern Spain)

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz

    2014-05-01

    Understanding soil dynamics is essential for making appropriate land management decisions, as soils can affect the carbon content from the atmosphere, emitting large quantities of CO2 or storing carbon. This property is essential for climate change mitigation strategies as agriculture and forestry soil management can affect the carbon cycle. The dehesa is a Mediterranean silvopastoral system formed by grasslands with scattered oaks (Quercus ilex or Q. suber). The dehesa is a pasture where the herbaceous layer is comprised of either cultivated cereals such as oat, barley and wheat or native vegetation dominated by annual species, which are used as grazing resources. In addition, the dehesa is a practice dedicated to the combined production of Iberian swine, sheep, fuel wood, coal and cork, as well as hunting. The dehesa is characterized by the preservation of forest oaks. In this work, we compared two management practices such as organic farming (OF) and conventional tillage (CT) on soil organic carbon stocks (SOC-S) in Cambisols (CM) and Leptosols (LP), and we analyzed the quality of these soils based on stratification ratio (SR) in a Mediterranean dehesa. MATERIAL AND METHODS An analysis of 85 soil profiles was performed in 2009 in Los Pedroches Valley (Cordoba, southern Spain). Two soil management practices were selected: OF (isolated trees of variable densities —15-25— trees ha-1, mostly holm and cork oaks, and patches of shrubs — cistaceae, fabaceae and lamiaceae— with a herbaceous pasture layer mostly composed of therophytic species and livestock are introduced to provide organic fertilizer to the soil, without ploughing and animal manure from the farms may be incorporated) for 20 years and CT (similar to OF, with ploughing —annual passes with a disc harrow and/or cultivator— is aimed at growing grain for livestock or at clearing the encroaching shrubs) in CM and LP. The dehesas studied were silvopastoral systems without cropping. Soil properties

  5. Financial integration of stock markets among new EU member states and the euro area

    Czech Academy of Sciences Publication Activity Database

    Babecký, Jan; Komárek, L.; Komárková, Z.

    -, č. 849 (2008), s. 1-27 ISSN 0083-7350 Institutional research plan: CEZ:AV0Z70850503 Keywords : stock markets * convergence * new EU member states Subject RIV: AH - Economics http://www2.warwick.ac.uk/fac/soc/economics/ research /papers/twerp_849.pdf

  6. EXPRESSION OF SOCS3 AND SOCS5 MRNAS IN PERIPHERAL BLOOD MONONUCLEARS FROM THE PATIENTS WITH BRONCHIAL ASTHMA

    Directory of Open Access Journals (Sweden)

    V. V. Lim

    2014-01-01

    Full Text Available We observed sixty patients with allergic bronchial asthma (ABA and 54 with non-allergic bronchial asthma (NABA. Quantitative SOCS3 and SOCS5 mRNA expression was evaluated by means of real-time PCR. Eighteen healthy persons served as a control group. In patients with bronchial asthma (irrespectively of pathogenetic form, a significant increase of SOCS3 transcription factor expression was detected in peripheral blood mononuclears, as compared with control group. This increase was more pronounced in NABA group. The mRNA SOCS5 level was significantly decreased in bronchial asthma patients, as compared to control group, especially, in ABA subgroup rather than in NABA patients. Thus, an increased expression of SOCS3 mRNA in BA patients could be regarded as a protective antiinflammatory response Decrease of SOCS5 mRNA expression in patients with bronchial asthma (being more pronounced in ABA, may be indicative for a deficiency in negative feedback regulation of gene transcription in allergic bronchial asthma.

  7. A national scale estimation of soil carbon stocks of Pinus densiflora forests in Korea: a modelling approach

    Science.gov (United States)

    Yi, K.; Park, C.; Ryu, S.; Lee, K.; Yi, M.; Kim, C.; Park, G.; Kim, R.; Son, Y.

    2011-12-01

    Soil carbon (C) stocks of Pinus densiflora forests in Korea were estimated using a generic forest soil C dynamics model based on the process of dead organic matter input and decomposition. Annual input of dead organic matter to the soil was determined by stand biomass and turnover rates of tree components (stem, branch, twig, foliage, coarse root, and fine root). The model was designed to have a simplified structure consisting of three dead organic matter C (DOC) pools (aboveground woody debris (AWD), belowground woody debris (BWD), and litter (LTR) pool) and one soil organic C (SOC) pool. C flows in the model were regulated by six turnover rates of stem, branch, twig, foliage, coarse root, and fine root, and four decay rates of AWD, BWD, LTR, and SOC. To simulate the soil C stocks of P. densiflora forests, statistical data of forest land area (1,339,791 ha) and growing stock (191,896,089 m3) sorted by region (nine provinces and seven metropolitan cities) and stand age class (11 to 20- (II), 21 to 30- (III), 31 to 40- (IV), 41 to 50- (V), and 51 to 60-year-old (VI)) were used. The growing stock of each stand age class was calculated for every region and representable site index was also determined by consulting the yield table. Other model parameters related to the stand biomass, annual input of dead organic matter and decomposition were estimated from previous studies conducted on P. densiflora forests in Korea, which were also applied for model validation. As a result of simulation, total soil C stock of P. densiflora forests were estimated as 53.9 MtC and soil C stocks per unit area ranged from 28.71 to 47.81 tC ha-1 within the soil depth of 30 cm. Also, soil C stocks in the P. densiflora forests of age class II, III, IV, V, and VI were 16,780,818, 21,450,812, 12,677,872, 2,366,939, and 578,623 tC, respectively, and highly related to the distribution of age classes. Soil C stocks per unit area initially decreased with stand age class and started to increase

  8. A comparison of soil organic carbon stocks in Viking Age and modern land use systems in Denmark

    DEFF Research Database (Denmark)

    Breuning-Madsen, Henrik; Kristensen, J.Aa.; Holst, M.K.

    2013-01-01

    in modern farmlands during thousands of years in relation to inputs of manure, fertilizers, liming and drainage. In this paper the SOC stocks from anaerobic soil horizons in two big loamy burial mounds from the Viking Age, representing the land use system 1000 years ago, are compared with results from...... land use systems with high input of manure. Compared to ancient sandy soils that do not show any SOC loss during the past 3000 years, there is a clear SOC loss from the loamy soils, probably about 40% during the last 150 years, where most of the loamy soils have been drained. (C) 2013 Elsevier B.V. All...

  9. Soil organic carbon stocks assessment in Mediterranean natural areas: a comparison of entire soil profiles and soil control sections.

    Science.gov (United States)

    Parras-Alcántara, L; Lozano-García, B; Brevik, E C; Cerdá, A

    2015-05-15

    Soil organic carbon (SOC) is an important part of the global carbon (C) cycle. In addition, SOC is a soil property subject to changes and highly variable in space and time. Over time, some researches have analyzed entire soil profile (ESP) by pedogenetic horizons and other researches have analyzed soil control sections (SCS) to different thickness. However, very few studies compare both methods (ESP versus SCS). This research sought to analyze the SOC stock (SOCS) variability using both methods (ESP and SCS) in The Despeñaperros Natural Park, a nature reserve that consists of a 76.8 km(2) forested area in southern Spain. Thirty-four sampling points were selected in the study zone. Each sampling point was analyzed in two different ways, as ESP (by horizons) and as SCS with different depth increments (0-25, 25-50, 50-75 and 75-100 cm). The major goal of this research was to study the SOCS variability at regional scale. The soils investigated in this study included Phaeozems, Cambisols, Regosols and Leptosols. Total SOCS in the Despeñaperros Natural Park was over 28.2% greater when SCS were used compared to ESP, ranging from 0.8144 Tg C (10,604.2 Mg km(-2)) to 0.6353 Tg C (8272.1 Mg km(-2)) respectively (1 Tg = 10(12) g). However, when the topsoil (surface horizon and superficial section control) was analyzed, this difference increased to 59.8% in SCS compared to ESP. The comparison between ESP and SCS showed the effect of mixing pedogenetic horizons when depth increments were analyzed. This indicates an overestimate of T-SOCS when sampling by SCS. Copyright © 2015 Elsevier Ltd. All rights reserved.

  10. Climate Warming Can Increase Soil Carbon Fluxes Without Decreasing Soil Carbon Stocks in Boreal Forests

    Science.gov (United States)

    Ziegler, S. E.; Benner, R. H.; Billings, S. A.; Edwards, K. A.; Philben, M. J.; Zhu, X.; Laganiere, J.

    2016-12-01

    Ecosystem C fluxes respond positively to climate warming, however, the net impact of changing C fluxes on soil organic carbon (SOC) stocks over decadal scales remains unclear. Manipulative studies and global-scale observations have informed much of the existing knowledge of SOC responses to climate, providing insights on relatively short (e.g. days to years) and long (centuries to millennia) time scales, respectively. Natural climate gradient studies capture integrated ecosystem responses to climate on decadal time scales. Here we report the soil C reservoirs, fluxes into and out of those reservoirs, and the chemical composition of inputs and soil organic matter pools along a mesic boreal forest climate transect. The sites studied consist of similar forest composition, successional stage, and soil moisture but differ by 5.2°C mean annual temperature. Carbon fluxes through these boreal forest soils were greatest in the lowest latitude regions and indicate that enhanced C inputs can offset soil C losses with warming in these forests. Respiration rates increased by 55% and the flux of dissolved organic carbon from the organic to mineral soil horizons tripled across this climate gradient. The 2-fold increase in litterfall inputs to these soils coincided with a significant increase in the organic horizon C stock with warming, however, no significant difference in the surface mineral soil C stocks was observed. The younger mean age of the mineral soil C ( 70 versus 330 YBP) provided further evidence for the greater turnover of SOC in the warmer climate soils. In spite of these differences in mean radiocarbon age, mineral SOC exhibited chemical characteristics of highly decomposed material across all regions. In contrast with depth trends in soil OM diagenetic indices, diagenetic shifts with latitude were limited to increases in C:N and alkyl to O-alkyl ratios in the overlying organic horizons in the warmer relative to the colder regions. These data indicate that the

  11. Soil organic carbon stocks quantification in Mediterranean natural areas, a trade-off between entire soil profiles and soil control sections

    Science.gov (United States)

    Parras-Alcántara, Luis; Lozano-García, Beatriz; Brevik, Eric. C.; Cerdá, Artemi

    2015-04-01

    Soil organic carbon (SOC) is extremely important in the global carbon (C) cycle; also, SOC is a soil property subject to changes, inasmuch as SOC is highly variable in space and time. The scientific community is researching the fate of the organic carbon in the ecosystems and this is why there is a blooming interest on this topic (Oliveira et al., 2014; Kukal et al., 2015). Soil organic matter play a key role in the Soil System (Fernández-Romero et al., 2014; Parras-Alcántara and Lozano García, 2014; Lozano-García and Parras-Alcántara; Parras-Alcántara et al., 2015).Globally it is known that soil C sequestration is a strategy to mitigate climate change. Over time, some researchers have analyzed entire soil profiles (ESP) by pedogenetic horizons and other researchers have analyzed soil control sections (SCS) (edaphic controls to different thickness), and in each case the benefits of the methodology established was justified. However, very few studies compare both methods (ESP versus SCS). This research sought to analyze the SOC stock (SOCS) variability using both methods (ESP and SCS) in The Despeñaperros Natural Park, a nature reserve that consists of a 76.8 km2 forested area in southern Spain. The park is in a Mediterranean environment and is a natural area (free of human disturbance). Thirty-four sampling points were selected in the study zone. Each sampling point was analyzed in two different ways, as ESP (by horizons) and as SCS with different depth increments (0-25, 25-50, 50-75 and 75-100 cm). The major goal of this research was to study the SOCS variability at regional scale. The studied soils were classified as Phaeozems, Cambisols, Regosols and Leptosols. The total SOCS in the Despeñaperros Natural Park was over 28.2% greater when SCS were used compared to ESP, ranging from 0.8144 Tg C to 0.6353 Tg C respectively (1 Tg = 10E12 g). However, when the top soil (surface horizon and superficial section control) was analyzed, this difference increased to

  12. Impact of savanna conversion to oil palm plantations on C stocks dynamics and soil fertility

    Science.gov (United States)

    Quezada, Juan Carlos; Guillaume, Thomas; Buttler, Alexandre; Ruegg, Johanna

    2017-04-01

    Large-scale expansion of oil palm cultivation on forested land in South-East Asia during the last decades lead to high negative environmental impacts. Because rainforests store high amount of C, their conversion to oil palm plantations results in large net CO2 emissions. Oil palm cultivation in tropical ecosystems such as savanna that store less C than forests is seen as an alternative to reduce greenhouse gas emissions of future oil palm development. While this option is more and more frequently mentioned, few data are available on the effective gain in C storage. Furthermore negative impact on soil organic carbon and soil fertility could offset gains of C storage in oil palm biomass. Here, we present results on aboveground and belowground C stocks and soil nutrient dynamics over a full rotation cycle of oil palm plantations established on tropical savanna grasslands. Three natural savanna grasslands as reference sites and 9 oil palm plantations ranging from two to twenty-seven years old were selected in the Llanos in Colombia. Oxisols were sampled down to 70 cm in each management zones of oil palm plantations (weeded circle, interrow, frond piles and harvesting path). Taking advantages of a shift from C4 to C3 vegetation, we quantified savanna-derived soil organic carbon (SOC) decomposition and oil palm-derived SOC stabilization rates and how they were affected by management practices (mineral fertilization, organic amendments, etc.). Results show that, in opposite to forest conversion, C storage increases when savannas are converted to oil palm plantations. Because soil C storage was very low in natural conditions, SOC changes had little effects on overall C storage. Substitution of savanna-derived SOC by oil palm-derived SOC was very fast in the topsoil and highest under frond pile and weeded circle where C and nutrients inputs are highest. However, stabilization of oil palm-derived SOC compensated loss of savanna-derived SOC rather than increased SOC stocks

  13. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum Based soc-MOF for CH4, O2 and CO2 Storage

    KAUST Repository

    Alezi, Dalal

    2015-09-28

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum based Metal-Organic Frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized, namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-properties relationship, we performed a molecular simulation study and evaluated the methane storage performance of Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes in a wide range of pressure and temperature conditions.

  14. MOF Crystal Chemistry Paving the Way to Gas Storage Needs: Aluminum Based soc-MOF for CH4, O2 and CO2 Storage

    KAUST Repository

    Alezi, Dalal; Belmabkhout, Youssef; Suetin, Mikhail; Bhatt, Prashant; Weselinski, Lukasz Jan; Solovyeva, Vera; Adil, Karim; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Emwas, Abdul-Hamid M.; Eddaoudi, Mohamed

    2015-01-01

    The molecular building block approach was employed effectively to construct a series of novel isoreticular, highly porous and stable, aluminum based Metal-Organic Frameworks with soc topology. From this platform, three compounds were experimentally isolated and fully characterized, namely, the parent Al-soc-MOF-1 and its naphthalene and anthracene analogues. Al-soc-MOF-1 exhibits outstanding gravimetric methane uptake (total and working capacity). It is shown experimentally, for the first time, that the Al-soc-MOF platform can address the challenging Department of Energy dual target of 0.5 g/g (gravimetric) and 264 cm3 (STP)/cm3 (volumetric) methane storage. Furthermore, Al-soc-MOF exhibited the highest total gravimetric and volumetric uptake for carbon dioxide and the utmost total and deliverable uptake for oxygen at relatively high pressures among all microporous MOFs. In order to correlate the MOF pore structure and functionality to the gas storage properties, to better understand the structure-properties relationship, we performed a molecular simulation study and evaluated the methane storage performance of Al-soc-MOF platform using diverse organic linkers. It was found that shortening the parent Al-soc-MOF-1 linker resulted in a noticeable enhancement in the working volumetric capacity at specific temperatures and pressures with amply conserved gravimetric uptake/working capacity. In contrast, further expansion of the organic linker (branches and/or core) led to isostructural Al-soc-MOFs with enhanced gravimetric uptake but noticeably lower volumetric capacity. The collective experimental and simulation studies indicated that the parent Al-soc-MOF-1 exhibits the best compromise between the volumetric and gravimetric total and working uptakes in a wide range of pressure and temperature conditions.

  15. How do soil properties and soil carbon stocks change after land abandonment in Mediterranean mountain areas?

    Science.gov (United States)

    Nadal Romero, Estela; Cammeraat, Erik; Pérez Cardiel, Estela; Lasanta, Teodoro

    2016-04-01

    Land abandonment and subsequent revegetation processes (due to secondary succession and afforestation practices) are global issues with important implications in Mediterranean mountain areas. Moreover, the effects of land use changes on soil carbon stocks are a matter of concern stated in international policy agendas on the mitigation of greenhouse emissions, and afforestation practices are increasingly viewed as an environmental restorative land use change prescription and are considered one of the most efficient carbon sequestration strategies currently available. The MED-AFFOREST project aims to gain more insight into the discussion by exploring the following central research questions: (i) what is the impact of land abandonment on soil properties? and (ii) how do soil organic carbon change after land abandonment? The main objective of this study is to assess the effects of land abandonment, land use change and afforestation practices on soil properties and soil organic carbon (SOC) dynamics. For this aim, five different land covers (bare soil, meadows, secondary succession, Pinus sylvestris (PS) and Pinus nigra (PN) afforestation), in the Central Spanish Pyrenees were analysed. Results showed that changes in soil properties after land abandonment were limited, even if afforestation practices were carried out and no differences were observed between natural succession and afforestation. The results on SOC dynamics showed that: (i) SOC contents were higher in the PN sites in the topsoil (10 cm), (ii) when all the profile was considered no significant differences were observed between meadows and PN, (iii) SOC accumulation under secondary succession is a slow process, and (iv) meadows should also be considered due to the relative importance in SOC stocks. The first step of SOC stabilization after afforestation is the formation of macro-aggregates promoted by large inputs of SOC, with a high contribution of labile organic matter. However, our respiration

  16. Effects of different soil types in natural Mediterranean areas on soil organic carbon (SOC)

    Science.gov (United States)

    Requejo Silva, Ana; Lozano García, Beatriz; Parras Alcántara, Luis

    2017-04-01

    statement, the main goal of this work consists in establishing the vertical distribution in the profile of SOC and N concentrations and to quantify the SOC and N stocks affected by different soil types in a natural Mediterranean area, under the same land use (agroforestry system) and management (conventional tillage). This will allow to evaluate the soil quality. It was verified that SOC concentrations significantly decreased with depth in the majority of soil profiles for all soil groups under consideration. Leptosols are characterized by the highest concentration of soil organic carbon in the subsurface horizons as opposed to Cambisols which are defined by the lowest SOC concentration in depth. The SOC stock determined in the studied soil groups are 110. Mg. ha-1 for Fluvisols and 78.35 Mg.ha-1 for Regosols that can be caused by soil thickness. According to McLauchlan (2006), it cannot be found a strong relationship between clay content and organic carbon in the soil groups under study. REFERENCES IPPC: Climate Change 2007: the physical science basis, Cambridge University Press: Cambridge/New York, NY, 2007. IUSS Working Group WRB, 2006. World Reference base for soil resources 2006. World Soil Resources Report N° 103. FAO, Rome. Khaledian, Y., Kiani, F., Ebrahimi, S., Brevik, E.C., Aitkenhead-Peterson, J., 2016. Assessment and monitoring of soil degradation during land use change using multivariate analysis. Land Degrad. Dev. Doi: http:// dx.doi.org/10.1002/ldr.2541. Lozano-García, B., Parras-Alcántara, L., Cantudo-Pérez, M., 2016. Land use change effects on stratification and storage of soil carbon and nitrogen: Application to a Mediterranean nature reserve. Agriculture, Ecosystems and Environment, 231, 105-113. McLauchlan, K.K., 2006. Effect of soil texture on soil carbon and nitrogen dynamic after cessation of agriculture. Geoderma 136, 289-299. Parras-Alcántara, L., Martín-Carrillo, M. and Lozano-García, B. Impacts of land use change in soil carbon and nitrogen

  17. Labile and Non-labile Soil Carbon Fractions Equally Contributed to Carbon Changes under Long-term Fertilization

    Science.gov (United States)

    Liang, F.; Li, J.; Xu, M.; Huang, S.

    2017-12-01

    Soil organic carbon (SOC) storages are altered under long-term fertilization in croplands, it however remains unclear how fast- to slow-cycling SOC fractions each respond to fertilization practices. Based on five two-decade Chinese long-term fertilization experiments (GZL: Gongzhuling; ZZ: Zhengzhou; CQ: Chongqing; JX: Jinxian; QY: Qiyang) under three fertilization treatments (CK: cropping with no fertilizer input; NPK: chemical nitrogen, phosphorus and potassium fertilizers; and NPKM: NPK with manure input), we quantified very labile, labile, non-labile and total SOC stocks at 0-20cm soil depth. Results showed that SOC stocks varied among sites (GZL, JX, CQ > ZZ, QY) and generally increased with fertilizations (CK-1 at ZZ, GZL, QY, CQ and JX, respectively. The corresponding changes of the sum of very labile and labile SOC fractions were 2.6, 2.0, 1.8, 0.8 and -0.5 Mg ha-1 at ZZ, QY, GZL, CQ and JX, respectively. Also, NPKM increased total SOC stock by 18.3, 16.2, 14.4, 10.5, and 6.5 Mg ha-1 at QY, GZL, ZZ, CQ and JX, respectively. The corresponding changes of the sum of very labile and labile SOC fractions were 8.6, 6.8, 6.6, 3.2 and -1.6 Mg ha-1 at QY, GZL, ZZ, CQ and JX, respectively. These results suggested that about half or more than half SOC stock accretions under fertilization were induced by increase in non-labile SOC fractions. It thus informs the importance of non-labile SOC fractions in contributing to soil C sequestration under long-term fertilizations in Chinese croplands. Future research should improve our mechanistic understanding of biogeochemical transformation of non-labile organic C in soils.

  18. A project to study SOC evolution after land use change combining chronosequence and gradient methods

    Science.gov (United States)

    Gabarron-Galeote, Miguel A.; van Wesemael, Bas

    2013-04-01

    In the last decades the interest in the global C budget has increased enormously and soils have a great importance in this issue since they contain about twice as much carbon as the atmosphere. Land use change (LUC) can cause a change in land cover and an associated change in carbon stocks in soils, so it has a major impact in the balance between inputs and outputs of soil organic carbon (SOC). Improved understanding of land-use impacts on the world's terrestrial carbon balance is thus a necessary part of the global effort to mitigate climate change. The aim of this project is to predict the effects of land use and land management change on (SOC) stocks, characterizing the soil organic carbon cycle and its relationship to the vegetal cover in croplands abandoned different years ago and under different Mediterranean climatic conditions in South of Spain. The study area is located in the Cordillera Bética Litoral, in South of Spain. In this area, a climatic gradient can be observed from West to East: from >1,500 mm year-1 in the Strait of Gibraltar to <250 mm year-1 in the Cabo de Gata. More specifically, the study is focussed on three different areas from the climatic conditions point of view: Gaucín (1010 mm year-1), Almogía, (576 mm year-1) and Gérgal (240 mm year-1). By means of the analyses of aerial photographs (1956, 1977, 1984, 1998 and 2009) all the experimental plots will be selected. After this procedure, the three study areas will be composed by experimental plots of these classes: a) Lands with natural vegetation since 1956. b) Abandoned lands between 1956 and 1977. c) Abandoned lands between 1977 and 1984. d) Abandoned lands between 1984 and 1998. e) Abandoned lands between 1998 and 2005. f) Cultivated lands since 1956. The main expected outcomes of the research project are the characterization of the temporal evolution of SOC in soils, the compilation of experimental areas under different Mediterranean climatic conditions, and the characterization

  19. No evidence of chaos but some evidence of dependence in the US stock market

    International Nuclear Information System (INIS)

    Serletis, Apostolos; Shintani, Mototsugu

    2003-01-01

    This paper uses recent advances in the field of applied econometrics and tools from dynamical systems theory to test for random walks and chaos in the US stock market, using daily observations on the Dow Jones Industrial Average (from January 3, 1928 to October 18, 2000 - a total of 18,490 observations). In doing so, we follow the recent contribution by Whang and Linton [J Econometr 91 (1999) 1] and construct the standard error for the Nychka et al. [J Roy Statist Soc B 54 (1992) 399] dominant Lyapunov exponent, thereby providing a statistical test of chaos. We find statistically significant evidence against low-dimensional chaos and point to the use of stochastic models and statistical inference in the modeling of asset markets

  20. No evidence of chaos but some evidence of dependence in the US stock market

    Energy Technology Data Exchange (ETDEWEB)

    Serletis, Apostolos E-mail: serletis@ucalgary.ca; Shintani, Mototsugu E-mail: mototsugu.shintani@vanderbilt.edu

    2003-07-01

    This paper uses recent advances in the field of applied econometrics and tools from dynamical systems theory to test for random walks and chaos in the US stock market, using daily observations on the Dow Jones Industrial Average (from January 3, 1928 to October 18, 2000 - a total of 18,490 observations). In doing so, we follow the recent contribution by Whang and Linton [J Econometr 91 (1999) 1] and construct the standard error for the Nychka et al. [J Roy Statist Soc B 54 (1992) 399] dominant Lyapunov exponent, thereby providing a statistical test of chaos. We find statistically significant evidence against low-dimensional chaos and point to the use of stochastic models and statistical inference in the modeling of asset markets.

  1. A Generalized SOC-OCV Model for Lithium-Ion Batteries and the SOC Estimation for LNMCO Battery

    Directory of Open Access Journals (Sweden)

    Caiping Zhang

    2016-11-01

    Full Text Available A state-of-charge (SOC versus open-circuit-voltage (OCV model developed for batteries should preferably be simple, especially for real-time SOC estimation. It should also be capable of representing different types of lithium-ion batteries (LIBs, regardless of temperature change and battery degradation. It must therefore be generic, robust and adaptive, in addition to being accurate. These challenges have now been addressed by proposing a generalized SOC-OCV model for representing a few most widely used LIBs. The model is developed from analyzing electrochemical processes of the LIBs, before arriving at the sum of a logarithmic, a linear and an exponential function with six parameters. Values for these parameters are determined by a nonlinear estimation algorithm, which progressively shows that only four parameters need to be updated in real time. The remaining two parameters can be kept constant, regardless of temperature change and aging. Fitting errors demonstrated with different types of LIBs have been found to be within 0.5%. The proposed model is thus accurate, and can be flexibly applied to different LIBs, as verified by hardware-in-the-loop simulation designed for real-time SOC estimation.

  2. SOCS-1 deficiency does not prevent diet-induced insulin resistance

    DEFF Research Database (Denmark)

    Emanuelli, Brice; Macotela, Yazmin; Boucher, Jérémie

    2008-01-01

    Obesity is associated with inflammation and increased expression of suppressor of cytokine signaling (SOCS) proteins, which inhibit cytokine and insulin signaling. Thus, reducing SOCS expression could prevent the development of obesity-induced insulin resistance. Using SOCS-1 knockout mice, we...... investigated the contribution of SOCS-1 in the development of insulin resistance induced by a high-fat diet (HFD). SOCS-1 knockout mice on HFD gained 70% more weight, displayed a 2.3-fold increase in epididymal fat pads mass and increased hepatic lipid content. This was accompanied by increased mRNA expression...... of leptin and the macrophage marker CD68 in white adipose tissue and of SREBP1c and FAS in liver. HFD also induced hyperglycemia in SOCS-1 deficient mice with impairment of glucose and insulin tolerance tests. Thus, despite the role of SOCS proteins in obesity-related insulin resistance, SOCS-1 deficiency...

  3. TARGETED ANALYSIS OF JAK-STAT-SOCS GENES IN DAIRY CATTLE

    Directory of Open Access Journals (Sweden)

    Arun Sondur Jayappa

    2015-12-01

    Full Text Available The Janus kinase and signal transducer and activator of transcription (JAK-STAT pathway genes along with suppressors of cytokine signalling (SOCS family genes play a crucial role in controlling cytokine signals in the mammary gland and thus mammary gland development. Mammary gene expression studies showed differential expression patterns for all the JAK-STAT pathway genes. Gene expression studies using qRT-PCR revealed differential expression of SOCS2, SOCS4 and SOCS5 genes across the lactation cycle in dairy cows. Using genotypes from 1,546 Australian Holstein- Friesian bulls, a statistical model based on SNPs within 500kb of JAK-STAT pathway genes, and SOCS genes alone was carried out. The analysis suggested that these genes and pathways make a significant contribution to the Australian milk production traits. Selection of 24 SNPs close to SOCS1, SOCS3, SOCS5, SOCS7 and CISH genes were significantly associated with, Australian Profit Ranking (APR, Australian Selection Index (ASI and protein yield (PY. This study supports the view that there may be some merit in choosing SNPs around functionally relevant genes for the selection and genetic improvement schemes for dairy production traits.

  4. Settling-velocity specific SOC distribution on hillslopes

    DEFF Research Database (Denmark)

    Hu, Yaxian; Berhe, Asmeret Asefaw; Fogel, Marilyn L.

    The net effect of soil erosion by water, as a sink or source of atmospheric CO2, is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC). The depositional position of eroded SOC is a function of the transport distances of soil fractions where the SOC...... fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples were fractionated into five settling classes using a settling tube apparatus. The spatial distribution of soil settling classes...... shows a coarsening effect immediately below the eroding slope, followed by a fining trend at the slope tail. The 13C values of soil fractions were more positive at the footslope than on the slope shoulder or at the slope tail, suggesting enhanced decomposition rate of fresh SOC input at the footslope...

  5. Correlation between the model accuracy and model-based SOC estimation

    International Nuclear Information System (INIS)

    Wang, Qianqian; Wang, Jiao; Zhao, Pengju; Kang, Jianqiang; Yan, Few; Du, Changqing

    2017-01-01

    State-of-charge (SOC) estimation is a core technology for battery management systems. Considerable progress has been achieved in the study of SOC estimation algorithms, especially the algorithm on the basis of Kalman filter to meet the increasing demand of model-based battery management systems. The Kalman filter weakens the influence of white noise and initial error during SOC estimation but cannot eliminate the existing error of the battery model itself. As such, the accuracy of SOC estimation is directly related to the accuracy of the battery model. Thus far, the quantitative relationship between model accuracy and model-based SOC estimation remains unknown. This study summarizes three equivalent circuit lithium-ion battery models, namely, Thevenin, PNGV, and DP models. The model parameters are identified through hybrid pulse power characterization test. The three models are evaluated, and SOC estimation conducted by EKF-Ah method under three operating conditions are quantitatively studied. The regression and correlation of the standard deviation and normalized RMSE are studied and compared between the model error and the SOC estimation error. These parameters exhibit a strong linear relationship. Results indicate that the model accuracy affects the SOC estimation accuracy mainly in two ways: dispersion of the frequency distribution of the error and the overall level of the error. On the basis of the relationship between model error and SOC estimation error, our study provides a strategy for selecting a suitable cell model to meet the requirements of SOC precision using Kalman filter.

  6. Prediction of SOC content by Vis-NIR spectroscopy at European scale using a modified local PLS algorithm

    Science.gov (United States)

    Nocita, M.; Stevens, A.; Toth, G.; van Wesemael, B.; Montanarella, L.

    2012-12-01

    In the context of global environmental change, the estimation of carbon fluxes between soils and the atmosphere has been the object of a growing number of studies. This has been motivated notably by the possibility to sequester CO2 into soils by increasing the soil organic carbon (SOC) stocks and by the role of SOC in maintaining soil quality. Spatial variability of SOC masks its slow accumulation or depletion, and the sampling density required to detect a change in SOC content is often very high and thus very expensive and labour intensive. Visible near infrared diffuse reflectance spectroscopy (Vis-NIR DRS) has been shown to be a fast, cheap and efficient tool for the prediction of SOC at fine scales. However, when applied to regional or country scales, Vis-NIR DRS did not provide sufficient accuracy as an alternative to standard laboratory soil analysis for SOC monitoring. Under the framework of Land Use/Cover Area Frame Statistical Survey (LUCAS) project of the European Commission's Joint Research Centre (JRC), about 20,000 samples were collected all over European Union. Soil samples were analyzed for several physical and chemical parameters, and scanned with a Vis-NIR spectrometer in the same laboratory. The scope of our research was to predict SOC content at European scale using LUCAS spectral library. We implemented a modified local partial least square regression (l-PLS) including, in addition to spectral distance, other potentially useful covariates (geography, texture, etc.) to select for each unknown sample a group of predicting neighbours. The dataset was split in mineral soils under cropland, mineral soils under grassland, mineral soils under woodland, and organic soils due to the extremely diverse spectral response of the four classes. Four every class training (70%) and test (30%) sets were created to calibrate and validate the SOC prediction models. The results showed very good prediction ability for mineral soils under cropland and mineral soils

  7. SOCS-1 localizes to the microtubule organizing complex-associated 20S proteasome.

    Science.gov (United States)

    Vuong, Bao Q; Arenzana, Teresita L; Showalter, Brian M; Losman, Julie; Chen, X Peter; Mostecki, Justin; Banks, Alexander S; Limnander, Andre; Fernandez, Neil; Rothman, Paul B

    2004-10-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unknown. In this report, SOCS-1 is found to colocalize and biochemically copurify with the microtubule organizing complex (MTOC) and its associated 20S proteasome. The SOCS-1 SH2 domain is required for the localization of SOCS-1 to the MTOC. Overexpression of SOCS-1 targets Jak1 in an SH2-dependent manner to a perinuclear distribution resembling the MTOC-associated 20S proteasome. Analysis of MTOCs fractionated from SOCS-1-deficient cells demonstrates that SOCS-1 may function redundantly to regulate the localization of Jak1 to the MTOC. Nocodazole inhibits the protein turnover of SOCS-1, demonstrating that the minus-end transport of SOCS-1 to the MTOC-associated 20S proteasome is required to regulate SOCS-1 protein levels. These data link SOCS-1 directly with the proteasome pathway and suggest another function for the SH2 domain of SOCS-1 in the regulation of Jak/STAT signaling.

  8. Interleaved Subtask Scheduling on Multi Processor SOC

    NARCIS (Netherlands)

    Zhe, M.

    2006-01-01

    The ever-progressing semiconductor processing technique has integrated more and more embedded processors on a single system-on-achip (SoC). With such powerful SoC platforms, and also due to the stringent time-to-market deadlines, many functionalities which used to be implemented in ASICs are

  9. Defective interleukin-4/Stat6 activity correlates with increased constitutive expression of negative regulators SOCS-3, SOCS-7, and CISH in colon cancer cells.

    Science.gov (United States)

    Liu, Xiao Hong; Xu, Shuang Bing; Yuan, Jia; Li, Ben Hui; Zhang, Yan; Yuan, Qin; Li, Pin Dong; Li, Feng; Zhang, Wen Jie

    2009-12-01

    Interleukin-4 (IL-4)-induced Stat6 activities (phenotypes) vary among human cancer cells, of which the HT-29 cell line carries an active Stat6(high) phenotype, while Caco-2 carries a defective Stat6(null) phenotype, respectively. Cancer cells with Stat6(high) show resistance to apoptosis and exaggerated metastasis, suggesting the clinical significance of Stat6 phenotypes. We previously showed that Stat6(high) HT-29 cells exhibited low constitutive expression of Stat6-negative regulators SOCS-1 and SHP-1 because of gene hypermethylation. This study further examined the constitutive expression of other closely related SOCS family numbers including SOCS-3, SOCS-5, SOCS-7, and CISH using RT-PCR. Similar to SOCS-1 and SHP-1, Stat6(high) HT-29 cells expressed low constitutive mRNA of SOCS-3, SOCS-7, and CISH than Stat6(null) Caco-2 cells. Interestingly, DNA demethylation using 5-aza-2'-deoxycytidine in HT-29 cells up-regulated mRNA expression of the above genes, indicating a hypermethylation status, which was confirmed by methylation-specific sequencing in selected SOCS-3 gene. Furthermore, defective Stat6(null) Caco-2 exhibited impaired phosphorylation of Stat6 after IL-4 stimulation by flow cytometry, in keeping with the notion of an over-performed negative regulation. The findings that IL-4/Stat6 phenotypes show differential expression of multiple negative regulators suggest a model that a collective force of powerful negative regulators, directly and indirectly, acts on Stat6 activation, which may result in differential Stat6 phenotypes.

  10. Impact of tree species on soil carbon stocks and soil acidity in southern Sweden

    International Nuclear Information System (INIS)

    Oostra, Swantje; Majdi, Hooshang; Olsson, Mats

    2006-01-01

    The impact of tree species on soil carbon stocks and acidity in southern Sweden was studied in a non-replicated plantation with monocultures of 67-year-old ash (Fraxinus excelsior L.), beech (Fagus silvatica L.), elm (Ulmus glabra Huds.), hornbeam (Carpinusbetulus L.), Norway spruce (Picea abies L.) and oak (Quercus robur L.). The site was characterized by a cambisol on glacial till. Volume-determined soil samples were taken from the O-horizon and mineral soil layers to 20 cm. Soil organic carbon (SOC), total nitrogen (TN), pH (H2O), cation-exchange capacity and base saturation at pH 7 and exchangeable calcium, magnesium, potassium and sodium ions were analysed in the soil fraction hornbeam > oak > beech > ash > elm. The pH in the O-horizon ranged in the order elm > ash > hornbeam > beech > oak > spruce. In the mineral soil, SOC and TN ranged in the order elm > oak > ash = hornbeam > spruce > beech, i.e. partly reversed, and pH ranged in the same order as for the O-horizon. It is suggested that spruce is the best option for fertile sites in southern Sweden if the aim is a high carbon sequestration rate, whereas elm, ash and hornbeam are the best solutions if the aim is a low soil acidification rate

  11. Vertical distribution of soil extractable organic C and N contents and total C and N stocks in 78-year-old tree plantations in subtropical Australia.

    Science.gov (United States)

    Zhou, Xiaoqi; Dong, Haibo; Lan, Zhongming; Bacon, Gary; Hao, Yanbin; Chen, Chengrong

    2017-10-01

    Few studies have focused on the effects of long-term forest plantations on the soil profile of carbon (C) and nitrogen (N) stocks. In this study, we selected 78-year-old tree plantations that included three coniferous tree species (i.e., slash pine, hoop pine and kauri pine) and a Eucalyptus species in subtropical Australia. We measured soil extractable organic C (EOC) and N (EON) contents and total C and N stocks under different tree species on the forest floor and along a soil profile to 100 cm depth. The results showed that Eucalyptus had significantly higher soil EOC contents (3.3 Mg ha -1 ) than the other tree species (EOC of 1.9-2.3 Mg ha -1 ) and had significantly higher EON (156 kg ha -1 ) contents than slash pine (107 kg ha -1 ). Eucalyptus had significantly higher soil C (58.9 Mg ha -1 ) and N (2.03 Mg ha -1 ) stocks than the other tree species (22.3-27.6 Mg C ha -1 and 0.71-1.23 Mg N ha -1 ) at 0-100 cm depth. There were no differences in soil C stocks at the 0-100 cm depth among the coniferous tree species. Forest floor C stocks had stronger effects on mineral soil total N stocks than fine root biomass, whereas fine root biomass exerted stronger effects on soil total C stocks at the 0-100 cm depth than forest floor C and N stocks. Our results addressed large differences in soil C and N stocks under different tree species, which can provide useful information for local forest management practices in this region.

  12. SPAD array based TOF SoC design for unmanned vehicle

    Science.gov (United States)

    Pan, An; Xu, Yuan; Xie, Gang; Huang, Zhiyu; Zheng, Yanghao; Shi, Weiwei

    2018-03-01

    As for the requirement of unmanned-vehicle mobile Lidar system, this paper presents a SoC design based on pulsed TOF depth image sensor. This SoC has a detection range of 300m and detecting resolution of 1.5cm. Pixels are made of SPAD. Meanwhile, SoC adopts a structure of multi-pixel sharing TDC, which significantly reduces chip area and improve the fill factor of light-sensing surface area. SoC integrates a TCSPC module to achieve the functionality of receiving each photon, measuring photon flight time and processing depth information in one chip. The SOC is designed in the SMIC 0.13μm CIS CMOS technology

  13. Research on SOC Calibration of Large Capacity Lead Acid Battery

    Science.gov (United States)

    Ye, W. Q.; Guo, Y. X.

    2018-05-01

    Large capacity lead-acid battery is used in track electric locomotive, and State of Charge (SOC) is an important quantitative parameter of locomotive power output and operating mileage of power emergency recovery vehicle. But State of Charge estimation has been a difficult part in the battery management system. In order to reduce the SOC estimation error better, this paper uses the linear relationship of Open Circuit Voltage (OCV) and State of Charge to fit the SOC-OCV curve equation by MATLAB. The method proposed in this paper is small, easy to implement and can be used in the battery non-working state SOC estimation correction, improve the estimation accuracy of SOC.

  14. Rock-Eval analysis of French forest soils: the influence of depth, soil and vegetation types on SOC thermal stability and bulk chemistry

    Science.gov (United States)

    Soucemarianadin, Laure; Cécillon, Lauric; Baudin, François; Cecchini, Sébastien; Chenu, Claire; Mériguet, Jacques; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    Soil organic matter (SOM) is the largest terrestrial carbon pool and SOM degradation has multiple consequences on key ecosystem properties like nutrients cycling, soil emissions of greenhouse gases or carbon sequestration potential. With the strong feedbacks between SOM and climate change, it becomes particularly urgent to develop reliable routine methodologies capable of indicating the turnover time of soil organic carbon (SOC) stocks. Thermal analyses have been used to characterize SOM and among them, Rock-Eval 6 (RE6) analysis of soil has shown promising results in the determination of in-situ SOC biogeochemical stability. This technique combines a phase of pyrolysis followed by a phase of oxidation to provide information on both the SOC bulk chemistry and thermal stability. We analyzed with RE6 a set of 495 soils samples from 102 permanent forest sites of the French national network for the long-term monitoring of forest ecosystems (''RENECOFOR'' network). Along with covering pedoclimatic variability at a national level, these samples include a range of 5 depths up to 1 meter (0-10 cm, 10-20 cm, 20-40 cm, 40-80 cm and 80-100 cm). Using RE6 parameters that were previously shown to be correlated to short-term (hydrogen index, HI; T50 CH pyrolysis) or long-term (T50 CO2 oxidation and HI) SOC persistence, and that characterize SOM bulk chemical composition (oxygen index, OI and HI), we tested the influence of depth (n = 5), soil class (n = 6) and vegetation type (n = 3; deciduous, coniferous-fir, coniferous-pine) on SOM thermal stability and bulk chemistry. Results showed that depth was the dominant discriminating factor, affecting significantly all RE6 parameters. With depth, we observed a decrease of the thermally labile SOC pool and an increase of the thermally stable SOC pool, along with an oxidation and a depletion of hydrogen-rich moieties of the SOC. Soil class and vegetation type had contrasted effects on the RE6 parameters but both affected significantly T

  15. Greenhouse gas emissions and stocks of soil carbon and nitrogen from a 20-year fertilised wheat-maize intercropping system: A model approach.

    Science.gov (United States)

    Zhang, Xubo; Xu, Minggang; Liu, Jian; Sun, Nan; Wang, Boren; Wu, Lianhai

    2016-02-01

    Accurate modelling of agricultural management impacts on greenhouse gas emissions and the cycling of carbon and nitrogen is complicated due to interactions between various processes and the disturbance caused by field management. In this study, a process-based model, the Soil-Plant-Atmosphere Continuum System (SPACSYS), was used to simulate the effects of different fertilisation regimes on crop yields, the dynamics of soil organic carbon (SOC) and total nitrogen (SN) stocks from 1990 to 2010, and soil CO2 (2007-2010) and N2O (2007-2008) emissions based on a long-term fertilisation experiment with a winter-wheat (Triticum Aestivum L.) and summer-maize (Zea mays L.) intercropping system in Eutric Cambisol (FAO) soil in southern China. Three fertilisation treatments were 1) unfertilised (Control), 2) chemical nitrogen, phosphorus and potassium (NPK), and 3) NPK plus pig manure (NPKM). Statistical analyses indicated that the SPACSYS model can reasonably simulate the yields of wheat and maize, the evolution of SOC and SN stocks and soil CO2 and N2O emissions. The simulations showed that the NPKM treatment had the highest values of crop yields, SOC and SN stocks, and soil CO2 and N2O emissions were the lowest from the Control treatment. Furthermore, the simulated results showed that manure amendment along with chemical fertiliser applications led to both C (1017 ± 470 kg C ha(-1) yr(-1)) and N gains (91.7 ± 15.1 kg N ha(-1) yr(-1)) in the plant-soil system, while the Control treatment caused a slight loss in C and N. In conclusion, the SPACSYS model can accurately simulate the processes of C and N as affected by various fertilisation treatments in the red soil. Furthermore, application of chemical fertilisers plus manure could be a suitable management for ensuring crop yield and sustaining soil fertility in the red soil region, but the ratio of chemical fertilisers to manure should be optimized to reduce C and N losses to the environment. Copyright © 2015

  16. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    Science.gov (United States)

    Feng, Ying; Wang, Zheng; Bao, Zhaoshi; Yan, Wei; You, Gan; Wang, Yinyan; Hu, Huimin; Zhang, Wei; Zhang, Quangeng; Jiang, Tao

    2014-01-01

    Hypermethylation of the suppressor of cytokine signaling 3(SOCS3) promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM). We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP), when a large number of gene loci are simultaneously hypermethylated. A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months) and 20 short-term survivors (STS; overall survival ≤ 9 months). The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status. Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA) and the Chinese Cancer Genome Atlas(CGGA). In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients. Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  17. SOCS3 promoter hypermethylation is a favorable prognosticator and a novel indicator for G-CIMP-positive GBM patients.

    Directory of Open Access Journals (Sweden)

    Ying Feng

    Full Text Available Hypermethylation of the suppressor of cytokine signaling 3(SOCS3 promoter has been reported to predict a poor prognosis in several cancers including glioblastoma multiforme (GBM. We explored the function of SOCS3 promoter hypermethylation in GBM cohorts, including analysis of the CpG island methylator phenotype (CIMP, when a large number of gene loci are simultaneously hypermethylated.A whole genome promoter methylation profile was performed in a cohort of 33 GBM samples, with 13 long-term survivors (LTS; overall survival ≥ 18 months and 20 short-term survivors (STS; overall survival ≤ 9 months. The SOCS3 promoter methylation status was compared between the two groups. In addition, we investigated the relationship of SOCS3 promoter methylation and G-CIMP status.Interestingly, in our present study, we found that SOCS3 promoter methylation was statistically significantly higher in the 13 LTS than that in the 20 STS. Furthermore, high SOCS3 promoter methylation detected via pyro-sequencing predicted a better prognosis in an independent cohort containing 62 GBM patients. This correlation was validated by the dataset from the Cancer Genome Atlas(TCGA and the Chinese Cancer Genome Atlas(CGGA. In addition, we found that hypermethylation of the SOCS3 promoter was tightly associated with the G-CIMP-positive GBM patients.Using a total of 359 clinical samples, we demonstrate that SOCS3 promoter hypermethylation status has a favorable prognostic value in GBM patients because of whole genome methylation status. Particularly, the hypermethylation of the SOCS3 promoter indicates positive G-CIMP status.

  18. Favorable prognostic value of SOCS2 and IGF-I in breast cancer

    International Nuclear Information System (INIS)

    Haffner, Michael C; Petridou, Barbara; Peyrat, Jean Phillipe; Révillion, Françoise; Müller-Holzner, Elisabeth; Daxenbichler, Günter; Marth, Christian; Doppler, Wolfgang

    2007-01-01

    Suppressor of cytokine signaling (SOCS) proteins comprise a protein family, which has initially been described as STAT induced inhibitors of the Jak/Stat pathway. Recent in vivo and in vitro studies suggest that SOCS proteins are also implicated in cancer. The STAT5 induced IGF-I acts as an endocrine and para/autocrine growth and differentiation factor in mammary gland development. Whereas high levels of circulating IGF-I have been associated with increased cancer risk, the role of autocrine acting IGF-I is less clear. The present study is aimed to elucidate the clinicopathological features associated with SOCS1, SOCS2, SOCS3, CIS and IGF-I expression in breast cancer. We determined the mRNA expression levels of SOCS1, SOCS2, SOCS3, CIS and IGF-I in 89 primary breast cancers by reverse transcriptase PCR. SOCS2 protein expression was further evaluated by immuno-blot and immunohistochemistry. SOCS2 expression inversely correlated with histopathological grade and ER positive tumors exhibited higher SOCS2 levels. Patients with high SOCS2 expression lived significantly longer (108.7 vs. 77.7 months; P = 0.015) and high SOCS2 expression proved to be an independent predictor for good prognosis (HR = 0.45, 95% CI 0.23 – 0.91, P = 0.026). In analogy to SOCS2, high IGF-I expression was an independent predictor for good prognosis in the entire patient cohort. In the subgroup of patients with lymph-node negative disease, high IGF-I was a strong predictor for favorable outcome in terms of overall survival and relapse free survival (HR = 0.075, 95% CI 0.014 – 0.388, P = 0.002). This is the first report on the favorable prognostic value of high SOCS2 expression in primary mammary carcinomas. Furthermore a strong association of high IGF-I expression levels with good prognosis was observed especially in lymph-node negative patients. Our results suggest that high expression of the STAT5 target genes SOCS2 and IGF-I is a feature of differentiated and less malignant tumors

  19. Identification of suppressor of cytokine signalling (SOCS) 6, 7, 9 and CISH in rainbow trout Oncorhynchus mykiss and analysis of their expression in relation to other known trout SOCS.

    Science.gov (United States)

    Wang, Tiehui; Gao, Qian; Nie, Pin; Secombes, Christopher J

    2010-10-01

    Four new members of the SOCS family of molecules in rainbow trout (Oncorhynchus mykiss), CISH and SOCS6, 7 and 9, are described for the first time in this species. The genes had a wide tissue distribution in trout, and were detected in gills, skin, muscle, liver, spleen, head kidney, intestine and brain, with brain having the highest expression levels. Stimulation of a rainbow trout leucocyte cell line, RTS-11, (mononuclear/macrophage-like cells) with LPS or Poly I:C had no effect on the expression of these genes, although in both cases the previously identified SOCS1-3 genes were up-regulated. Similarly, stimulation of RTS-11 or RTG-2 (fibroblasts) cells with the trout recombinant cytokines IFN-gamma or IL-1beta had no effect on CISH or SOCS6, 7 and 9 expression. However, PMA stimulation did impact on SOCS6 and SOCS9 expression, and LPS stimulation of primary cultures or bacterial infection (Yersinia ruckeri) increased significantly CISH expression (as well as SOCS1 and SOCS2 or SOCS3 respectively). It is apparent that the type II SOCS genes (CISH, SOCS1-3) are particularly relevant to immune regulation in fish, although the intriguing expansion of the SOCS4/5 subgroup in fish requires further investigation as to their role and functional divergence. Copyright 2010 Elsevier Ltd. All rights reserved.

  20. Impact of Restoration of Soil in a Humid Tropical Region on Storage of Organic Carbon in a Recalcitrant Pool

    Science.gov (United States)

    Jyoti Nath, Arun; Brahma, Biplab; Lal, Rattan; Das, Ashesh Kumar

    2017-04-01

    Quantifying soil organic carbon (SOC) changes through restoration of degraded lands is important to assessing the changes in soil properties. However, SOC measures all C fractions and its assessment is not adequate to distinguish between the more dynamic or active C (AC) fractions and the recalcitrant or passive C (PC) form. SOC fractions comprising of the recalcitrant pools have been suggested as a driver for long term soil C sink management. Therefore, the present study was undertaken at a site within the North Eastern India (NEI) region with an objective to explore whether or not SOC fractions change with restoration of degraded lands under humid tropical climate. An age-chronosequence study was established comprising of four different aged rubber plantations (6, 15, 27 and 34 yr. old) planted on Imperata grasslands. The site was selected to study changes in the different fractions of SOC and total SOC stock, and the data were compared with that of a native forest. The data indicated that the SOC stock increased from 106 Mg ha-1 under 6 yr. to 130 Mg ha-1 under 34 yr. old plantations. The SOC stock after 34 yr. of plantation was 20% higher than that under Imperata grassland, but was 34% lower than that under the native forest soil. With respect to lability of C fractions, proportion of AC pool decreased linearly with increase in plantation age from 59 % under 6 yr to 33 % under 34 yr. old plantations. In contrast, proportion of PC pool increased from 41 % of SOC stock under 6 yr. to 67 % of SOC under 34 yr. old plantations, suggesting the significant role of old aged plantation in C sink management.

  1. Favorable prognostic value of SOCS2 and IGF-I in breast cancer

    Directory of Open Access Journals (Sweden)

    Daxenbichler Günter

    2007-07-01

    Full Text Available Abstract Background Suppressor of cytokine signaling (SOCS proteins comprise a protein family, which has initially been described as STAT induced inhibitors of the Jak/Stat pathway. Recent in vivo and in vitro studies suggest that SOCS proteins are also implicated in cancer. The STAT5 induced IGF-I acts as an endocrine and para/autocrine growth and differentiation factor in mammary gland development. Whereas high levels of circulating IGF-I have been associated with increased cancer risk, the role of autocrine acting IGF-I is less clear. The present study is aimed to elucidate the clinicopathological features associated with SOCS1, SOCS2, SOCS3, CIS and IGF-I expression in breast cancer. Methods We determined the mRNA expression levels of SOCS1, SOCS2, SOCS3, CIS and IGF-I in 89 primary breast cancers by reverse transcriptase PCR. SOCS2 protein expression was further evaluated by immuno-blot and immunohistochemistry. Results SOCS2 expression inversely correlated with histopathological grade and ER positive tumors exhibited higher SOCS2 levels. Patients with high SOCS2 expression lived significantly longer (108.7 vs. 77.7 months; P = 0.015 and high SOCS2 expression proved to be an independent predictor for good prognosis (HR = 0.45, 95% CI 0.23 – 0.91, P = 0.026. In analogy to SOCS2, high IGF-I expression was an independent predictor for good prognosis in the entire patient cohort. In the subgroup of patients with lymph-node negative disease, high IGF-I was a strong predictor for favorable outcome in terms of overall survival and relapse free survival (HR = 0.075, 95% CI 0.014 – 0.388, P = 0.002. Conclusion This is the first report on the favorable prognostic value of high SOCS2 expression in primary mammary carcinomas. Furthermore a strong association of high IGF-I expression levels with good prognosis was observed especially in lymph-node negative patients. Our results suggest that high expression of the STAT5 target genes SOCS2 and IGF

  2. Carbon pool densities and a first estimate of the total carbon pool in the Mongolian forest-steppe.

    Science.gov (United States)

    Dulamsuren, Choimaa; Klinge, Michael; Degener, Jan; Khishigjargal, Mookhor; Chenlemuge, Tselmeg; Bat-Enerel, Banzragch; Yeruult, Yolk; Saindovdon, Davaadorj; Ganbaatar, Kherlenchimeg; Tsogtbaatar, Jamsran; Leuschner, Christoph; Hauck, Markus

    2016-02-01

    The boreal forest biome represents one of the most important terrestrial carbon stores, which gave reason to intensive research on carbon stock densities. However, such an analysis does not yet exist for the southernmost Eurosiberian boreal forests in Inner Asia. Most of these forests are located in the Mongolian forest-steppe, which is largely dominated by Larix sibirica. We quantified the carbon stock density and total carbon pool of Mongolia's boreal forests and adjacent grasslands and draw conclusions on possible future change. Mean aboveground carbon stock density in the interior of L. sibirica forests was 66 Mg C ha(-1) , which is in the upper range of values reported from boreal forests and probably due to the comparably long growing season. The density of soil organic carbon (SOC, 108 Mg C ha(-1) ) and total belowground carbon density (149 Mg C ha(-1) ) are at the lower end of the range known from boreal forests, which might be the result of higher soil temperatures and a thinner permafrost layer than in the central and northern boreal forest belt. Land use effects are especially relevant at forest edges, where mean carbon stock density was 188 Mg C ha(-1) , compared with 215 Mg C ha(-1) in the forest interior. Carbon stock density in grasslands was 144 Mg C ha(-1) . Analysis of satellite imagery of the highly fragmented forest area in the forest-steppe zone showed that Mongolia's total boreal forest area is currently 73 818 km(2) , and 22% of this area refers to forest edges (defined as the first 30 m from the edge). The total forest carbon pool of Mongolia was estimated at ~ 1.5-1.7 Pg C, a value which is likely to decrease in future with increasing deforestation and fire frequency, and global warming. © 2015 John Wiley & Sons Ltd.

  3. IL-10 and socs3 Are Predictive Biomarkers of Dengue Hemorrhagic Fever

    Directory of Open Access Journals (Sweden)

    Lilian Karem Flores-Mendoza

    2017-01-01

    Full Text Available Background. Cytokines play important roles in the physiopathology of dengue infection; therefore, the suppressors of cytokine signaling (socs that control the type and timing of cytokine functions could be involved in the origin of immune alterations in dengue. Objective. To explore the association of cytokine and socs levels with disease severity in dengue patients. Methods. Blood samples of 48 patients with confirmed dengue infection were analyzed. Amounts of interleukins IL-2, IL-4, IL-6, and IL-10, interferon- (IFN- γ, and tumor necrosis factor- (TNF- α were quantified by flow cytometry, and the relative expression of socs1 and socs3 mRNA was quantified by real-time RT-PCR. Results. Increased levels of IL-10 and socs3 and lower expression of socs1 were found in patients with dengue hemorrhagic fever (DHF with respect to those with dengue fever (DF (p199.8-fold, socs1 (134 pg/ml have the highest sensitivity and specificity to discriminate between DF and DHF. Conclusion. Simultaneous changes in IL-10 and socs1/socs3 could be used as prognostic biomarkers of dengue severity.

  4. Effects of Successive Rotation Regimes on Carbon Stocks in Eucalyptus Plantations in Subtropical China Measured over a Full Rotation.

    Science.gov (United States)

    Li, Xiaoqiong; Ye, Duo; Liang, Hongwen; Zhu, Hongguang; Qin, Lin; Zhu, Yuling; Wen, Yuanguang

    2015-01-01

    Plantations play an important role in carbon sequestration and the global carbon cycle. However, there is a dilemma in that most plantations are managed on short rotations, and the carbon sequestration capacities of these short-rotation plantations remain understudied. Eucalyptus has been widely planted in the tropics and subtropics due to its rapid growth, high adaptability, and large economic return. Eucalyptus plantations are primarily planted in successive rotations with a short rotation length of 6~8 years. In order to estimate the carbon-stock potential of eucalyptus plantations over successive rotations, we chose a first rotation (FR) and a second rotation (SR) stand and monitored the carbon stock dynamics over a full rotation from 1998 to 2005. Our results showed that carbon stock in eucalyptus trees (TC) did not significantly differ between rotations, while understory vegetation (UC) and soil organic matter (SOC) stored less carbon in the SR (1.01 vs. 2.76 Mg.ha(-1) and 70.68 vs. 81.08 Mg. ha(-1), respectively) and forest floor carbon (FFC) conversely stored more (2.80 vs. 2.34 Mg. ha(-1)). The lower UC and SOC stocks in the SR stand resulted in 1.13 times lower overall ecosystem carbon stock. Mineral soils and overstory trees were the two dominant carbon pools in eucalyptus plantations, accounting for 73.77%~75.06% and 20.50%~22.39%, respectively, of the ecosystem carbon pool. However, the relative contribution (to the ecosystem pool) of FFC stocks increased 1.38 times and that of UC decreased 2.30 times in the SR versus FR stand. These carbon pool changes over successive rotations were attributed to intensive successive rotation regimes of eucalyptus plantations. Our eight year study suggests that for the sustainable development of short-rotation plantations, a sound silvicultural strategy is required to achieve the best combination of high wood yield and carbon stock potential.

  5. Effects of Successive Rotation Regimes on Carbon Stocks in Eucalyptus Plantations in Subtropical China Measured over a Full Rotation.

    Directory of Open Access Journals (Sweden)

    Xiaoqiong Li

    Full Text Available Plantations play an important role in carbon sequestration and the global carbon cycle. However, there is a dilemma in that most plantations are managed on short rotations, and the carbon sequestration capacities of these short-rotation plantations remain understudied. Eucalyptus has been widely planted in the tropics and subtropics due to its rapid growth, high adaptability, and large economic return. Eucalyptus plantations are primarily planted in successive rotations with a short rotation length of 6~8 years. In order to estimate the carbon-stock potential of eucalyptus plantations over successive rotations, we chose a first rotation (FR and a second rotation (SR stand and monitored the carbon stock dynamics over a full rotation from 1998 to 2005. Our results showed that carbon stock in eucalyptus trees (TC did not significantly differ between rotations, while understory vegetation (UC and soil organic matter (SOC stored less carbon in the SR (1.01 vs. 2.76 Mg.ha(-1 and 70.68 vs. 81.08 Mg. ha(-1, respectively and forest floor carbon (FFC conversely stored more (2.80 vs. 2.34 Mg. ha(-1. The lower UC and SOC stocks in the SR stand resulted in 1.13 times lower overall ecosystem carbon stock. Mineral soils and overstory trees were the two dominant carbon pools in eucalyptus plantations, accounting for 73.77%~75.06% and 20.50%~22.39%, respectively, of the ecosystem carbon pool. However, the relative contribution (to the ecosystem pool of FFC stocks increased 1.38 times and that of UC decreased 2.30 times in the SR versus FR stand. These carbon pool changes over successive rotations were attributed to intensive successive rotation regimes of eucalyptus plantations. Our eight year study suggests that for the sustainable development of short-rotation plantations, a sound silvicultural strategy is required to achieve the best combination of high wood yield and carbon stock potential.

  6. Functional SOCS1 polymorphisms are associated with variation in obesity in whites

    DEFF Research Database (Denmark)

    Gylvin, T; Ek, J; Nolsøe, R.

    2009-01-01

    . A total of more than 8100 individuals were genotyped. RESULTS: Eight variations were identified in the 5' untranslated region (UTR) region. Two of these had allele frequencies below 1% and were not further examined. The six other variants were analysed in groups of T1D families (n = 1461 subjects) and T2D...... of both the rs33977706 and the rs243330 (-1656G > A) variants to obesity were found (p = 0.047 and p = 0.015) respectively. The rs33977706 affected both binding of a nuclear protein to and the transcriptional activity of the SOCS1 promoter, indicating a relationship between this polymorphism and gene...... regulation. CONCLUSIONS/INTERPRETATION: This study demonstrates that functional variations in the SOCS1 promoter may associate with alterations in BMI in the general white population....

  7. Modulation of SOCS protein expression influences the interferon responsiveness of human melanoma cells

    International Nuclear Information System (INIS)

    Lesinski, Gregory B; Zimmerer, Jason M; Kreiner, Melanie; Trefry, John; Bill, Matthew A; Young, Gregory S; Becknell, Brian; Carson, William E III

    2010-01-01

    Endogenously produced interferons can regulate the growth of melanoma cells and are administered exogenously as therapeutic agents to patients with advanced cancer. We investigated the role of negative regulators of interferon signaling known as suppressors of cytokine signaling (SOCS) in mediating interferon-resistance in human melanoma cells. Basal and interferon-alpha (IFN-α) or interferon-gamma (IFN-γ)-induced expression of SOCS1 and SOCS3 proteins was evaluated by immunoblot analysis in a panel of n = 10 metastatic human melanoma cell lines, in human embryonic melanocytes (HEM), and radial or vertical growth phase melanoma cells. Over-expression of SOCS1 and SOCS3 proteins in melanoma cells was achieved using the PINCO retroviral vector, while siRNA were used to inhibit SOCS1 and SOCS3 expression. Tyr 701 -phosphorylated STAT1 (P-STAT1) was measured by intracellular flow cytometry and IFN-stimulated gene expression was measured by Real Time PCR. SOCS1 and SOCS3 proteins were expressed at basal levels in melanocytes and in all melanoma cell lines examined. Expression of the SOCS1 and SOCS3 proteins was also enhanced following stimulation of a subset of cell lines with IFN-α or IFN-γ. Over-expression of SOCS proteins in melanoma cell lines led to significant inhibition of Tyr 701 -phosphorylated STAT1 (P-STAT1) and gene expression following stimulation with IFN-α (IFIT2, OAS-1, ISG-15) or IFN-γ (IRF1). Conversely, siRNA inhibition of SOCS1 and SOCS3 expression in melanoma cells enhanced their responsiveness to interferon stimulation. These data demonstrate that SOCS proteins are expressed in human melanoma cell lines and their modulation can influence the responsiveness of melanoma cells to IFN-α and IFN-γ

  8. Modeling Soil Organic Carbon Variation Along Climatic and Topographic Trajectories in the Central Andes

    Science.gov (United States)

    Gavilan, C.; Grunwald, S.; Quiroz, R.; Zhu, L.

    2015-12-01

    The Andes represent the largest and highest mountain range in the tropics. Geological and climatic differentiation favored landscape and soil diversity, resulting in ecosystems adapted to very different climatic patterns. Although several studies support the fact that the Andes are a vast sink of soil organic carbon (SOC) only few have quantified this variable in situ. Estimating the spatial distribution of SOC stocks in data-poor and/or poorly accessible areas, like the Andean region, is challenging due to the lack of recent soil data at high spatial resolution and the wide range of coexistent ecosystems. Thus, the sampling strategy is vital in order to ensure the whole range of environmental covariates (EC) controlling SOC dynamics is represented. This approach allows grasping the variability of the area, which leads to more efficient statistical estimates and improves the modeling process. The objectives of this study were to i) characterize and model the spatial distribution of SOC stocks in the Central Andean region using soil-landscape modeling techniques, and to ii) validate and evaluate the model for predicting SOC content in the area. For that purpose, three representative study areas were identified and a suite of variables including elevation, mean annual temperature, annual precipitation and Normalized Difference Vegetation Index (NDVI), among others, was selected as EC. A stratified random sampling (namely conditioned Latin Hypercube) was implemented and a total of 400 sampling locations were identified. At all sites, four composite topsoil samples (0-30 cm) were collected within a 2 m radius. SOC content was measured using dry combustion and SOC stocks were estimated using bulk density measurements. Regression Kriging was used to map the spatial variation of SOC stocks. The accuracy, fit and bias of SOC models was assessed using a rigorous validation assessment. This study produced the first comprehensive, geospatial SOC stock assessment in this

  9. Increased topsoil carbon stock across China's forests.

    Science.gov (United States)

    Yang, Yuanhe; Li, Pin; Ding, Jinzhi; Zhao, Xia; Ma, Wenhong; Ji, Chengjun; Fang, Jingyun

    2014-08-01

    Biomass carbon accumulation in forest ecosystems is a widespread phenomenon at both regional and global scales. However, as coupled carbon-climate models predicted, a positive feedback could be triggered if accelerated soil carbon decomposition offsets enhanced vegetation growth under a warming climate. It is thus crucial to reveal whether and how soil carbon stock in forest ecosystems has changed over recent decades. However, large-scale changes in soil carbon stock across forest ecosystems have not yet been carefully examined at both regional and global scales, which have been widely perceived as a big bottleneck in untangling carbon-climate feedback. Using newly developed database and sophisticated data mining approach, here we evaluated temporal changes in topsoil carbon stock across major forest ecosystem in China and analysed potential drivers in soil carbon dynamics over broad geographical scale. Our results indicated that topsoil carbon stock increased significantly within all of five major forest types during the period of 1980s-2000s, with an overall rate of 20.0 g C m(-2) yr(-1) (95% confidence interval, 14.1-25.5). The magnitude of soil carbon accumulation across coniferous forests and coniferous/broadleaved mixed forests exhibited meaningful increases with both mean annual temperature and precipitation. Moreover, soil carbon dynamics across these forest ecosystems were positively associated with clay content, with a larger amount of SOC accumulation occurring in fine-textured soils. In contrast, changes in soil carbon stock across broadleaved forests were insensitive to either climatic or edaphic variables. Overall, these results suggest that soil carbon accumulation does not counteract vegetation carbon sequestration across China's forest ecosystems. The combination of soil carbon accumulation and vegetation carbon sequestration triggers a negative feedback to climate warming, rather than a positive feedback predicted by coupled carbon-climate models

  10. Soil, vegetation and total organic carbon stock development in self-restoring abandoned vineyards

    Science.gov (United States)

    József Novák, Tibor; Incze, József; Spohn, Marie; Giani, Luise

    2016-04-01

    Abandoned vineyard's soil and vegetation development was studied on Tokaj Nagy-Hill, which is one of the traditional wine-producing regions of Hungary, it is declared as UNESCO World Heritage site as cultural landscape. Spatial distribution and pattern of vineyards were changing during the last several hundreds of years, therefore significant part of abandoned vineyards were subjected to long-term spontaneous secondary succession of vegetation and self-restoration of soils in absence of later cultivation. Two chronosequences of spontaneously regenerating vineyard abandonments, one on south (S-sequence) and one on southwest (SW-sequence) slope with differing times since their abandonment (193, 142, 101, 63, 39 and 14 years), were compiled and studied. The S-sequence was 25-35% sloped and strongly eroded, and the SW-sequence was 17-25% sloped and moderately eroded. The sites were investigated in respect of vegetation characteristics, soil physico-chemical characteristics, total organic carbon stocks (TOC stocks), accumulation rates of total organic carbon (TOC accumulation rates), and soil profiles, which were classified according to the World Reference Base (WRB) 2014. Vegetation development resulted in shrub-grassland mosaics, supplemented frequently by protected forb species and forest development at the earliest abandonment in S-sequence, and predominantly to forest vegetation in SW-sequence, where trees were only absent at the 63 and 14 years old abandonment sites. In all sites soils on level of reference groups according to WRB were classified, and Cambisols, Regosols, Calcisols, Leptosols, Chernozems and Phaeozems were found. Soils of the S-sequence show shallow remnants of loess cover with colluvic and redeposited soil materials containing 15-65% skeletal volcanic rock of weathering products coated by secondary calcium carbonates. The SW-sequence profiles are developed on deep loess or loess derivatives. The calcium-carbonate content was higher in profiles of

  11. Applying transport-distance specific SOC distribution to calibrate soil erosion model WaTEM

    Science.gov (United States)

    Hu, Yaxian; Heckrath, Goswin J.; Kuhn, Nikolaus J.

    2016-04-01

    Slope-scale soil erosion, transport and deposition fundamentally decide the spatial redistribution of eroded sediments in terrestrial and aquatic systems, which further affect the burial and decomposition of eroded SOC. However, comparisons of SOC contents between upper eroding slope and lower depositional site cannot fully reflect the movement of eroded SOC in-transit along hillslopes. The actual transport distance of eroded SOC is decided by its settling velocity. So far, the settling velocity distribution of eroded SOC is mostly calculated from mineral particle specific SOC distribution. Yet, soil is mostly eroded in form of aggregates, and the movement of aggregates differs significantly from individual mineral particles. This urges a SOC erodibility parameter based on actual transport distance distribution of eroded fractions to better calibrate soil erosion models. Previous field investigation on a freshly seeded cropland in Denmark has shown immediate deposition of fast settling soil fractions and the associated SOC at footslopes, followed by a fining trend at the slope tail. To further quantify the long-term effects of topography on erosional redistribution of eroded SOC, the actual transport-distance specific SOC distribution observed on the field was applied to a soil erosion model WaTEM (based on USLE). After integrating with local DEM, our calibrated model succeeded in locating the hotspots of enrichment/depletion of eroded SOC on different topographic positions, much better corresponding to the real-world field observation. By extrapolating into repeated erosion events, our projected results on the spatial distribution of eroded SOC are also adequately consistent with the SOC properties in the consecutive sample profiles along the slope.

  12. Analysis of Economic Factors Affecting Stock Market

    OpenAIRE

    Xie, Linyin

    2010-01-01

    This dissertation concentrates on analysis of economic factors affecting Chinese stock market through examining relationship between stock market index and economic factors. Six economic variables are examined: industrial production, money supply 1, money supply 2, exchange rate, long-term government bond yield and real estate total value. Stock market comprises fixed interest stocks and equities shares. In this dissertation, stock market is restricted to equity market. The stock price in thi...

  13. The simple art of SoC design

    CERN Document Server

    Keating, Michael

    2011-01-01

    This book tackles head-on the challenges of digital design in the era of billion-transistor SoCs. It discusses fundamental design concepts in design and coding required to produce robust, functionally correct designs. It also provides specific techniques for measuring and minimizing complexity in RTL code. Finally, it discusses the tradeoff between RTL and high-level (C-based) design and how tools and languages must progress to address the needs of tomorrow's SoC designs.

  14. Global patterns and controls of soil organic carbon dynamics as simulated by multiple terrestrial biosphere models: Current status and future directions.

    Science.gov (United States)

    Tian, Hanqin; Lu, Chaoqun; Yang, Jia; Banger, Kamaljit; Huntzinger, Deborah N; Schwalm, Christopher R; Michalak, Anna M; Cook, Robert; Ciais, Philippe; Hayes, Daniel; Huang, Maoyi; Ito, Akihiko; Jain, Atul K; Lei, Huimin; Mao, Jiafu; Pan, Shufen; Post, Wilfred M; Peng, Shushi; Poulter, Benjamin; Ren, Wei; Ricciuto, Daniel; Schaefer, Kevin; Shi, Xiaoying; Tao, Bo; Wang, Weile; Wei, Yaxing; Yang, Qichun; Zhang, Bowen; Zeng, Ning

    2015-06-01

    Soil is the largest organic carbon (C) pool of terrestrial ecosystems, and C loss from soil accounts for a large proportion of land-atmosphere C exchange. Therefore, a small change in soil organic C (SOC) can affect atmospheric carbon dioxide (CO 2 ) concentration and climate change. In the past decades, a wide variety of studies have been conducted to quantify global SOC stocks and soil C exchange with the atmosphere through site measurements, inventories, and empirical/process-based modeling. However, these estimates are highly uncertain, and identifying major driving forces controlling soil C dynamics remains a key research challenge. This study has compiled century-long (1901-2010) estimates of SOC storage and heterotrophic respiration (Rh) from 10 terrestrial biosphere models (TBMs) in the Multi-scale Synthesis and Terrestrial Model Intercomparison Project and two observation-based data sets. The 10 TBM ensemble shows that global SOC estimate ranges from 425 to 2111 Pg C (1 Pg = 10 15  g) with a median value of 1158 Pg C in 2010. The models estimate a broad range of Rh from 35 to 69 Pg C yr -1 with a median value of 51 Pg C yr -1 during 2001-2010. The largest uncertainty in SOC stocks exists in the 40-65°N latitude whereas the largest cross-model divergence in Rh are in the tropics. The modeled SOC change during 1901-2010 ranges from -70 Pg C to 86 Pg C, but in some models the SOC change has a different sign from the change of total C stock, implying very different contribution of vegetation and soil pools in determining the terrestrial C budget among models. The model ensemble-estimated mean residence time of SOC shows a reduction of 3.4 years over the past century, which accelerate C cycling through the land biosphere. All the models agreed that climate and land use changes decreased SOC stocks, while elevated atmospheric CO 2 and nitrogen deposition over intact ecosystems increased SOC stocks-even though the responses varied

  15. Changes of Organic Carbon Quantity and Quality in Temperate Forest Soils

    Science.gov (United States)

    Kühnel, Anna; Satwika Lestari, Annisa; Schubert, Alfred; Wiesmeier, Martin; Spörlein, Peter; Schilling, Bernd; Kögel-Knabner, Ingrid

    2017-04-01

    Climate change will have profound impacts on organic matter stocks and thus on the functionality of soils. Soil organic carbon (SOC) content in soil is mainly regulated by the fluxes of organic matter which are highly associated with the aboveground and root litter production and their decompositions into CO2 by soil microorganism. The predicted rising temperatures in Bavaria might lead to an increased decomposition and release of soil carbon into the atmosphere, which would deteriorate a number of important soil functions. Here, we present an assessment of SOC stocks in three temperate forest sites over the last 30 years. Soil to a depth of 30 cm was analysed with density fractionation to evaluate SOC stocks and distribution in different pools. Additionally, tree-aboveground organic carbon (OC) stocks were measured to assess their influence on SOC. SOC stocks decreased between 1988 and 2004 and increased between 2004 and 2016. OC changes of litter + O layer and mineral soil differed. Highest changes of SOC occurred in the light fractions, followed by the mineral fractions. Tree-aboveground biomass, stand composition, and changing climate had an influence on SOC stocks. Precipitation change was correlated with the litter + O layer OC stocks. Further studies on the changes of each SOC fraction and the influence of other edaphic factors are needed to better understand the changes in SOC stocks and quality.

  16. Fish Suppressors of Cytokine Signaling (SOCS): Gene Discovery, Modulation of Expression and Function

    Science.gov (United States)

    Wang, Tiehui; Gorgoglione, Bartolomeo; Maehr, Tanja; Holland, Jason W.; Vecino, Jose L. González; Wadsworth, Simon; Secombes, Christopher J.

    2011-01-01

    The intracellular suppressors of cytokine signaling (SOCS) family members, including CISH and SOCS1 to 7 in mammals, are important regulators of cytokine signaling pathways. So far, the orthologues of all the eight mammalian SOCS members have been identified in fish, with several of them having multiple copies. Whilst fish CISH, SOCS3, and SOCS5 paralogues are possibly the result of the fish-specific whole genome duplication event, gene duplication or lineage-specific genome duplication may also contribute to some paralogues, as with the three trout SOCS2s and three zebrafish SOCS5s. Fish SOCS genes are broadly expressed and also show species-specific expression patterns. They can be upregulated by cytokines, such as IFN-γ, TNF-α, IL-1β, IL-6, and IL-21, by immune stimulants such as LPS, poly I:C, and PMA, as well as by viral, bacterial, and parasitic infections in member- and species-dependent manners. Initial functional studies demonstrate conserved mechanisms of fish SOCS action via JAK/STAT pathways. PMID:22203897

  17. Effect of SOCS1 overexpression on RPE cell activation by proinflammatory cytokines.

    Science.gov (United States)

    Bazewicz, Magdalena; Draganova, Dafina; Makhoul, Maya; Chtarto, Abdel; Elmaleh, Valerie; Tenenbaum, Liliane; Caspers, Laure; Bruyns, Catherine; Willermain, François

    2016-09-06

    The purpose of this study was to investigate the in vitro effect of Suppressor Of Cytokine Signaling 1 (SOCS1) overexpression in retinal pigment epithelium (RPE) cells on their activation by pro-inflammatory cytokines IFNγ, TNFα and IL-17. Retinal pigment epithelium cells (ARPE-19) were stably transfected with the control plasmid pIRES2-AcGFP1 or the plasmid pSOCS1-IRES2-AcGFP1. They were stimulated by IFNγ (150ng/ml), TNFα (30ng/ml) or IL-17 (100ng/ml). The levels of SOCS1 mRNA were measured by real-time PCR. Signal Transducer and Activator of Transcription 1 (STAT1) phosphorylation and IκBα expression were analysed by western Blot (WB). IL-8 secretion was analysed by ELISA and expression of MHCII molecules and ICAM-1/CD54 by flow cytometry. Our data show that SOCS1 mRNA overexpression in RPE cells prevents IFNγ-induced SOCS1 mRNA increase and IFNγ-mediated STAT1 phosphorylation. Moreover, SOCS1 overexpression in RPE cells inhibits IFNγ-induced decrease of IL-8 secretion and prevents IFNγ-induced MHC II and ICAM1/CD54 upregulation. However, SOCS1 overexpression does not affect TNFα-induced IκBα degradation nor block TNFα-induced or IL-17-induced IL-8 secretion. On the contrary, IL-17-induced secretion is increased by SOCS1 overexpression. In conclusion, SOCS1 overexpression in RPE cells inhibits some IFNγ-mediated responses that lead to uveitis development. This notion raises the possibility that SOCS1 overexpression could be a novel target for treating non-infectious uveitis. However, some proinflammatory effects of TNFα and IL-17 stimulation on RPE are not blocked by SOCS1 overexpression. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  18. Constitutive expression of the K-domain of a Vaccinium corymbosum SOC1-like (VcSOC1-K) MADS-box gene is sufficient to promote flowering in tobacco.

    Science.gov (United States)

    Song, Guo-qing; Walworth, Aaron; Zhao, Dongyan; Hildebrandt, Britton; Leasia, Michael

    2013-11-01

    The K-domain of a blueberry-derived SOC1 -like gene promotes flowering in tobacco without negatively impacting yield, demonstrating potential for manipulation of flowering time in horticultural crops. The SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (SOC1) and SOC1-likes, belonging to the MIKC(c) (type II) MADS-box gene subfamily, are major floral activators and integrators of plant flowering. Both MADS-domains and K (Keratin)-domains are highly conserved in MIKC(c)-type MADS proteins. While there are many reports on overexpression of intact MIKC(c)-type MADS-box genes, few studies have been conducted to investigate the effects of the K-domains. In this report, a 474-bp K-domain of Vaccinium SOC1-like (VcSOC1-K) was cloned from the cDNA library of the northern highbush blueberry (Vaccinium corymbosum L.). Functional analysis of the VcSOC1-K was conducted by ectopically expressing of 35S:VcSOC1-K in tobacco. Reverse transcription PCR confirmed expression of the VcSOC1-K in T0 plants. Phenotypically, T1 transgenic plants (10 T1 plants/event) flowered sooner after seeding, and were shorter with fewer leaves at the time of flowering, than nontransgenic plants; but seed pod production of transgenic plants was not significantly affected. These results demonstrate that overexpression of the K-domain of a MIKC(c)-type MADS-box gene alone is sufficient to promote early flowering and more importantly without affecting seed production.

  19. Carbon and nitrogen stocks of an Arenosol under irrigated fruit orchards in semiarid Brazil Estoques de carbono e nitrogênio de um Neossolo Quartzarênico sob cultivo de fruteiras irrigadas na região do semi-árido do Brasil

    Directory of Open Access Journals (Sweden)

    Alberto Carlos de Campos Bernardi

    2007-01-01

    Full Text Available Soil organic matter accumulation provides benefits to soil productivity and reduces atmospheric carbon concentration. However, little is known about the accumulation of C and N by sandy soils under perennial irrigated crops in semiarid regions. The objective of this study was to evaluate the effect of irrigated fruit orchard cultivation on the stocks of soil organic carbon (SOC and total nitrogen (N of an Arenosol/Quartzpsament from Paraipaba-CE, Semiarid Brazil. Soil samples were taken from irrigated orchards of banana (Musa ssp., cashew (Anacardium ocidentale, guava (Psidium guajava, bullock's heart (Annona reticulata, mango (Mangifera indica, and sapote (Manilkara zapota in the wetted-bulb and non-irrigated area between the plant rows. Additional samples were collected from adjacent areas under secondary Caatinga forest, and under a 2-year-old deforested area. Sampling depths were: 0-0.1, 0.1-0.2, and 0.2-0.4 m. The highest contents of SOC and N were found in the surface layer. The soil bulk density did not change in depth. The stocks of SOC and total N under secondary forest at 0-0.40 m layer were 27.6 and 2.4 Mg ha-1, respectively. Caatinga Forest conversion into fruit orchard cultivation led to a decrease of 5 to 23% and 4 to 21% on SOC and N stocks, respectively. Compared to other soil uses, sapote and bullock's heart contributed for a lower decrease of SOC and N stocks after deforestation. Guava, bullock's heart, mango and sapote contributed for improving the SOC stratification index.O acúmulo de matéria orgânica beneficia a produtividade do solo e reduz a concentração do carbono atmosférico. Entretanto, pouco é sabido a respeito do acúmulo de C e N em solos arenosos cultivados com culturas perenes irrigadas em regiões semi-áridas. O objetivo deste trabalho foi avaliar o efeito do cultivo de fruteiras irrigadas nos estoques de C e N de um Neossolo Quartzarênico em Paraipaba, CE. Foram coletadas amostras na linha e na

  20. Effects of revegetation and precipitation gradient on soil carbon and nitrogen variations in deep profiles on the Loess Plateau of China.

    Science.gov (United States)

    Tuo, Dengfeng; Gao, Guangyao; Chang, Ruiying; Li, Zongshan; Ma, Ying; Wang, Shuai; Wang, Cong; Fu, Bojie

    2018-06-01

    Precipitation is one of the most important factors affecting the variations in soil carbon (C) and nitrogen (N) following revegetation. However, the effects of revegetation and precipitation gradients on soil organic carbon (SOC), total nitrogen (TN) and C-N interactions in deep profiles over large scales are poorly understood. This study measured the SOC and TN stocks to depth of 300 cm in three revegetation types (grassland, shrubland and forestland) and paired cropland stands at seven sites along a precipitation gradient with mean annual precipitation (MAP) from 280 to 540 mm yr -1 in the Loess Plateau of China. The results showed that the SOC and TN stocks in the 0-300 cm profile increased along the precipitation gradient. Revegetation did not always result in accumulation of SOC and TN stocks, which depended on the precipitation condition and varied among different vegetation types. Grassland restoration resulted in more SOC and TN accumulation than shrubland and forestland in areas with MAP  510 mm. The changes in SOC and TN stocks following revegetation (∆SOC and ∆TN) were significantly correlated with MAP in only the 0-20 cm layer, whereas the changes in the C/N ratio of each depth were significantly and negatively correlated with MAP. The correlations between ∆SOC and ∆TN were stronger in the 0-60 cm layer than that in the 60-300 cm layer, and an accumulation of 1 g TN was associated with approximately 7.9 g increase of SOC in the 0-300 cm profile following revegetation. This study indicated that the changes in soil C and N stocks following revegetation had different patterns along precipitation gradient and among depths, and grassland restoration and N fertilizer input benefitted soil C and N sequestration in drier areas. Copyright © 2018 Elsevier B.V. All rights reserved.

  1. The Use of Mixed Effects Models for Obtaining Low-Cost Ecosystem Carbon Stock Estimates in Mangroves of the Asia-Pacific

    Science.gov (United States)

    Bukoski, J. J.; Broadhead, J. S.; Donato, D.; Murdiyarso, D.; Gregoire, T. G.

    2016-12-01

    Mangroves provide extensive ecosystem services that support both local livelihoods and international environmental goals, including coastal protection, water filtration, biodiversity conservation and the sequestration of carbon (C). While voluntary C market projects that seek to preserve and enhance forest C stocks offer a potential means of generating finance for mangrove conservation, their implementation faces barriers due to the high costs of quantifying C stocks through measurement, reporting and verification (MRV) activities. To streamline MRV activities in mangrove C forestry projects, we develop predictive models for (i) biomass-based C stocks, and (ii) soil-based C stocks for the mangroves of the Asia-Pacific. We use linear mixed effect models to account for spatial correlation in modeling the expected C as a function of stand attributes. The most parsimonious biomass model predicts total biomass C stocks as a function of both basal area and the interaction between latitude and basal area, whereas the most parsimonious soil C model predicts soil C stocks as a function of the logarithmic transformations of both latitude and basal area. Random effects are specified by site for both models, and are found to explain a substantial proportion of variance within the estimation datasets. The root mean square error (RMSE) of the biomass C model is approximated at 24.6 Mg/ha (18.4% of mean biomass C in the dataset), whereas the RMSE of the soil C model is estimated at 4.9 mg C/cm 3 (14.1% of mean soil C). A substantial proportion of the variation in soil C, however, is explained by the random effects and thus the use of the SOC model may be most valuable for sites in which field measurements of soil C exist.

  2. SOCS-1 Localizes to the Microtubule Organizing Complex-Associated 20S Proteasome

    OpenAIRE

    Vuong, Bao Q.; Arenzana, Teresita L.; Showalter, Brian M.; Losman, Julie; Chen, X. Peter; Mostecki, Justin; Banks, Alexander S.; Limnander, Andre; Fernandes, Neil; Rothman, Paul B.

    2005-01-01

    The regulation of cytokine signaling is critical for controlling cellular proliferation and activation during an immune response. SOCS-1 is a potent inhibitor of Jak kinase activity and of signaling initiated by several cytokines. SOCS-1 protein levels are tightly regulated, and recent data suggest that SOCS-1 may regulate the protein levels of some signaling proteins by the ubiquitin proteasome pathway; however, the cellular mechanism by which SOCS-1 directs proteins for degradation is unkno...

  3. Trichostatin A, a histone deacetylase inhibitor, suppresses JAK2/STAT3 signaling via inducing the promoter-associated histone acetylation of SOCS1 and SOCS3 in human colorectal cancer cells.

    Science.gov (United States)

    Xiong, Hua; Du, Wan; Zhang, Yan-Jie; Hong, Jie; Su, Wen-Yu; Tang, Jie-Ting; Wang, Ying-Chao; Lu, Rong; Fang, Jing-Yuan

    2012-02-01

    Aberrant janus kinase/signal transducers and activators of transcription (JAK/STAT) signaling is involved in the oncogenesis of several cancers. Suppressors of cytokine signaling (SOCS) genes and SH2-containing protein tyrosine phosphatase 1 (SHP1) proteins, which are negative regulators of JAK/STAT signaling, have been reported to have tumor suppressor functions. However, in colorectal cancer (CRC) cells, the mechanisms that regulate SOCS and SHP1 genes, and the cause of abnormalities in the JAK/STAT signaling pathway, remain largely unknown. The present study shows that trichostatin A (TSA), a histone deacetylase (HDAC) inhibitor, leads to the hyperacetylation of histones associated with the SOCS1 and SOCS3 promoters, but not the SHP1 promoter in CRC cells. This indicates that histone modifications are involved in the regulation of SOCS1 and SOCS3. Moreover, upregulation of SOCS1 and SOCS3 expression was achieved using TSA, which also significantly downregulated JAK2/STAT3 signaling in CRC cells. We also demonstrate that TSA suppresses the growth of CRC cells, and induces G1 cell cycle arrest and apoptosis through the regulation of downstream targets of JAK2/STAT3 signaling, including Bcl-2, survivin and p16(ink4a) . Therefore, our data demonstrate that TSA may induce SOCS1 and SOCS3 expression by inducing histone modifications and consequently inhibits JAK2/STAT3 signaling in CRC cells. These results also establish a mechanistic link between the inhibition of JAK2/STAT3 signaling and the anticancer action of TSA in CRC cells. Copyright © 2011 Wiley Periodicals, Inc.

  4. STAT3-mediated constitutive expression of SOCS-3 in cutaneous T-cell lymphoma

    DEFF Research Database (Denmark)

    Brender, C; Nielsen, M; Kaltoft, K

    2001-01-01

    ) obtained from affected skin from a patient with mycosis fungoides (MF) and from peripheral blood from a patient with Sezary syndrome (SS). In contrast, constitutive SOCS-3 expression is not found in the leukemic Jurkat T-cell line, the MOLT-4 acute lymphoblastic leukemia cell line, and the monocytic......, it has been hypothesized that an aberrant SOCS expression plays a role in neoplastic transformation. This study reports on a constitutive SOCS-3 expression in cutaneous T-cell lymphoma (CTCL) cell lines. SOCS-3 protein is constitutively expressed in tumor cell lines (but not in nonmalignant T cells...... leukemic cell line U937. Expression of SOCS-3 coincides with a constitutive activation of STAT3 in CTCL tumor cells, and stable transfection of CTCL tumor cells with a dominant negative STAT3 strongly inhibits SOCS-3 expression, whereas transfection with wild-type STAT3 does not. Moreover, the reduced SOCS...

  5. Essential issues in SOC design designing complex systems-on-chip

    CERN Document Server

    Lin, Youn-long Steve

    2007-01-01

    Covers issues related to system-on-chip (SoC) design. This book covers IP development, verification, integration, chip implementation, testing and software. It contains valuable academic and industrial examples for those involved with the design of complex SOCs.

  6. Medicago truncatula SOC1 Genes Are Up-regulated by Environmental Cues That Promote Flowering

    Directory of Open Access Journals (Sweden)

    Jared B. Fudge

    2018-04-01

    Full Text Available Like Arabidopsis thaliana, the flowering of the legume Medicago truncatula is promoted by long day (LD photoperiod and vernalization. However, there are differences in the molecular mechanisms involved, with orthologs of two key Arabidopsis thaliana regulators, FLOWERING LOCUS C (FLC and CONSTANS (CO, being absent or not having a role in flowering time function in Medicago. In Arabidopsis, the MADS-box transcription factor gene, SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (AtSOC1, plays a key role in integrating the photoperiodic and vernalization pathways. In this study, we set out to investigate whether the Medicago SOC1 genes play a role in regulating flowering time. Three Medicago SOC1 genes were identified and characterized (MtSOC1a–MtSOC1c. All three MtSOC1 genes, when heterologously expressed, were able to promote earlier flowering of the late-flowering Arabidopsis soc1-2 mutant. The three MtSOC1 genes have different patterns of expression. However, consistent with a potential role in flowering time regulation, all three MtSOC1 genes are expressed in the shoot apex and are up-regulated in the shoot apex of plants in response to LD photoperiods and vernalization. The up-regulation of MtSOC1 genes was reduced in Medicago fta1-1 mutants, indicating that they are downstream of MtFTa1. Insertion mutant alleles of Medicago soc1b do not flower late, suggestive of functional redundancy among Medicago SOC1 genes in promoting flowering.

  7. Modeling changes in organic carbon stocks for distinct soils in southeastern brazil after four eucalyptus rotations using the century model

    Directory of Open Access Journals (Sweden)

    Augusto Miguel Nascimento Lima

    2011-06-01

    Full Text Available Soil organic matter (SOM plays an important role in carbon (C cycle and soil quality. Considering the complexity of factors that control SOM cycling and the long time it usually takes to observe changes in SOM stocks, modeling constitutes a very important tool to understand SOM cycling in forest soils. The following hypotheses were tested: (i soil organic carbon (SOC stocks would be higher after several rotations of eucalyptus than in low-productivity pastures; (ii SOC values simulated by the Century model would describe the data better than the mean of observations. So, the aims of the current study were: (i to evaluate the SOM dynamics using the Century model to simulate the changes of C stocks for two eucalyptus chronosequences in the Rio Doce Valley, Minas Gerais State, Brazil; and (ii to compare the C stocks simulated by Century with the C stocks measured in soils of different Orders and regions of the Rio Doce Valley growing eucalyptus. In Belo Oriente (BO, short-rotation eucalyptus plantations had been cultivated for 4.0; 13.0, 22.0, 32.0 and 34.0 years, at a lower elevation and in a warmer climate, while in Virginópolis (VG, these time periods were 8.0, 19.0 and 33.0 years, at a higher elevation and in a milder climate. Soil samples were collected from the 0-20 cm layer to estimate C stocks. Results indicate that the C stocks simulated by the Century model decreased after 37 years of poorly managed pastures in areas previously covered by native forest in the regions of BO and VG. The substitution of poorly managed pastures by eucalyptus in the early 1970´s led to an average increase of C of 0.28 and 0.42 t ha-1 year-1 in BO and VG, respectively. The measured C stocks under eucalyptus in distinct soil Orders and independent regions with variable edapho-climate conditions were not far from the values estimated by the Century model (root mean square error - RMSE = 20.9; model efficiency - EF = 0.29 despite the opposite result obtained

  8. Over-expression of KdSOC1 gene affected plantlet morphogenesis in Kalanchoe daigremontiana.

    Science.gov (United States)

    Zhu, Chen; Wang, Li; Chen, Jinhua; Liu, Chenglan; Zeng, Huiming; Wang, Huafang

    2017-07-17

    Kalanchoe daigremontiana reproduces asexually by producing plantlets along the leaf margin. The aim of this study was to identify the function of the SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 gene in Kalanchoe daigremontiana (KdSOC1) during plantlet morphogenesis. In this study, KdSOC1 gene expression was detected at stem cell niche during in vitro somatic embryogenesis and plantlet morphogenesis. Disrupting endogenous auxin transportation suppressed the KdSOC1 gene response. Knockdown of the KdSOC1 gene caused a defect in cotyledon formation during the early heart stage of somatic embryogenesis. Over-expression (OE) of the KdSOC1 gene resulted in asymmetric plantlet distribution, a reduced number of plantlets, thicker leaves, and thicker vascular fibers. Higher KdPIN1 gene expression and auxin content were found in OE plant compared to those of wild-type plant leaves, which indicated possible KdSOC1 gene role in affecting auxin distribution and accumulation. KdSOC1 gene OE in DR5-GUS Arabidopsis reporting lines resulted in an abnormal auxin response pattern during different stages of somatic embryogenesis. In summary, the KdSOC1 gene OE might alter auxin distribution and accumulation along leaf margin to initiate plantlet formation and distribution, which is crucial for plasticity during plantlet formation under various environmental conditions.

  9. Deletion of skeletal muscle SOCS3 prevents insulin resistance in obesity

    DEFF Research Database (Denmark)

    Beck Jørgensen, Sebastian; O'Neill, Hayley M; Sylow, Lykke

    2013-01-01

    Obesity is associated with chronic low-grade inflammation that contributes to defects in energy metabolism and insulin resistance. Suppressor of cytokine signaling (SOCS)-3 expression is increased in skeletal muscle of obese humans. SOCS3 inhibits leptin signaling in the hypothalamus and insulin...... of hyperinsulinemia and insulin resistance because of enhanced skeletal muscle insulin receptor substrate 1 (IRS1) and Akt phosphorylation that resulted in increased skeletal muscle glucose uptake. These data indicate that skeletal muscle SOCS3 does not play a critical role in regulating muscle development or energy...... expenditure, but it is an important contributing factor for inhibiting insulin sensitivity in obesity. Therapies aimed at inhibiting SOCS3 in skeletal muscle may be effective in reversing obesity-related glucose intolerance and insulin resistance....

  10. Quantifying Tree and Soil Carbon Stocks in a Temperate Urban Forest in Northeast China

    Directory of Open Access Journals (Sweden)

    Hailiang Lv

    2016-09-01

    Full Text Available Society has placed greater focus on the ecological service of urban forests; however, more information is required on the variation of carbon (C in trees and soils in different functional forest types, administrative districts, and urban-rural gradients. To address this issue, we measured various tree and soil parameters by sampling 219 plots in the urban forest of the Harbin city region. Averaged tree and soil C stock density (C stocks per unit tree cover for Harbin city were 7.71 (±7.69 kg C·m−2 and 5.48 (±2.86 kg C·m−2, respectively. They were higher than those of other Chinese cities (Shenyang and Changchun, but were much lower than local natural forests. The tree C stock densities varied 2.3- to 3.2-fold among forest types, administrative districts, and ring road-based urban-rural gradients. In comparison, soil organic C (SOC densities varied by much less (1.4–1.5-fold. We found these to be urbanization-dependent processes, which were closely related to the urban-rural gradient data based on ring-roads and settlement history patterns. We estimated that SOC accumulation during the 100-year urbanization of Harbin was very large (5 to 14 thousand tons, accounting for over one quarter of the stored C in trees. Our results provide new insights into the dynamics of above- and below-ground C (especially in soil during the urbanization process, and that a city’s ability to provide C-related ecosystem services increases as it ages. Our findings highlight that urbanization effects should be incorporated into calculations of soil C budgets in regions subject to rapid urban expansion, such as China.

  11. Evaluation of different estimating techniques to generate best possible total return on investing on individual stocks on Oslo Stock Exchange

    OpenAIRE

    Larikka, Jyri Egil

    2010-01-01

    Master's thesis in Finance My intension with this thesis is to present three different kinds of models to analyze stock market and to find good buy candidates. They use different methodology as the first is using pair-trading, the second is using technical analysis and the third is using regression analysis. The first model uses momentum strategy and adaptive market hypothesis in a pair trading context to dynamically generate good pairs of stocks based on their log return and correlatio...

  12. SOCS3 inhibiting migration of A549 cells correlates with PYK2 signaling in vitro

    Directory of Open Access Journals (Sweden)

    Zhang Qingfu

    2008-05-01

    Full Text Available Abstract Background Suppressor of cytokine signaling 3 (SOCS3 is considered to inhibit cytokine responses and play a negative role in migration of various cells. Proline-rich tyrosine kinase 2 (PYK2 is a non-receptor kinase and has been found crucial to cell motility. However, little is known about whether SOCS3 could regulate PYK2 pro-migratory function in lung cancer. Methods The methylation status of SOCS3 was investigated in HBE and A549 cell lines by methylation-specific PCR. A549 cells were either treated with a demethylation agent 5-aza-2'-deoxycytidine or transfected with three SOCS3 mutants with various functional domains deleted. Besides, cells were pretreated with a proteasome inhibitor β-lactacystin where indicated. The effects of SOCS3 up-regulation on PYK2 expression, PYK2 and ERK1/2 phosphorylations were assessed by western blot using indicated antibodies. RT-PCR was used to estimate PYK2 mRNA levels. Transwell experiments were performed to evaluate cell migration. Results SOCS3 expression was found impaired in A549 cells and higher PYK2 activity was correlated with enhanced cell migration. We identified that SOCS3 was aberrantly methylated in the exon 2, and 5-aza-2'-deoxycytidine restored SOCS3 expression. Reactivation of SOCS3 attenuated PYK2 expression and phosphorylation, cell migration was inhibited as well. Transfection studies indicated that exogenous SOCS3 interacted with PYK2, and both the Src homology 2 (SH2 and the kinase inhibitory region (KIR domains of SOCS3 contributed to PYK2 binding. Furthermore, SOCS3 was found to inhibit PYK2-associated ERK1/2 activity in A549 cells. SOCS3 possibly promoted degradation of PYK2 in a SOCS-box-dependent manner and interfered with PYK2-related signaling events, such as cell migration. Conclusion These data indicate that SOCS3 negatively regulates cell motility and decreased SOCS3 induced by methylation may confer a migration advantage to A549 cells. These results also suggest a

  13. Chemical attributes, total organic carbon stock and humified fractions of organic matter soil submitted to different systems of sugarcane management

    Directory of Open Access Journals (Sweden)

    Jean Sérgio Rosset

    2014-10-01

    Full Text Available Mechanized harvesting maintenance of trash from cane sugar and soil application of waste as vinasse and filter cake can improve the system of crop yield. Thus, this study aimed to evaluate the changes in the chemical, the stock of total organic carbon and humified organic matter fractions in an Oxisol cultivated with cane sugar with the following management systems: with sugarcane vinasse application (CCV, without application of burnt cane waste (CQS, with burnt cane vinasse application (CQV, with application of burnt cane filter cake (CQTF and burnt cane with joint application of vinasse and filter cake (CQVTF. For reference we used an area of natural vegetation (NV, Cerrado sensu stricto. Treatment CQVTF showed improvement in soil chemical properties, increased inventory levels of total organic carbon – TOC (values ranging from 21.28 to 40.02 Mg ha-1 and humified fractions of soil organic matter in relation to other treatments. The CQS area at a depth of 0-0.05 m, showed the greatest losses of soil TOC stocks (56.3% compared to NV. The adoption of management presented CCV and chemical attributes of the soil TOC stocks equivalent to those observed in areas with CQV CQTF and despite the short period of adoption (3 years. The TOC correlated with the sum of bases (r = 0.76 **, cation exchange capacity (r = 0.59 ** and base saturation (r = 0.63 **, while the humic acids (r = 0.40 ** fulvic acids (r = 0.49 ** and humin (r = 0.59 ** correlated with the cation exchange capacity of the soil. These results indicate that the preservation of trash in the management of cane sugar added to the application of vinasse and filter cake increases the TOC stocks promoting improvement in soil chemical properties.

  14. Optimization Stock Portfolio With Mean-Variance and Linear Programming: Case In Indonesia Stock Market

    OpenAIRE

    Yen Sun

    2010-01-01

    It is observed that the number of Indonesia’s domestic investor who involved in the stock exchange is very less compare to its total number of population (only about 0.1%). As a result, Indonesia Stock Exchange (IDX) is highly affected by foreign investor that can threat the economy. Domestic investor tends to invest in risk-free asset such as deposit in the bank since they are not familiar yet with the stock market and anxious about the risk (risk-averse type of investor). Therefore, it is i...

  15. Quantified carbon input for maintaining existing soil organic carbon stocks in global wheat systems

    Science.gov (United States)

    Wang, G.

    2017-12-01

    Soil organic carbon (SOC) dynamics in croplands is a crucial component of global carbon (C) cycle. Depending on local environmental conditions and management practices, typical C input is generally required to reduce or reverse C loss in agricultural soils. No studies have quantified the critical C input for maintaining SOC at global scale with high resolution. Such information will provide a baseline map for assessing soil C dynamics under potential changes in management practices and climate, and thus enable development of management strategies to reduce C footprint from farm to regional scales. We used the soil C model RothC to simulate the critical C input rates needed to maintain existing soil C level at 0.1°× 0.1° resolution in global wheat systems. On average, the critical C input was estimated to be 2.0 Mg C ha-1 yr-1, with large spatial variability depending on local soil and climatic conditions. Higher C inputs are required in wheat system of central United States and western Europe, mainly due to the higher current soil C stocks present in these regions. The critical C input could be effectively estimated using a summary model driven by current SOC level, mean annual temperature, precipitation, and soil clay content.

  16. Critical carbon input to maintain current soil organic carbon stocks in global wheat systems.

    Science.gov (United States)

    Wang, Guocheng; Luo, Zhongkui; Han, Pengfei; Chen, Huansheng; Xu, Jingjing

    2016-01-13

    Soil organic carbon (SOC) dynamics in croplands is a crucial component of global carbon (C) cycle. Depending on local environmental conditions and management practices, typical C input is generally required to reduce or reverse C loss in agricultural soils. No studies have quantified the critical C input for maintaining SOC at global scale with high resolution. Such information will provide a baseline map for assessing soil C dynamics under potential changes in management practices and climate, and thus enable development of management strategies to reduce C footprint from farm to regional scales. We used the soil C model RothC to simulate the critical C input rates needed to maintain existing soil C level at 0.1° × 0.1° resolution in global wheat systems. On average, the critical C input was estimated to be 2.0 Mg C ha(-1) yr(-1), with large spatial variability depending on local soil and climatic conditions. Higher C inputs are required in wheat system of central United States and western Europe, mainly due to the higher current soil C stocks present in these regions. The critical C input could be effectively estimated using a summary model driven by current SOC level, mean annual temperature, precipitation, and soil clay content.

  17. Evaluation of SOC for the presumptive identification of Candida albicans and Cryptococcus neoformans.

    Science.gov (United States)

    Fleming, W H; Knezek, K L; Dorn, G L

    1987-01-01

    SOC, a fungal growth medium composed of Solryth, oxgall, and caffeic acid, was evaluated as a medium to provide rapid, differential identification of Candida albicans and Cryptococcus neoformans. Using a variety of common isolation media to produce the yeast inocula, the germ tube methods tested ranked in the following order of decreasing sensitivity: SOC (97% +/- 1), serum (92% +/- 5), rabbit coagulase plasma with EDTA in combination with tryptic soy broth (89% +/- 5), TOC (89% +/- 6), and rabbit coagulase plasma with EDTA (83% +/- 4). In chlamydospore production, SOC also proved to be the most sensitive after 24 h incubation: SOC (96% +/- 2), TOC (80% +/- 2), and cornmeal-Tween 80 agar (14% +/- 3). Other medically important yeasts showed normal patterns of growth within 24 h on SOC, thus assisting in their identification. Eighty strains of Cryptococcus neoformans showed characteristic brown pigmentation on SOC and TOC within 18 h, while all other species of the genus Cryptococcus and 229 Candida isolates did not show a change in pigmentation.

  18. Estimation of power lithium-ion battery SOC based on fuzzy optimal decision

    Science.gov (United States)

    He, Dongmei; Hou, Enguang; Qiao, Xin; Liu, Guangmin

    2018-06-01

    In order to improve vehicle performance and safety, need to accurately estimate the power lithium battery state of charge (SOC), analyzing the common SOC estimation methods, according to the characteristics open circuit voltage and Kalman filter algorithm, using T - S fuzzy model, established a lithium battery SOC estimation method based on the fuzzy optimal decision. Simulation results show that the battery model accuracy can be improved.

  19. 7 CFR 1610.9 - Class B stock.

    Science.gov (United States)

    2010-01-01

    ... POLICIES § 1610.9 Class B stock. Borrowers receiving loans from the Bank shall be required to invest in class B stock at 5 percent of the total amount of loan funds advanced. Borrowers may purchase class B... 7 Agriculture 11 2010-01-01 2010-01-01 false Class B stock. 1610.9 Section 1610.9 Agriculture...

  20. Conversion of lowland tropical forests to tree cash crop plantations loses up to one-half of stored soil organic carbon.

    Science.gov (United States)

    van Straaten, Oliver; Corre, Marife D; Wolf, Katrin; Tchienkoua, Martin; Cuellar, Eloy; Matthews, Robin B; Veldkamp, Edzo

    2015-08-11

    Tropical deforestation for the establishment of tree cash crop plantations causes significant alterations to soil organic carbon (SOC) dynamics. Despite this recognition, the current Intergovernmental Panel on Climate Change (IPCC) tier 1 method has a SOC change factor of 1 (no SOC loss) for conversion of forests to perennial tree crops, because of scarcity of SOC data. In this pantropic study, conducted in active deforestation regions of Indonesia, Cameroon, and Peru, we quantified the impact of forest conversion to oil palm (Elaeis guineensis), rubber (Hevea brasiliensis), and cacao (Theobroma cacao) agroforestry plantations on SOC stocks within 3-m depth in deeply weathered mineral soils. We also investigated the underlying biophysical controls regulating SOC stock changes. Using a space-for-time substitution approach, we compared SOC stocks from paired forests (n = 32) and adjacent plantations (n = 54). Our study showed that deforestation for tree plantations decreased SOC stocks by up to 50%. The key variable that predicted SOC changes across plantations was the amount of SOC present in the forest before conversion--the higher the initial SOC, the higher the loss. Decreases in SOC stocks were most pronounced in the topsoil, although older plantations showed considerable SOC losses below 1-m depth. Our results suggest that (i) the IPCC tier 1 method should be revised from its current SOC change factor of 1 to 0.6 ± 0.1 for oil palm and cacao agroforestry plantations and 0.8 ± 0.3 for rubber plantations in the humid tropics; and (ii) land use management policies should protect natural forests on carbon-rich mineral soils to minimize SOC losses.

  1. Conversion of lowland tropical forests to tree cash crop plantations loses up to one-half of stored soil organic carbon

    Science.gov (United States)

    van Straaten, Oliver; Corre, Marife D.; Wolf, Katrin; Tchienkoua, Martin; Cuellar, Eloy; Matthews, Robin B.; Veldkamp, Edzo

    2015-01-01

    Tropical deforestation for the establishment of tree cash crop plantations causes significant alterations to soil organic carbon (SOC) dynamics. Despite this recognition, the current Intergovernmental Panel on Climate Change (IPCC) tier 1 method has a SOC change factor of 1 (no SOC loss) for conversion of forests to perennial tree crops, because of scarcity of SOC data. In this pantropic study, conducted in active deforestation regions of Indonesia, Cameroon, and Peru, we quantified the impact of forest conversion to oil palm (Elaeis guineensis), rubber (Hevea brasiliensis), and cacao (Theobroma cacao) agroforestry plantations on SOC stocks within 3-m depth in deeply weathered mineral soils. We also investigated the underlying biophysical controls regulating SOC stock changes. Using a space-for-time substitution approach, we compared SOC stocks from paired forests (n = 32) and adjacent plantations (n = 54). Our study showed that deforestation for tree plantations decreased SOC stocks by up to 50%. The key variable that predicted SOC changes across plantations was the amount of SOC present in the forest before conversion—the higher the initial SOC, the higher the loss. Decreases in SOC stocks were most pronounced in the topsoil, although older plantations showed considerable SOC losses below 1-m depth. Our results suggest that (i) the IPCC tier 1 method should be revised from its current SOC change factor of 1 to 0.6 ± 0.1 for oil palm and cacao agroforestry plantations and 0.8 ± 0.3 for rubber plantations in the humid tropics; and (ii) land use management policies should protect natural forests on carbon-rich mineral soils to minimize SOC losses. PMID:26217000

  2. Conservation Tillage on the Loess Plateau, China: Food security, Yes; Carbon sequestration, No?

    Science.gov (United States)

    Kuhn, Nikolaus; Hu, Yaxian; Xiao, Liangang; Greenwood, Phil; Bloemertz, Lena

    2015-04-01

    Climate change is expected to affect food security globally and increase the variability in food supply. At the same time, agricultural practices offer a great potential for mitigating and adapting to climate change. In China, food security has increased in the last decades with the number of undernourished people declining from 21% in 1990 to 12% today. However, the limited relative amount of arable land and scarce water supplies will remain a challenge. The Loess Plateau of China, located in the mid-upper reaches of the Yellow River and has an area of some 630000 km2 with a high agricultural potential. However, due to heavy summer rainstorms, steep slopes, low vegetation cover, and highly erodible soils, the Loess Plateau has become one of the most severely eroded areas in the world. Up to 70% of arable land is affected by an annual soil loss of 20-25 ton ha-1, far exceeding the threshold for sustainable use (10 ton ha-1). Rainfed farming systems are dominant on the Loess Plateau, and the farmers in this area have been exposed to a steadily increasing temperature as well as an erratic, but slightly decreasing rainfall since 1970. Therefore, adaptation of the regional agriculture is required to adapt to climate change and may be even engaged in mitigation. This study analyzed the potential contribution of conservation tillage to adaptation and mitigation of climate change on the Loess Plateau. In total, 15 papers published in English were reviewed, comparing two tillage practices, conventional tillage (CT) and conservation tillage typically represented by no-tillage (NT). Soil organic carbon (SOC) stock across soil depths as well yields and the inter-annual variations with regards to and their annual rainfall precipitation were compared for NT and CT. Our results show that: 1) The benefit of NT compared to CT in terms of increasing total SOC stocks diminishes with soil depth, questioning the use of average SOC stocks observed in topsoil to estimate the potential

  3. The impact of soil redistribution on SOC pools in a Mediterranean agroforestry catchment

    Science.gov (United States)

    Quijano, Laura; Gaspar, Leticia; Lizaga, Iván; Navas, Ana

    2017-04-01

    Soil redistribution processes play an important role influencing the spatial distribution patterns of soil and associated soil organic carbon (SOC) at landscape scale. Information on drivers of SOC dynamics is key for evaluating both soil degradation and SOC stability that can affect soil quality and sustainability. 137Cs measurements provide a very effective tool to infer spatial patterns of soil redistribution and quantify soil redistribution rates in different landscapes, but to date these data are scarce in mountain Mediterranean agroecosystems. We evaluate the effect of soil redistribution on SOC and SOC pools in relation to land use in a Mediterranean mountain catchment (246 ha). To this purpose, two hundred and four soil bulk cores were collected on a 100 m grid in the Estaña lakes catchment located in the central sector of the Spanish Pyrenees (31T 4656250N 295152E). The study area is an agroforestry and endorheic catchment characterized by the presence of evaporite dissolution induced dolines, some of which host permanent lakes. The selected landscape is representative of rainfed areas of Mediterranean continental climate with erodible lithology and shallow soils, and characterized by an intense anthropogenic activity through cultivation and water management. The cultivated and uncultivated areas are heterogeneously distributed. SOC and SOC pools (the active and decomposable fraction, ACF and the stable carbon fraction SCF) were measured by the dry combustion method and soil redistribution rates were derived from 137Cs measurements. The results showed that erosion predominated in the catchment, most of soil samples were identified as eroded sites (n=114) with an average erosion rate of 26.9±51.4 Mg ha-1 y-1 whereas the mean deposition rate was 13.0±24.2 Mg ha-1 y-1. In cultivated soils (n=54) the average of soil erosion rate was significantly higher (78.5±74.4 Mg ha-1 y-1) than in uncultivated soils (6.8±10.4 Mg ha-1 y-1). Similarly, the mean of soil

  4. Growth and contribution of stocked channel catfish, Ictalurus punctatus (Rafinesque, 1818): the importance of measuring post-stocking performance

    Science.gov (United States)

    Stewart, David R.; Long, James M.

    2015-01-01

    In this study it was sought to quantify post-stocking growth, survival, and contribution of advanced size (178 mm total length [TL]) channel catfish Ictalurus punctatus fingerlings, something rarely done. Channel catfish populations were evaluated before (May 2010) and after (May to August 2011 and 2012) stocking. Relative abundance, stocking contribution, and growth were different (P stocked in Lake Lone Chimney, stocking contribution was lower (3–35%), and average length and weight of stocked fish by age-2 reached 230 mm TL and 85 g, whereas the stocking contribution (84–98%) and growth in length (340 mm TL) and weight (280 g) were higher by age-2 in Lake Greenleaf. Given these unambiguous differences of post-stocking performance, benchmark metrics that represent population-level information such as relative abundance and average length and weight of the sample masked these significant differences, highlighting the importance of marking hatchery-fish and then following them through time to determine the effectiveness of stocking. These results suggest that stock enhancement programmes would benefit from studies that quantify post-stocking performance of hatchery fish.

  5. An Online SOC and SOH Estimation Model for Lithium-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Shyh-Chin Huang

    2017-04-01

    Full Text Available The monitoring and prognosis of cell degradation in lithium-ion (Li-ion batteries are essential for assuring the reliability and safety of electric and hybrid vehicles. This paper aims to develop a reliable and accurate model for online, simultaneous state-of-charge (SOC and state-of-health (SOH estimations of Li-ion batteries. Through the analysis of battery cycle-life test data, the instantaneous discharging voltage (V and its unit time voltage drop, V′, are proposed as the model parameters for the SOC equation. The SOH equation is found to have a linear relationship with 1/V′ times the modification factor, which is a function of SOC. Four batteries are tested in the laboratory, and the data are regressed for the model coefficients. The results show that the model built upon the data from one single cell is able to estimate the SOC and SOH of the three other cells within a 5% error bound. The derived model is also proven to be robust. A random sampling test to simulate the online real-time SOC and SOH estimation proves that this model is accurate and can be potentially used in an electric vehicle battery management system (BMS.

  6. NEW EPICS/RTEMS IOC BASED ON ALTERA SOC AT JEFFERSON LAB

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Jianxun [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Seaton, Chad [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Allison, Trent L. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Bevins, Brian S. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Cuffe, Anthony W. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2018-02-01

    A new EPICS/RTEMS IOC based on the Altera System-on-Chip (SoC) FPGA is being designed at Jefferson Lab. The Altera SoC FPGA integrates a dual ARM Cortex-A9 Hard Processor System (HPS) consisting of processor, peripherals and memory interfaces tied seamlessly with the FPGA fabric using a high-bandwidth interconnect backbone. The embedded Altera SoC IOC has features of remote network boot via U-Boot from SD card or QSPI Flash, 1Gig Ethernet, 1GB DDR3 SDRAM on HPS, UART serial ports, and ISA bus interface. RTEMS for the ARM processor BSP were built with CEXP shell, which will dynamically load the EPICS applications at runtime. U-Boot is the primary bootloader to remotely load the kernel image into local memory from a DHCP/TFTP server over Ethernet, and automatically run RTEMS and EPICS. The first design of the SoC IOC will be compatible with Jefferson Lab’s current PC104 IOCs, which have been running in CEBAF 10 years. The next design would be mounting in a chassis and connected to a daughter card via standard HSMC connectors. This standard SoC IOC will become the next generation of low-level IOC for the accelerator controls at Jefferson Lab.

  7. Suppressor of cytokine signaling (SOCS)5 ameliorates influenza infection via inhibition of EGFR signaling.

    Science.gov (United States)

    Kedzierski, Lukasz; Tate, Michelle D; Hsu, Alan C; Kolesnik, Tatiana B; Linossi, Edmond M; Dagley, Laura; Dong, Zhaoguang; Freeman, Sarah; Infusini, Giuseppe; Starkey, Malcolm R; Bird, Nicola L; Chatfield, Simon M; Babon, Jeffrey J; Huntington, Nicholas; Belz, Gabrielle; Webb, Andrew; Wark, Peter Ab; Nicola, Nicos A; Xu, Jianqing; Kedzierska, Katherine; Hansbro, Philip M; Nicholson, Sandra E

    2017-02-14

    Influenza virus infections have a significant impact on global human health. Individuals with suppressed immunity, or suffering from chronic inflammatory conditions such as COPD, are particularly susceptible to influenza. Here we show that suppressor of cytokine signaling (SOCS) five has a pivotal role in restricting influenza A virus in the airway epithelium, through the regulation of epidermal growth factor receptor (EGFR). Socs5 -deficient mice exhibit heightened disease severity, with increased viral titres and weight loss. Socs5 levels were differentially regulated in response to distinct influenza viruses (H1N1, H3N2, H5N1 and H11N9) and were reduced in primary epithelial cells from COPD patients, again correlating with increased susceptibility to influenza. Importantly, restoration of SOCS5 levels restricted influenza virus infection, suggesting that manipulating SOCS5 expression and/or SOCS5 targets might be a novel therapeutic approach to influenza.

  8. NIRS as an alternative to conventional soil analysis for Greenland soils (focus on SOC)

    DEFF Research Database (Denmark)

    Knadel, Maria; Ogric, Mateja; Adhikari, Kabindra

    Soil organic carbon (SOC) is an important soil property. It is the main constituents of soil organic matter and a good indicator of soil quality. The estimation and mapping of SOC content could be used to select potential agricultural areas in the Arctic areas. However, conventional analysis of SOC...... are time consuming and expensive. They involve a lot of sample preparation, and chemicals and are destructive. Near infrared spectroscopy (NIRS) in the range between 400 and 2500 nm is an alternative method for SOC analysis. It is fast and non-destructive. The aims of this study where to test...... the feasibility of using NIRS to estimate SOC content on a landscape and field scale in Greenland. Partial Least squares regression models were built to correlated soil spectra and their reference SOC data to develop calibration models. Very good predictive ability for both landscape and field scale were obtained...

  9. Land use changing SOC pool: A field investigation from four catchments on the Loess Plateau in China

    Science.gov (United States)

    Guo, Shengli; Wang, Rui; Hu, Yaxian

    2017-04-01

    The Loess Plateau in China has long been known for severe erosion, a degraded ecosystem and heavy sediment delivery to the Yellow River. Apart from, the highly erodible loess soil and the hilly geomorphology, intensive cultivation has been caused such most destructive human activities. This made the Loess Plateau once the least fertile region in China with extreme poverty. To restore soil fertility and ecosystem sustainability, a national-level project was launched in 1990s to encourage land use changes via afforestation or conversion of cropland back to grassland or woodland. After nearly three decades of land use conversion, the SOC pool in the soil can be expected to have substantially changed. However, climate conditions, geomorphic types and soil properties were spatially distinctive across the Loess Plateau. Their individual as well interactive impacts on changes of soil carbon pool during land use conversions must thus be properly accounted for. In this study, four watersheds distributed over the Loess Plateau were investigated. The four watersheds mainly consisted of three geomorphic types: wide gully, loess ridge, and round knoll. On each geomorphic feature, three land use types prevailed: cropland, grassland and woodland. In total, 695 soil samples were taken from the top 20 cm of the four watersheds during 2010 and 2011. Our results show: 1) Degrees of erosion hugely differed among the four watersheds, with Catchment A (hilly) having three times more erosion modulus than the least eroded Catchment D (gully) (12000 vs. 1800 Mg per km2 per year). 2) The increasing SOC content from 4 mg g-1 at Catchment A to 8.1 mg g-1 at Catchment D agreed well with their decreasing erosion, suggesting that geomorphology induced erosion history was the predominant factor to set the general level of watershed-scale SOC reservoir. 3) Within each watershed, grassland and woodland consistently had at least 34% more SOC than cropland, demonstrating the influence of land use

  10. Soil Organic Carbon Responses to Forest Expansion on Mountain Grasslands

    DEFF Research Database (Denmark)

    Guidi, Claudia

    . Changes in labile soil C were assessed by carbohydrate and thermal analyses of soil samples and fractions. Forest expansion on mountain grasslands caused a decrease in SOC stocks within the mineral soil. The SOC accumulation within the organic layers following forest establishment could not fully...... and thermally labile to resistant components decreased from grassland to forest successional stages, and corresponded to decreased SOC protection within stable aggregates. This PhD thesis showed that mineral SOC stocks and physically protected SOC fractions decreased following forest expansion on mountain......Grassland abandonment followed by progressive forest expansion is the dominant land-use change in the European Alps. Contrasting trends in soil organic carbon (SOC) stocks have been reported for mountainous regions following forest expansion on grasslands. Moreover, its effects on SOC properties...

  11. Synergy of SOCS-1 Inhibition and Microbial-Based Cancer Vaccines

    Science.gov (United States)

    2013-09-01

    SH2 domain; a docking motif for interaction with tyrosine...IL‐ 4, IL‐7, IL‐15), IFN‐α, IFN‐γ, and IL‐12. While the SH2 domain targets the SOCS proteins to specific molecules within the JAK‐ STAT pathway, the...SOCS‐box functions as an E3 ubiquitin ligase, promoting degradation of the cytokine receptor complex. SOCS1 also contains a kinase inhibitory

  12. Statistical properties of trading activity in Chinese stock market

    Science.gov (United States)

    Sun, Xiaoqian; Cheng, Xueqi; Shen, Huawei; Wang, Zhaoyang

    2010-08-01

    We investigate the statistical properties of traders' trading behavior using cumulative distribution function(CDF). We analyze exchange data of 52 stocks for one-year period which contains non-manipulated stocks and manipulated stocks published by China Securities Regulatory Commission(CSRC). By analyzing the total number of transactions and the trading volume of each trader over a year, we find the cumulative distributions have power-law tails and the distributions between non-manipulated stocks and manipulated stocks are different. These findings can help us to detect the manipulated stocks.

  13. Greater carbon stocks and faster turnover rates with increasing agricultural productivity

    Science.gov (United States)

    Sanderman, J.; Fallon, S.; Baisden, T. W.

    2013-12-01

    H.H. Janzen (2006) eloquently argued that from an agricultural perspective there is a tradeoff between storing carbon as soil organic matter (SOM) and the soil nutrient and energy benefit provided during SOM mineralization. Here we report on results from the Permanent Rotation Trial at the Waite Agricultural Institute, South Australia, indicating that shifting to an agricultural management strategy which returns more carbon to the soil, not only leads to greater carbon stocks but also increases the rate of carbon cycling through the soil. The Permanent Rotation Trial was established on a red Chromosol in 1925 with upgrades made to several treatments in 1948. Decadal soil samples were collected starting in 1963 at two depths, 0-10 and 10-22.5 cm, by compositing 20 soil cores taken along the length of each plot. We have chosen to analyze five trials representing a gradient in productivity: permanent pasture (Pa), wheat-pasture rotation (2W4Pa), continuous wheat (WW), wheat-oats-fallow rotation (WOF) and wheat-fallow (WF). For each of the soil samples (40 in total), the radiocarbon activity in the bulk soil as well as size-fractionated samples was measured by accelerator mass spectrometry at ANU's Radiocarbon Dating Laboratory (Fallon et al. 2010). After nearly 70 years under each rotation, SOC stocks increased linearly with productivity data across the trials from 24 to 58 tC ha-1. Importantly, these differences were due to greater losses over time in the low productivity trials rather than gains in SOC in any of the trials. Uptake of the bomb-spike in atmospheric 14C into the soil was greatest in the trials with the greatest productivity. The coarse size fraction always had greater Δ14C values than the bulk soil samples. Several different multi-pool steady state and non-steady state models were used to interpret the Δ14C data in terms of SOC turnover rates. Regardless of model choice, either the decay rates of all pools needed to increase or the allocation of C to

  14. DMPD: Regulation of cytokine signaling by SOCS family molecules. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 14644140 Regulation of cytokine signaling by SOCS family molecules. Fujimoto M, Nak...a T. Trends Immunol. 2003 Dec;24(12):659-66. (.png) (.svg) (.html) (.csml) Show Regulation of cytokine signaling by SOCS family... molecules. PubmedID 14644140 Title Regulation of cytokine signaling by SOCS family molec

  15. An Overview and Comparison of Online Implementable SOC Estimation Methods for Lithium-ion Battery

    DEFF Research Database (Denmark)

    Meng, Jinhao; Ricco, Mattia; Luo, Guangzhao

    2018-01-01

    . Many SOC estimation methods have been proposed in the literature. However, only a few of them consider the real-time applicability. This paper reviews recently proposed online SOC estimation methods and classifies them into five categories. Their principal features are illustrated, and the main pros...... and cons are provided. The SOC estimation methods are compared and discussed in terms of accuracy, robustness, and computation burden. Afterward, as the most popular type of model based SOC estimation algorithms, seven nonlinear filters existing in literature are compared in terms of their accuracy...

  16. The Australian stock market development: Prospects and challenges

    Directory of Open Access Journals (Sweden)

    Sheilla Nyasha

    2013-06-01

    Full Text Available This paper highlights the origin and development of the Australian stock market. The country has three major stock exchanges, namely: the Australian Securities Exchange Group, the National Stock Exchange of Australia, and the Asia-Pacific Stock Exchange. These stock exchanges were born out of a string of stock exchanges that merged over time. Stock-market reforms have been implemented since the period of deregulation, during the 1980s; and the Exchanges responded largely positively to these reforms. As a result of the reforms, the Australian stock market has developed in terms of the number of listed companies, the market capitalisation, the total value of stocks traded, and the turnover ratio. Although the stock market in Australia has developed remarkably over the years, and was spared by the global financial crisis of the late 2000s, it still faces some challenges. These include the increased economic uncertainty overseas, the downtrend in global financial markets, and the restrained consumer confidence in Australia.

  17. Key KdSOC1 gene expression profiles during plantlet morphogenesis under hormone, photoperiod, and drought treatments.

    Science.gov (United States)

    Liu, C; Zhu, C; Zeng, H M

    2016-02-11

    Kalanchoe daigremontiana utilizes plantlet formation between its zigzag leaf margins as its method of asexual reproduction. In this study, K. daigremontiana SUPPRESSOR OF OVEREXPRESSION OF CONSTANS 1 (KdSOC1), a key intermediate in the transition from vegetative to asexual growth, was cloned. Furthermore, its expression profiles during plantlet formation under different environmental and hormone induction conditions were analyzed. The full-KdSOC1 cDNA sequence length was 1410 bp with 70% shared homology with Carya cathayensis SOC1. The conserved domain search of KdSOC1 showed the absence of I and C domains, which might indicate novel biological functions in K. daigremontiana. The full-KdSOC1 promoter sequence was 1401 bp long and contained multiple-hormone-responsive cis-acting elements. Hormone induction assays showed that gibberellins and salicylic acid mainly regulated KdSOC1 expression. The swift change from low to high KdSOC1 expression levels during long-day induction was accompanied by the rapid emergence of plantlets. Drought stress stimulated KdSOC1 expression in leaves both with and without plantlet formation. Together, the results suggested that KdSOC1 was closely involved in environmental stimulation signal perception and the transduction of K. daigremontiana plantlet formation. Therefore, future identification of KdSOC1 functions might reveal key information that will help elucidate the transition network between embryogenesis and organogenesis during plantlet formation.

  18. Rainy Day Stocks

    DEFF Research Database (Denmark)

    Gormsen, Niels Joachim; Greenwood, Robin

    We study the good- and bad-times performance of equity portfolios formed on characteristics. Many characteristics associated with good performance during bad times—value, profitability, small size, safety, and total volatility—also perform well during good times. Stocks with characteristics signi...

  19. Potential soil organic carbon stocks in semi arid areas under climate change scenarios: an application of CarboSOIL model in northern Egypt

    Science.gov (United States)

    Muñoz-Rojas, Miriam; Abd-Elmabod, Sameh K.; Jordán, Antonio; Zavala, Lorena M.; Anaya-Romero, Maria; De la Rosa, Diego

    2014-05-01

    1. INTRODUCTION Climate change is predicted to have a large impact on semi arid areas which are often degraded and vulnerable to environmental changes (Muñoz-Rojas et al., 2012a; 2012b; 2013). However, these areas might play a key role in mitigation of climate change effects through sequestration of carbon in soils (United Nations, 2011). At the same time, increasing organic carbon in these environments could be beneficial for soil erosion control, soil fertility and, ultimately, food production (Lal, 2004). Several approaches have been carried out to evaluate climate change impacts on soil organic carbon (SOC) stocks, but soil carbon models are amongst the most effective tools to assess C stocks, dynamics and distribution and to predict trends under climate change scenarios (Jones et al., 2005 ). CarboSOIL is an empirical model based on regression techniques and developed to predict SOC contents at standard soil depths of 0 to 25, 25 to 50 and 50-75 cm (Muñoz-Rojas et al., 2013). CarboSOIL model has been designed as a GIS-integrated tool and is a new component of the agroecological decision support system for land evaluation MicroLEIS DSS (De la Rosa et al., 2004). 2. GENERAL METHODS In this research, CarboSOIL was applied in El-Fayoum depression, a semi arid region located in northern Egypt with a large potential for agriculture (Abd-Elmabod et al, 2012). The model was applied in a total of six soil-units classified according the USDA Soil Taxonomy system within the orders Entisols and Aridisols under different climate climate change scenarios. Global climate models based on the Organisation for Economic Co-operation and Development (Agrawala at al., 2004) and the Intergovernmental Panel on Climate Change (IPCC, 2007) were applied to predict short-, medium- and long-term trends (2030, 2050 and 2100) of SOC dynamics and sequestration at different soil depths (0-25, 25-50 and 50-75) and land use types (irrigated areas, olive groves, wheat, cotton and other annual

  20. How well can we assess impacts of agricultural land management changes on the total greenhouse gas balance (CO2, CH4 and N2O) of tropical rice-cropping systems with biogeochemical models?

    Science.gov (United States)

    Kraus, David; Weller, Sebastian; Janz, Baldur; Klatt, Steffen; Santabárbara, Ignacio; Haas, Edwin; Werner, Christian; Wassmann, Reiner; Kiese, Ralf; Butterbach-Bahl, Klaus

    2016-04-01

    -term development of SOC stocks is highly influenced by the soil oxygen status as well as the growth of photosynthetic active aquatic biomass. Moreover, simulation results demonstrate that short-term GHG balances may considerably differ from long-term balances. Simulated total GHG emissions 2.5 years after land management change are highest for upland crop - paddy rice rotations due to pronounced losses of soil organic carbon. In contrast, over a longer period of several decades total GHG emissions are highest for double cropping of paddy rice clearly dominated by CH4 emissions. Simulation results suggest that approx. 2.8 - 3.4 t C ha-1 yr-1 residue incorporation after harvest is needed in order to sustain stable SOC stocks in mixed upland crop - paddy rice systems.

  1. Calcineurin inhibitor-induced complement system activation via ERK1/2 signalling is inhibited by SOCS-3 in human renal tubule cells.

    Science.gov (United States)

    Loeschenberger, Beatrix; Niess, Lea; Würzner, Reinhard; Schwelberger, Hubert; Eder, Iris E; Puhr, Martin; Guenther, Julia; Troppmair, Jakob; Rudnicki, Michael; Neuwirt, Hannes

    2018-02-01

    One factor that significantly contributes to renal allograft loss is chronic calcineurin inhibitor (CNI) nephrotoxicity (CIN). Among other factors, the complement (C-) system has been proposed to be involved CIN development. Hence, we investigated the impact of CNIs on intracellular signalling and the effects on the C-system in human renal tubule cells. In a qPCR array, CNI treatment upregulated C-factors and downregulated SOCS-3 and the complement inhibitors CD46 and CD55. Additionally, ERK1/-2 was required for these regulations. Following knock-down and overexpression of SOCS-3, we found that SOCS-3 inhibits ERK1/-2 signalling. Finally, we assessed terminal complement complex formation, cell viability and apoptosis. Terminal complement complex formation was induced by CNIs. Cell viability was significantly decreased, whereas apoptosis was increased. Both effects were reversed under complement component-depleted conditions. In vivo, increased ERK1/-2 phosphorylation and SOCS-3 downregulation were observed at the time of transplantation in renal allograft patients who developed a progressive decline of renal function in the follow-up compared to stable patients. The progressive cohort also had lower total C3 levels, suggesting higher complement activity at baseline. In conclusion, our data suggest that SOCS-3 inhibits CNI-induced ERK1/-2 signalling, thereby blunting the negative control of C-system activation. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Distinguishing manipulated stocks via trading network analysis

    Science.gov (United States)

    Sun, Xiao-Qian; Cheng, Xue-Qi; Shen, Hua-Wei; Wang, Zhao-Yang

    2011-10-01

    Manipulation is an important issue for both developed and emerging stock markets. For the study of manipulation, it is critical to analyze investor behavior in the stock market. In this paper, an analysis of the full transaction records of over a hundred stocks in a one-year period is conducted. For each stock, a trading network is constructed to characterize the relations among its investors. In trading networks, nodes represent investors and a directed link connects a stock seller to a buyer with the total trade size as the weight of the link, and the node strength is the sum of all edge weights of a node. For all these trading networks, we find that the node degree and node strength both have tails following a power-law distribution. Compared with non-manipulated stocks, manipulated stocks have a high lower bound of the power-law tail, a high average degree of the trading network and a low correlation between the price return and the seller-buyer ratio. These findings may help us to detect manipulated stocks.

  3. Effects of SOC-dependent electrolyte viscosity on performance of vanadium redox flow batteries

    International Nuclear Information System (INIS)

    Xu, Q.; Zhao, T.S.; Zhang, C.

    2014-01-01

    Highlights: • The correlations of electrolyte viscosity and SOC are obtained. • Effect of SOC-dependent electrolyte viscosity is considered in this model. • This model enables a more realistic simulation of variable distributions. • It provides accurate estimations of pumping work and system efficiency. - Abstract: The viscosity of the electrolyte in vanadium redox flow batteries (VRFBs) varies during charge and discharge as the concentrations of acid and vanadium ions in the electrolyte continuously change with the state of charge (SOC). In previous VRFB models, however, the electrolyte has been treated as a constant-viscosity solution. In this work, a mass-transport and electrochemical model taking account of the effect of SOC-dependent electrolyte viscosity is developed. The comparison between the present model and the model with the constant-viscosity simplification indicates that the consideration of the SOC-dependent electrolyte viscosity enables (i) a more realistic simulation of the distributions of overpotential and current density in the electrodes, and (ii) more accurate estimations of pumping work and the system efficiency of VRFBs

  4. The Differences Between Stock Splits and Stock Dividends

    DEFF Research Database (Denmark)

    Bechmann, Ken L.; Raaballe, Johannes

    It is often asserted that stock splits and stock dividends are purely cosmetic events. However, many studies have documented several stock market effects associated with stock splits and stock dividends. This paper examines the effects of these two types of events for the Danish stock market...... different. Second, the positive stock market reaction is closely related to associated changes in a firm's payout policy, but the relationship varies for the two types of events. Finally, there is only very weak evidence for a change in the liquidity of the stock. On the whole, after controlling...... for the firm's payout policy, the results suggest that a stock split is a cosmetic event and that a stock dividend on its own is considered negative news....

  5. Robustness of SOC Estimation Algorithms for EV Lithium-Ion Batteries against Modeling Errors and Measurement Noise

    Directory of Open Access Journals (Sweden)

    Xue Li

    2015-01-01

    Full Text Available State of charge (SOC is one of the most important parameters in battery management system (BMS. There are numerous algorithms for SOC estimation, mostly of model-based observer/filter types such as Kalman filters, closed-loop observers, and robust observers. Modeling errors and measurement noises have critical impact on accuracy of SOC estimation in these algorithms. This paper is a comparative study of robustness of SOC estimation algorithms against modeling errors and measurement noises. By using a typical battery platform for vehicle applications with sensor noise and battery aging characterization, three popular and representative SOC estimation methods (extended Kalman filter, PI-controlled observer, and H∞ observer are compared on such robustness. The simulation and experimental results demonstrate that deterioration of SOC estimation accuracy under modeling errors resulted from aging and larger measurement noise, which is quantitatively characterized. The findings of this paper provide useful information on the following aspects: (1 how SOC estimation accuracy depends on modeling reliability and voltage measurement accuracy; (2 pros and cons of typical SOC estimators in their robustness and reliability; (3 guidelines for requirements on battery system identification and sensor selections.

  6. Minimizing waste (off-cuts using cutting stock model: The case of one dimensional cutting stock problem in wood working industry

    Directory of Open Access Journals (Sweden)

    Gbemileke A. Ogunranti

    2016-09-01

    Full Text Available Purpose: The main objective of this study is to develop a model for solving the one dimensional cutting stock problem in the wood working industry, and develop a program for its implementation. Design/methodology/approach: This study adopts the pattern oriented approach in the formulation of the cutting stock model. A pattern generation algorithm was developed and coded using Visual basic.NET language. The cutting stock model developed is a Linear Programming (LP Model constrained by numerous feasible patterns. A LP solver was integrated with the pattern generation algorithm program to develop a one - dimensional cutting stock model application named GB Cutting Stock Program. Findings and Originality/value: Applying the model to a real life optimization problem significantly reduces material waste (off-cuts and minimizes the total stock used. The result yielded about 30.7% cost savings for company-I when the total stock materials used is compared with the former cutting plan. Also, to evaluate the efficiency of the application, Case I problem was solved using two top commercial 1D-cutting stock software.  The results show that the GB program performs better when related results were compared. Research limitations/implications: This study round up the linear programming solution for the number of pattern to cut. Practical implications: From Managerial perspective, implementing optimized cutting plans increases productivity by eliminating calculating errors and drastically reducing operator mistakes. Also, financial benefits that can annually amount to millions in cost savings can be achieved through significant material waste reduction. Originality/value: This paper developed a linear programming one dimensional cutting stock model based on a pattern generation algorithm to minimize waste in the wood working industry. To implement the model, the algorithm was coded using VisualBasic.net and linear programming solver called lpsolvedll (dynamic

  7. Enhancement of antiproliferative activity of interferons by RNA interference-mediated silencing of SOCS gene expression in tumor cells.

    Science.gov (United States)

    Takahashi, Yuki; Kaneda, Haruka; Takasuka, Nana; Hattori, Kayoko; Nishikawa, Makiya; Watanabe, Yoshihiko; Takakura, Yoshinobu

    2008-08-01

    The suppressor of cytokine signaling (SOCS) proteins, negative regulators of interferon (IFN)-induced signaling pathways, is involved in IFN resistance of tumor cells. To improve the growth inhibitory effect of IFN-beta and IFN-gamma on a murine melanoma cell line, B16-BL6, and a murine colon carcinoma cell line, Colon26 cells, SOCS-1 and SOCS-3 gene expression in tumor cells was downregulated by transfection of plasmid DNA expressing short hairpin RNA targeting one of these genes (pshSOCS-1 and pshSOCS-3, respectively). Transfection of pshSOCS-1 significantly increased the antiproliferative effect of IFN-gamma on B16-BL6 cells. However, any other combinations of plasmids and IFN had little effect on the growth of B16-BL6 cells. In addition, transfection of pshSOCS-1 and pshSOCS-3 produced little improvement in the effect of IFN on Colon26 cells. To understand the mechanism underlining these findings, the level of SOCS gene expression was measured by real time polymerase chain reaction. Addition of IFN-gamma greatly increased the SOCS-1 mRNA expression in B16-BL6 cells. Taking into account the synergistic effect of pshSOCS-1 and IFN-gamma on the growth of B16-BL6 cells, these findings suggest that IFN-gamma-induced high SOCS-1 gene expression in B16-BL6 cells significantly interferes with the antiproliferative effect of IFN-gamma. These results indicate that silencing SOCS gene expression can be an effective strategy to enhance the antitumor effect of IFN under conditions in which the SOCS gene expression is upregulated by IFN.

  8. 12 CFR 575.8 - Contents of Stock Issuance Plans.

    Science.gov (United States)

    2010-01-01

    ... extent applicable, Form OC; (11) Provide that the sales price of the shares of stock to be sold in the... shall be sold at a total price equal to the estimated pro forma market value of such stock, based upon... shares of the savings association's common stock or 4.9 percent of the savings association's stockholders...

  9. Assessment on the rates and potentials of soil organic carbon sequestration in agricultural lands in Japan using a process-based model and spatially explicit land-use change inventories - Part 1: Historical trend and validation based on nation-wide soil monitoring

    Science.gov (United States)

    Yagasaki, Y.; Shirato, Y.

    2014-08-01

    In order to estimate a country-scale soil organic carbon (SOC) stock change in agricultural lands in Japan, while taking into account the effect of land-use changes, climate, different agricultural activities and the nature of soils, a spatially explicit model simulation system was developed using Rothamsted Carbon Model (RothC) with an integration of spatial and temporal inventories. Simulation was run from 1970 to 2008 with historical inventories. Simulated SOC stock was compared with observations in a nation-wide stationary monitoring program conducted during 1979-1998. Historical land-use change, characterized by a large decline in the area of paddy fields as well as a small but continuous decline in the area of orchards, occurred along with a relatively large increase in upland crop fields, unmanaged grasslands, and settlements (i.e. conversion of agricultural fields due to urbanization or abandoning). Results of the simulation on SOC stock change under varying land-use change indicated that land-use conversion from agricultural fields to settlements or other lands, as well as that from paddy fields to croplands have likely been an increasing source of CO2 emission, due to the reduction of organic carbon input to soils and the enhancement of SOC decomposition through transition of soil environment from anaerobic to aerobic conditions. The area-weighted mean concentrations of the simulated SOC stocks calculated for major soil groups under paddy fields and upland crop fields were comparable to those observed in the monitoring. Whereas in orchards, the simulated SOC stocks were underestimated. As the results of simulation indicated that SOC stock change under managed grasslands and settlements has been likely a major sink and source of CO2 emission at country-scale, respectively, validation of SOC stock change under these land-use types, which could not have been accomplished due to limited availability or a lack of measurement, remains a forthcoming challenge.

  10. Soil carbon sequestration due to post-Soviet cropland abandonment: estimates from a large-scale soil organic carbon field inventory.

    Science.gov (United States)

    Wertebach, Tim-Martin; Hölzel, Norbert; Kämpf, Immo; Yurtaev, Andrey; Tupitsin, Sergey; Kiehl, Kathrin; Kamp, Johannes; Kleinebecker, Till

    2017-09-01

    The break-up of the Soviet Union in 1991 triggered cropland abandonment on a continental scale, which in turn led to carbon accumulation on abandoned land across Eurasia. Previous studies have estimated carbon accumulation rates across Russia based on large-scale modelling. Studies that assess carbon sequestration on abandoned land based on robust field sampling are rare. We investigated soil organic carbon (SOC) stocks using a randomized sampling design along a climatic gradient from forest steppe to Sub-Taiga in Western Siberia (Tyumen Province). In total, SOC contents were sampled on 470 plots across different soil and land-use types. The effect of land use on changes in SOC stock was evaluated, and carbon sequestration rates were calculated for different age stages of abandoned cropland. While land-use type had an effect on carbon accumulation in the topsoil (0-5 cm), no independent land-use effects were found for deeper SOC stocks. Topsoil carbon stocks of grasslands and forests were significantly higher than those of soils managed for crops and under abandoned cropland. SOC increased significantly with time since abandonment. The average carbon sequestration rate for soils of abandoned cropland was 0.66 Mg C ha -1  yr -1 (1-20 years old, 0-5 cm soil depth), which is at the lower end of published estimates for Russia and Siberia. There was a tendency towards SOC saturation on abandoned land as sequestration rates were much higher for recently abandoned (1-10 years old, 1.04 Mg C ha -1  yr -1 ) compared to earlier abandoned crop fields (11-20 years old, 0.26 Mg C ha -1  yr -1 ). Our study confirms the global significance of abandoned cropland in Russia for carbon sequestration. Our findings also suggest that robust regional surveys based on a large number of samples advance model-based continent-wide SOC prediction. © 2017 John Wiley & Sons Ltd.

  11. A multiscale framework with extended Kalman filter for lithium-ion battery SOC and capacity estimation

    International Nuclear Information System (INIS)

    Hu, Chao; Youn, Byeng D.; Chung, Jaesik

    2012-01-01

    Highlights: ► We develop a mutiscale framework with EKF to estimate SOC and capacity. ► The framework is a hybrid of coulomb counting and adaptive filtering techniques. ► It decouples SOC and capacity estimation in terms of measurement and time-scale. ► Results verify the framework achieves higher accuracy and efficiency than dual EKF. -- Abstract: State-of-charge (SOC) and capacity estimation plays an essential role in many battery-powered applications, such as electric vehicle (EV) and hybrid electric vehicle (HEV). However, commonly used joint/dual extended Kalman filter (EKF) suffers from the lack of accuracy in the capacity estimation since (i) the cell voltage is the only measurable data for the SOC and capacity estimation and updates and (ii) the capacity is very weakly linked to the cell voltage. The lack of accuracy in the capacity estimation may further reduce the accuracy in the SOC estimation due to the strong dependency of the SOC on the capacity. Furthermore, although the capacity is a slowly time-varying quantity that indicates cell state-of-health (SOH), the capacity estimation is generally performed on the same time-scale as the quickly time-varying SOC, resulting in high computational complexity. To resolve these difficulties, this paper proposes a multiscale framework with EKF for SOC and capacity estimation. The proposed framework comprises two ideas: (i) a multiscale framework to estimate SOC and capacity that exhibit time-scale separation and (ii) a state projection scheme for accurate and stable capacity estimation. Simulation results with synthetic data based on a valid cell dynamic model suggest that the proposed framework, as a hybrid of coulomb counting and adaptive filtering techniques, achieves higher accuracy and efficiency than joint/dual EKF. Results of the cycle test on Lithium-ion prismatic cells further verify the effectiveness of our framework.

  12. The Immunohistochemical Analysis of SOCS3 Protein Identifies a Subgroup of Prostatic Cancer Biopsies With Aggressive Behavior.

    Science.gov (United States)

    Pierconti, Francesco; Martini, Maurizio; Cenci, Tonia; Larocca, Luigi M

    Recently, we demonstrated that hypermethylation of SOCS3 determines a significant reduction of its mRNA and protein expression and identifies a subgroup of prostate cancer with aggressive behavior. In this paper, our objective was to investigate whether the immunohistochemical expression of the SOCS3 protein could represent an alternative method to molecular analysis for the individualization of aggressive prostate carcinoma. We analyzed the SOCS3 immunohistochemical expression in 65 patients undergoing biopsies at the Institute of Urology of our hospital between September 2011 and October 2011 (median age, 66.4 y; range, 50 to 73 y), and in 35 cases, a subset of 65 cases originally used for the immunohistochemical study, we studied the methylation status of the SOCS3 promoter. We found that the percentage of cases with SOCS3 negativity (-) or with SOCS3 weak staining in <50% of the neoplastic glands (+/-) correlated to the worst prognosis in terms of the Gleason score (P=0.0001; Fisher's exact test), the pT stage (P=0.012; Fisher's exact test), and progression-free survival (P=0.0334; hazard ratio, 0.34; and 95% confidence interval, from 0.1261 to 0.9188). Moreover, some cases with an SOCS3 unmethylated pattern showed SOCS3-negative immunostaining (-) or SOCS3-negative glands with weak cytoplasmatic staining in <50% of the neoplastic glands (+/-). Our data suggest that in prostatic cancer biopsies, the immunohistochemical analysis of SOCS3 protein expression may provide a method that is less expensive and easier to apply than SOCS3 methylation analysis for the distinction of a subgroup of prostate cancer with a more aggressive behavior.

  13. Purification of SOCS (Suppressor of Cytokine Signaling) SH2 Domains for Structural and Functional Studies.

    Science.gov (United States)

    Liau, Nicholas P D; Laktyushin, Artem; Babon, Jeffrey J

    2017-01-01

    Src Homology 2 (SH2) domains are protein domains which have a high binding affinity for specific amino acid sequences containing a phosphorylated tyrosine residue. The Suppressors of Cytokine Signaling (SOCS) proteins use an SH2 domain to bind to components of certain cytokine signaling pathways to downregulate the signaling cascade. The recombinantly produced SH2 domains of various SOCS proteins have been used to undertake structural and functional studies elucidating the method of how such targeting occurs. Here, we describe the protocol for the recombinant production and purification of SOCS SH2 domains, with an emphasis on SOCS3.

  14. Deforestation and Carbon Stock Loss in Brazil's Amazonian Settlements.

    Science.gov (United States)

    Yanai, Aurora Miho; Nogueira, Euler Melo; de Alencastro Graça, Paulo Maurício Lima; Fearnside, Philip Martin

    2017-03-01

    We estimate deforestation and the carbon stock in 2740 (82 %) of the 3325 settlements in Brazil's Legal Amazonia region. Estimates are made both using available satellite data and a carbon map for the "pre-modern" period (prior to 1970). We used data from Brazil's Project for Monitoring Deforestation in Amazonia updated through 2013 and from the Brazilian Biomes Deforestation Monitoring Project (PMDBBS) updated through 2010. To obtain the pre-modern and recent carbon stocks we performed an intersection between a carbon map and a map derived from settlement boundaries and deforestation data. Although the settlements analyzed occupied only 8 % of Legal Amazonia, our results indicate that these settlements contributed 17 % (160,410 km 2 ) of total clearing (forest + non-forest) in Legal Amazonia (967,003 km 2 ). This represents a clear-cutting of 41 % of the original vegetation in the settlements. Out of this total, 72 % (115,634 km 2 ) was in the "Federal Settlement Project" (PA) category. Deforestation in settlements represents 20 % (2.6 Pg C) of the total carbon loss in Legal Amazonia (13.1 Pg C). The carbon stock in remaining vegetation represents 3.8 Pg C, or 6 % of the total remaining carbon stock in Legal Amazonia (58.6 Pg C) in the periods analyzed. The carbon reductions in settlements are caused both by the settlers and by external actors. Our findings suggest that agrarian reform policies contributed directly to carbon loss. Thus, the implementation of new settlements should consider potential carbon stock losses, especially if settlements are created in areas with high carbon stocks.

  15. SoC Estimation for Lithium-ion Batteries: Review and Future Challenges

    Directory of Open Access Journals (Sweden)

    Juan Pablo Rivera-Barrera

    2017-11-01

    Full Text Available Energy storage emerged as a top concern for the modern cities, and the choice of the lithium-ion chemistry battery technology as an effective solution for storage applications proved to be a highly efficient option. State of charge (SoC represents the available battery capacity and is one of the most important states that need to be monitored to optimize the performance and extend the lifetime of batteries. This review summarizes the methods for SoC estimation for lithium-ion batteries (LiBs. The SoC estimation methods are presented focusing on the description of the techniques and the elaboration of their weaknesses for the use in on-line battery management systems (BMS applications. SoC estimation is a challenging task hindered by considerable changes in battery characteristics over its lifetime due to aging and to the distinct nonlinear behavior. This has led scholars to propose different methods that clearly raised the challenge of establishing a relationship between the accuracy and robustness of the methods, and their low complexity to be implemented. This paper publishes an exhaustive review of the works presented during the last five years, where the tendency of the estimation techniques has been oriented toward a mixture of probabilistic techniques and some artificial intelligence.

  16. Synthesis of highly monodispersed Ga-soc-MOF hollow cubes, colloidosomes and nanocomposites

    KAUST Repository

    Cai, Xuechao

    2016-07-06

    Ga-soc-MOF hollow cubes with an average size of about 300 nm were prepared by a polyvinylpyrrolidone (PVP) assisted acid etching process. Colloidosomes with sizes of around 5-10 mu m composed of single-layer tetrakaidecahedron building blocks (BBs) were synthesized for the first time. Au@Ga-soc-MOF nanocomposites with excellent catalytic properties were obtained.

  17. Synthesis of highly monodispersed Ga-soc-MOF hollow cubes, colloidosomes and nanocomposites

    KAUST Repository

    Cai, Xuechao; Deng, Xiaoran; Xie, Zhongxi; Bao, Shouxin; Shi, Yanshu; Lin, Jun; Pang, Maolin; Eddaoudi, Mohamed

    2016-01-01

    Ga-soc-MOF hollow cubes with an average size of about 300 nm were prepared by a polyvinylpyrrolidone (PVP) assisted acid etching process. Colloidosomes with sizes of around 5-10 mu m composed of single-layer tetrakaidecahedron building blocks (BBs) were synthesized for the first time. Au@Ga-soc-MOF nanocomposites with excellent catalytic properties were obtained.

  18. Optimization Stock Portfolio With Mean-Variance and Linear Programming: Case In Indonesia Stock Market

    Directory of Open Access Journals (Sweden)

    Yen Sun

    2010-05-01

    Full Text Available It is observed that the number of Indonesia’s domestic investor who involved in the stock exchange is very less compare to its total number of population (only about 0.1%. As a result, Indonesia Stock Exchange (IDX is highly affected by foreign investor that can threat the economy. Domestic investor tends to invest in risk-free asset such as deposit in the bank since they are not familiar yet with the stock market and anxious about the risk (risk-averse type of investor. Therefore, it is important to educate domestic investor to involve in the stock exchange. Investing in portfolio of stock is one of the best choices for risk-averse investor (such as Indonesia domestic investor since it offers lower risk for a given level of return. This paper studies the optimization of Indonesian stock portfolio. The data is the historical return of 10 stocks of LQ 45 for 5 time series (January 2004 – December 2008. It will be focus on selecting stocks into a portfolio, setting 10 of stock portfolios using mean variance method combining with the linear programming (solver. Furthermore, based on Efficient Frontier concept and Sharpe measurement, there will be one stock portfolio picked as an optimum Portfolio (Namely Portfolio G. Then, Performance of portfolio G will be evaluated by using Sharpe, Treynor and Jensen Measurement to show whether the return of Portfolio G exceeds the market return. This paper also illustrates how the stock composition of the Optimum Portfolio (G succeeds to predict the portfolio return in the future (5th January – 3rd April 2009. The result of the study observed that optimization portfolio using Mean-Variance (consistent with Markowitz theory combine with linear programming can be applied into Indonesia stock’s portfolio. All the measurements (Sharpe, Jensen, and Treynor show that the portfolio G is a superior portfolio. It is also been found that the composition (weights stocks of optimum portfolio (G can be used to

  19. Boosted gain programmable OpAmp with embedded gain monitor for dependable SoCs

    NARCIS (Netherlands)

    Wan, J.; Kerkhoff, Hans G.

    2011-01-01

    SoCs used in safety-critical applications need to be dependable. However in the deep-submicron region, different kinds of aging effects like negative bias temperature instability (NBTI) make the SoCs, especially the analog/mixed-signal parts, undependable. In this paper, a dependability-improved

  20. Low-Power Differential SRAM design for SOC Based on the 25-um Technology

    Science.gov (United States)

    Godugunuri, Sivaprasad; Dara, Naveen; Sambasiva Nayak, R.; Nayeemuddin, Md; Singh, Yadu, Dr.; Veda, R. N. S. Sunil

    2017-08-01

    In recent, the SOC styles area unit the vast complicated styles in VLSI these SOC styles having important low-power operations problems, to comprehend this we tend to enforced low-power SRAM. However these SRAM Architectures critically affects the entire power of SOC and competitive space. To beat the higher than disadvantages, during this paper, a low-power differential SRAM design is planned. The differential SRAM design stores multiple bits within the same cell, operates at minimum in operation low-tension and space per bit. The differential SRAM design designed supported the 25-um technology using Tanner-EDA Tool.

  1. Effects of enhancing soil organic carbon sequestration in the topsoil by fertilization on crop productivity and stability: Evidence from long-term experiments with wheat-maize cropping systems in China.

    Science.gov (United States)

    Zhang, Xubo; Sun, Nan; Wu, Lianhai; Xu, Minggang; Bingham, Ian J; Li, Zhongfang

    2016-08-15

    Although organic carbon sequestration in agricultural soils has been recommended as a 'win-win strategy' for mitigating climate change and ensuring food security, great uncertainty still remains in identifying the relationships between soil organic carbon (SOC) sequestration and crop productivity. Using data from 17 long-term experiments in China we determined the effects of fertilization strategies on SOC stocks at 0-20cm depth in the North, North East, North West and South. The impacts of changes in topsoil SOC stocks on the yield and yield stability of winter wheat (Triticum aestivum L.) and maize (Zea mays L.) were determined. Results showed that application of inorganic fertilizers (NPK) plus animal manure over 20-30years significantly increased SOC stocks to 20-cm depth by 32-87% whilst NPK plus wheat/maize straw application increased it by 26-38% compared to controls. The efficiency of SOC sequestration differed between regions with 7.4-13.1% of annual C input into the topsoil being retained as SOC over the study periods. In the northern regions, application of manure had little additional effect on yield compared to NPK over a wide range of topsoil SOC stocks (18->50MgCha(-1)). In the South, average yield from manure applied treatments was 2.5 times greater than that from NPK treatments. Moreover, the yield with NPK plus manure increased until SOC stocks (20-cm depth) increased to ~35MgCha(-1). In the northern regions, yield stability was not increased by application of NPK plus manure compared to NPK, whereas in the South there was a significant improvement. We conclude that manure application and straw incorporation could potentially lead to SOC sequestration in topsoil in China, but beneficial effects of this increase in SOC stocks to 20-cm depth on crop yield and yield stability may only be achieved in the South. Copyright © 2016 Elsevier B.V. All rights reserved.

  2. Socs36E Controls Niche Competition by Repressing MAPK Signaling in the Drosophila Testis.

    Directory of Open Access Journals (Sweden)

    Marc Amoyel

    2016-01-01

    Full Text Available The Drosophila testis is a well-established system for studying stem cell self-renewal and competition. In this tissue, the niche supports two stem cell populations, germ line stem cells (GSCs, which give rise to sperm, and somatic stem cells called cyst stem cells (CySCs, which support GSCs and their descendants. It has been established that CySCs compete with each other and with GSCs for niche access, and mutations have been identified that confer increased competitiveness to CySCs, resulting in the mutant stem cell and its descendants outcompeting wild type resident stem cells. Socs36E, which encodes a negative feedback inhibitor of the JAK/STAT pathway, was the first identified regulator of niche competition. The competitive behavior of Socs36E mutant CySCs was attributed to increased JAK/STAT signaling. Here we show that competitive behavior of Socs36E mutant CySCs is due in large part to unbridled Mitogen-Activated Protein Kinase (MAPK signaling. In Socs36E mutant clones, MAPK activity is elevated. Furthermore, we find that clonal upregulation of MAPK in CySCs leads to their outcompetition of wild type CySCs and of GSCs, recapitulating the Socs36E mutant phenotype. Indeed, when MAPK activity is removed from Socs36E mutant clones, they lose their competitiveness but maintain self-renewal, presumably due to increased JAK/STAT signaling in these cells. Consistently, loss of JAK/STAT activity in Socs36E mutant clones severely impairs their self-renewal. Thus, our results enable the genetic separation of two essential processes that occur in stem cells. While some niche signals specify the intrinsic property of self-renewal, which is absolutely required in all stem cells for niche residence, additional signals control the ability of stem cells to compete with their neighbors. Socs36E is node through which these processes are linked, demonstrating that negative feedback inhibition integrates multiple aspects of stem cell behavior.

  3. Comparison Study on the Battery SoC Estimation with EKF and UKF Algorithms

    Directory of Open Access Journals (Sweden)

    Hongwen He

    2013-09-01

    Full Text Available The battery state of charge (SoC, whose estimation is one of the basic functions of battery management system (BMS, is a vital input parameter in the energy management and power distribution control of electric vehicles (EVs. In this paper, two methods based on an extended Kalman filter (EKF and unscented Kalman filter (UKF, respectively, are proposed to estimate the SoC of a lithium-ion battery used in EVs. The lithium-ion battery is modeled with the Thevenin model and the model parameters are identified based on experimental data and validated with the Beijing Driving Cycle. Then space equations used for SoC estimation are established. The SoC estimation results with EKF and UKF are compared in aspects of accuracy and convergence. It is concluded that the two algorithms both perform well, while the UKF algorithm is much better with a faster convergence ability and a higher accuracy.

  4. A step toward 'plug and play' robotics with SoC technology

    DEFF Research Database (Denmark)

    Sørensen, Anders Stengaard; Falsig, Simon; Ugilt, Rolf

    p, li { white-space: pre-wrap; } This article describe our progress toward simplifying and streamlining the low level systems integration of experimental robots, combining a System on Chip (SoC) approach with conventional modular approaches. The combined approach has increased flexibility, improved...... the embedded integration, and decreased the complexity of programming, compared to conventional modular approaches. We show the impact of the SoC approach in a simple demonstration and teaching model of a walking robot....

  5. Study on SOC wavelet analysis for LiFePO4 battery

    Science.gov (United States)

    Liu, Xuepeng; Zhao, Dongmei

    2017-08-01

    Improving the prediction accuracy of SOC can reduce the complexity of the conservative and control strategy of the strategy such as the scheduling, optimization and planning of LiFePO4 battery system. Based on the analysis of the relationship between the SOC historical data and the external stress factors, the SOC Estimation-Correction Prediction Model based on wavelet analysis is established. Using wavelet neural network prediction model is of high precision to achieve forecast link, external stress measured data is used to update parameters estimation in the model, implement correction link, makes the forecast model can adapt to the LiFePO4 battery under rated condition of charge and discharge the operating point of the variable operation area. The test results show that the method can obtain higher precision prediction model when the input and output of LiFePO4 battery are changed frequently.

  6. Fundamentals of IP and SoC security design, verification, and debug

    CERN Document Server

    Ray, Sandip; Sur-Kolay, Susmita

    2017-01-01

    This book is about security in embedded systems and it provides an authoritative reference to all aspects of security in system-on-chip (SoC) designs. The authors discuss issues ranging from security requirements in SoC designs, definition of architectures and design choices to enforce and validate security policies, and trade-offs and conflicts involving security, functionality, and debug requirements. Coverage also includes case studies from the “trenches” of current industrial practice in design, implementation, and validation of security-critical embedded systems. Provides an authoritative reference and summary of the current state-of-the-art in security for embedded systems, hardware IPs and SoC designs; Takes a "cross-cutting" view of security that interacts with different design and validation components such as architecture, implementation, verification, and debug, each enforcing unique trade-offs; Includes high-level overview, detailed analysis on implementation, and relevant case studies on desi...

  7. Blue carbon stocks in Baltic Sea eelgrass (Zostera marina) meadows

    DEFF Research Database (Denmark)

    Rohr, Maria Emilia; Bostrom, Christoffer; Canal-Vergés, Paula

    2016-01-01

    Although seagrasses cover only a minor fraction of the ocean seafloor, their carbon sink capacity accounts for nearly one-fifth of the total oceanic carbon burial and thus play a critical structural and functional role in many coastal ecosystems. We sampled 10 eelgrass (Zostera marina) meadows....... The C-org stock integrated over the top 25 cm of the sediment averaged 627 g C m(-2) in Finland, while in Denmark the average C-org stock was over 6 times higher (4324 g Cm-2). A conservative estimate of the total organic carbon pool in the regions ranged between 6.98 and 44.9 t C ha(-1). Our results...... in Finland and 10 in Denmark to explore seagrass carbon stocks (C-org stock) and carbon accumulation rates (C-org accumulation) in the Baltic Sea area. The study sites represent a gradient from sheltered to exposed locations in both regions to reflect expected minimum and maximum stocks and accumulation...

  8. The Influence of Fundamental and Macroeconomic Analysis on Stock Price

    Directory of Open Access Journals (Sweden)

    Hari Gursida

    2017-12-01

    Full Text Available The purpose of this research is to analyze the effect of fundamental and macroeconomic analysis on stock price. The research was conducted at a coal company listed on the Indonesia Stock Exchange. Fundamental analysis measured by current ratio, debt to equity ratio (DER, earning per share (EPS, return on assets (ROA, and total assets turnover (TATO, while macroeconomic analysis is measured by inflation and exchange rate.  Current ratio (CR has a positive effect on Stock Price. Strengthening this level of liquidity can provide information to investors to decide to buy shares of companies that tend to be healthy and stable. Return on assets (ROA has a positive and significant influence on stock price. Efforts to maximize the level of profitability by increasing the value of return on assets can provide information to investors that investments invested in the company will provide good profit. The impact of stock prices will rise. While debt to equity ratio (DER, earning per share (EPS and total assets turnover (TATO have no effect on Stock Price.  Macroeconomic analysis shows: (a Inflation rate has no effect on stock price of coal company. This can be because the inflation rate in Indonesia is at the level of 6% -7% per year and included in the category of mild inflation. Mild inflation resulted in very slow economic growth, not affecting stock prices. The exchange rate has a negative and significant effect on coal company stock price. If the Rupiah is depreciated then the stock price of the coal company will decrease.

  9. Suppressor of cytokine signaling (SOCS genes are silenced by DNA hypermethylation and histone deacetylation and regulate response to radiotherapy in cervical cancer cells.

    Directory of Open Access Journals (Sweden)

    Moon-Hong Kim

    Full Text Available Suppressor of cytokine signaling (SOCS family is an important negative regulator of cytokine signaling and deregulation of SOCS has been involved in many types of cancer. All cervical cancer cell lines tested showed lower expression of SOCS1, SOCS3, and SOCS5 than normal tissue or cell lines. The immunohistochemistry result for SOCS proteins in human cervical tissue also confirmed that normal tissue expressed higher level of SOCS proteins than neighboring tumor. Similar to the regulation of SOCS in other types of cancer, DNA methylation contributed to SOCS1 downregulation in CaSki, ME-180, and HeLa cells. However, the expression of SOCS3 or SOCS5 was not recovered by the inhibition of DNA methylation. Histone deacetylation may be another regulatory mechanism involved in SOCS1 and SOCS3 expression, however, SOCS5 expression was neither affected by DNA methylation nor histone deacetylation. Ectopic expression of SOCS1 or SOCS3 conferred radioresistance to HeLa cells, which implied SOCS signaling regulates the response to radiation in cervical cancer. In this study, we have shown that SOCS expression repressed by, in part, epigenetically and altered SOCS1 and SOCS3 expression could contribute to the radiosensitive phenotype in cervical cancer.

  10. A 0.7-V 17.4- μ W 3-lead wireless ECG SoC.

    Science.gov (United States)

    Khayatzadeh, Mahmood; Zhang, Xiaoyang; Tan, Jun; Liew, Wen-Sin; Lian, Yong

    2013-10-01

    This paper presents a fully integrated sub-1 V 3-lead wireless ECG System-on-Chip (SoC) for wireless body sensor network applications. The SoC includes a two-channel ECG front-end with a driven-right-leg circuit, an 8-bit SAR ADC, a custom-designed 16-bit microcontroller, two banks of 16 kb SRAM, and a MICS band transceiver. The microcontroller and SRAM blocks are able to operate at sub-/near-threshold regime for the best energy consumption. The proposed SoC has been implemented in a standard 0.13- μ m CMOS process. Measurement results show the microcontroller consumes only 2.62 pJ per instruction at 0.35 V . Both microcontroller and memory blocks are functional down to 0.25 V. The entire SoC is capable of working at single 0.7-V supply. At the best case, it consumes 17.4 μ W in heart rate detection mode and 74.8 μW in raw data acquisition mode under sampling rate of 500 Hz. This makes it one of the best ECG SoCs among state-of-the-art biomedical chips.

  11. Research on State-of-Charge (SOC) estimation using current integration based on temperature compensation

    Science.gov (United States)

    Yin, J.; Shen, Y.; Liu, X. T.; Zeng, G. J.; Liu, D. C.

    2017-11-01

    The traditional current integral method for the state-of-charge (SOC) estimation has an unusable estimation accuracy because of the current measuring error. This paper proposed a closed-loop temperature compensation method to improve the SOC estimation accuracy of current integral method by eliminating temperature drift. Through circuit simulation result in Multisim, the stability of current measuring accuracy is improved by more than 10 times. In a designed 70 charge-discharge experimental circle, the SOC estimation error with temperature compensation had 30 times less than error in normal situation without compensation.

  12. Price Earnings Ratio and Stock Return Analysis (Evidence from Liquidity 45 Stocks Listed in Indonesia Stock Exchange

    Directory of Open Access Journals (Sweden)

    Liem Pei Fun

    2012-01-01

    Full Text Available Price to Earnings Ratio (PE Ratio has been broadly used by analysts and investors for stock selection. Stocks with low PE ratio are perceived as having cheaper current price hence expected to generate higher return in subsequent period. This paper aims to examine predictability of stock return using PE Ratio based on historical relationship between PE Ratio and subsequent stock return. Particularly, it seeks to find whether stocks with high PE Ratio followed by low stocks return and on the contrary, stocks with low PE Ratio followed by high stocks return. Using stocks which are included as member of Liquidity 45 and observation period 2005-2010 as samples, results show that there is significance difference between low PE and high PE portfolio stock return in short term (holding period of 6 months but there is no significance difference between both portfolio stock return if they are hold for one, two, three, and four years. This research also finds that there is no significant relationship between stock return and (trailing PE Ratio which suggests that (trailing PE Ratio is not useful in estimating both short term and long term stock returns

  13. Use of 'sense of coherence (SOC)' scale to measure resilience in Eritrea: interrogating both the data and the scale.

    Science.gov (United States)

    Almedom, Astier M; Tesfamichael, Berhe; Saeed Mohammed, Zein; Mascie-Taylor, C G N; Alemu, Zemui

    2007-01-01

    An adapted 'sense of coherence' scale short form (SOC-13) was administered in nine languages of Eritrea with a total of 265 participants (162 women and 103 men) in order to assess 'resilience' in quantitative terms. Statistical analysis yielded significant differences in SOC scores between the displaced and non-displaced: mean=54.84 (SD=6.48) in internally displaced person (IDP) camps, compared with mean=48.94 (SD=11.99) in urban and rural settlements (t=3.831, purban (non-displaced) residents. Those in rural but traditionally mobile (pastoralist or transhumant) communities scored more or less the same as the urban non-displaced - i.e. significantly higher than those in IDP camps (purban and pastoralist/transhumant groups are similar, while women in IDP camps are lower scoring (RR=0.268, p<0.001), Hamboka women being worst affected due to their experience of serial displacement. These findings are interpreted and discussed in the light of qualitative information gleaned from the study participants' interrogation of the content of the SOC scale; and in the wider context of historical, socio-political and cultural characteristics of Eritrea. The study's implications for humanitarian and public health policy are considered.

  14. Suppressor of cytokine signaling 1 (SOCS1) limits NFkappaB signaling by decreasing p65 stability within the cell nucleus.

    Science.gov (United States)

    Strebovsky, Julia; Walker, Patrick; Lang, Roland; Dalpke, Alexander H

    2011-03-01

    Suppressor of cytokine signaling (SOCS) proteins are inhibitors of cytoplasmic Janus kinases (Jak) and signal transducer and activator of transcription (STAT) signaling pathways. Previously the authors surprisingly observed that SOCS1 translocated into the nucleus, which was because of the presence of a nuclear localization sequence. This report now hypothesizes that SOCS1 mediates specific functions within the nuclear compartment because it is instantly transported into the nucleus, as shown by photoactivation and live cell imaging in human HEK293 cells. The NFκB component p65 is identified as an interaction partner for SOCS1 but not for other members of the SOCS family. SOCS1 bound to p65 only within the nucleus. By means of its SOCS box domain, SOCS1 operated as a ubiquitin ligase, leading to polyubiquitination and proteasomal degradation of nuclear p65. Thus, SOCS1 limited prolonged p65 signaling and terminated expression of NFκB inducible genes. Using mutants that lack either nuclear translocation or a functional SOCS box, this report identifies genes that are regulated in a manner dependent on the nuclear availability of SOCS1. Data show that beyond its receptor-proximal function in Jak/STAT signaling, SOCS1 also regulates the duration of NFκB signaling within the cell nucleus, thus exerting a heretofore unrecognized function.

  15. DMPD: Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 18406369 Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins...svg) (.html) (.csml) Show Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins. ...PubmedID 18406369 Title Regulation of innate immunity by suppressor of cytokine signaling (SOCS)proteins

  16. Soil carbon sequestration in rainfed production systems in the semiarid tropics of India.

    Science.gov (United States)

    Srinivasarao, Ch; Lal, Rattan; Kundu, Sumanta; Babu, M B B Prasad; Venkateswarlu, B; Singh, Anil Kumar

    2014-07-15

    Severe soil organic carbon (SOC) depletion is a major constraint in rainfed agroecosystems in India because it directly influences soil quality, crop productivity and sustainability. The magnitude of soil organic, inorganic and total carbon stocks in the semi-arid bioclimate is estimated at 2.9, 1.9 and 4.8 Pg respectively. Sorghum, finger millet, pearl millet, maize, rice, groundnut, soybean, cotton, food legumes etc. are predominant crop production systems with a little, if any, recycling of organic matter. Data from the long term experiments on major rainfed production systems in India show that higher amount of crop residue C input (Mg/ha/y) return back to soil in soybean-safflower (3.37) system practiced in Vertisol region of central India. Long term addition of chemical fertilizer and organic amendments improved the SOC stock. For every Mg/ha increase in SOC stock in the root zone, there occurs an increase in grain yield (kg/ha) of 13, 101, 90, 170, 145, 18 and 160 for groundnut, finger millet, sorghum, pearl millet, soybean and rice, respectively. Long-term cropping without using any organic amendment and/or mineral fertilizers can severely deplete the SOC stock which is the highest in groundnut-finger millet system (0.92 Mg C/ha/y) in Alfisols. Some agroforestry systems also have a huge potential of C sequestration to the extent of 10Mg/ha/y in short rotation eucalyptus and Leucaena plantations. The critical level of C input requirements for maintaining SOC at the antecedent level ranges from 1.1 to 3.5 Mg C/ha/y and differs among soil type and production systems. National level policy interventions needed to promote sustainable use of soil and water resources include prohibiting residue burning, reducing deforestation, promoting integrated farming systems and facilitating payments for ecosystem services. A wide spread adoption of these measures can improve soil quality through increase in SOC sequestration and improvement in agronomic productivity of

  17. Corruption and stock market development: A quantitative approach

    Science.gov (United States)

    Bolgorian, Meysam

    2011-11-01

    Studying the relation between corruption and economic factors and examining its consequences for economic development have attracted many economists and physicists in recent years. The purpose of this paper is to focus on the role of stock market development on corruption. Analyzing a data set of corruption and stock market development measures such as market capitalization and total value of share trading for 46 countries around the world for the period 2007-2009, we examine the dependence of the Corruption Perception Index (CPI) on stock market development. Our findings suggest that there exists a power-law dependence between corruption and stock market development. We also observe a negative relation between level of corruption and financial system improvement.

  18. SOCS2 deletion protects against hepatic steatosis but worsens insulin resistance in high-fat-diet-fed mice

    DEFF Research Database (Denmark)

    Zadjali, Fahad; Santana-Farre, Ruyman; Vesterlund, Mattias

    2012-01-01

    in the development of diet-induced hepatic steatosis and insulin resistance. SOCS2-knockout (SOCS2(-/-)) mice and wild-type littermates were fed for 4 mo with control or high-fat diet, followed by assessment of insulin sensitivity, hepatic lipid content, and expression of inflammatory cytokines. SOCS2(-/-) mice...

  19. SOCS5 is expressed in primary B and T lymphoid cells but is dispensable for lymphocyte production and function

    DEFF Research Database (Denmark)

    Brender, Christine; Columbus, Ruth; Metcalf, Donald

    2004-01-01

    the importance of SOCS5 in T helper cell responses. Unexpectedly, SOCS5-deficient CD4 T cells showed no abnormalities in Th1/Th2 differentiation and Socs5(-/-) mice showed normal resistance to infection with Leishmania major. Therefore, although SOCS5 is expressed in primary B and T cells, it appears...

  20. Ecosystem-Level Carbon Stocks in Costa Rican Mangrove Forests

    Science.gov (United States)

    Cifuentes, M.

    2012-12-01

    Tropical mangroves provide a wide variety of ecosystem services, including atmospheric carbon sequestration. Because of their high rates of carbon accumulation, the large expected size of their total stocks (from 2 to 5 times greater than those of upland tropical forests), and the alarming rates at which they are being converted to other uses (releasing globally from 0.02 to 0.12 Pg C yr-1), mangroves are receiving increasing attention as additional tools to mitigate climate change. However, data on whole ecosystem-level carbon in tropical mangroves is limited. Here I present the first estimate of ecosystem level carbon stocks in mangrove forests of Central America. I established 28, 125 m-long, sampling transects along the 4 main rivers draining the Térraba-Sierpe National Wetland in the southern Pacific coast of Costa Rica. This area represents 39% of all remaining mangroves in the country (48300 ha). A circular nested plot was placed every 25 m along each transect. Carbon stocks of standing trees, regeneration, the herbaceous layer, litter, and downed wood were measured following internationally-developed methods compatible with IPCC "Good Practice Guidelines". In addition, total soil carbon stocks were determined down to 1 m depth. Together, these carbon estimates represent the ecosystem-carbon stocks of these forests. The average aboveground carbon stocks were 72.5 ± 3.2 MgC ha-1 (range: 9 - 241 MgC ha-1), consistent with results elsewhere in the world. Between 74 and 92% of the aboveground carbon is stored in trees ≥ 5cm dbh. I found a significant correlation between basal area of trees ≥ 5cm dbh and total aboveground carbon. Soil carbon stocks to 1 m depth ranged between 141 y 593 MgC ha-1. Ecosystem-level carbon stocks ranged from 391 MgC ha-1 to 438 MgC ha-1, with a slight increase from south to north locations. Soil carbon stocks represent an average 76% of total ecosystem carbon stocks, while trees represent only 20%. These Costa Rican mangroves

  1. Variation tolerant SoC design

    Science.gov (United States)

    Kozhikkottu, Vivek J.

    performance distribution. This task is particularly complex and challenging due to the inter-dependencies between components' execution, indirect effects of shared resources, and interactions between multiple system-level "execution paths". We argue that accurate variation-aware performance analysis requires Monte-Carlo based repeated system execution. Our proposed analysis framework leverages emulation to significantly speedup performance analysis without sacrificing the generality and accuracy achieved by Monte-Carlo based simulations. Our experiments show performance improvements of around 60x compared to state-of-the-art hardware-software co-simulation tools and also underscore the framework's potential to enable variation-aware design and exploration at the system level. Our second contribution addresses the problem of designing variation-tolerant SoCs using recovery based design, a popular circuit design paradigm that addresses variations by eliminating guard-bands and operating circuits at close to "zero margins" while detecting and recovering from timing errors. While previous efforts have demonstrated the potential benefits of recovery based design, we identify several challenges that need to be addressed in order to apply this technique to SoCs. We present a systematic design framework to apply recovery based design at the system level. We propose to partition SoCs into "recovery islands", wherein each recovery island consists of one or more SoC components that can recover independent of the rest of the SoC. We present a variation-aware design methodology that partitions a given SoC into recovery islands and computes the optimal operating points for each island, taking into account the various trade-offs involved. Our experiments demonstrate that the proposed design framework achieves an average of 32% energy savings over conventional worst-case designs, with negligible losses in performance. The third contribution of this thesis introduces disproportionate

  2. Biological evidence that SOCS-2 can act either as an enhancer or suppressor of growth hormone signaling

    DEFF Research Database (Denmark)

    Greenhalgh, Christopher J; Metcalf, Donald; Thaus, Anne L

    2002-01-01

    Suppressor of cytokine signaling (SOCS)-2 is a member of a family of intracellular proteins implicated in the negative regulation of cytokine signaling. The generation of SOCS-2-deficient mice, which grow to one and a half times the size of their wild-type littermates, suggests that SOCS-2 may at...

  3. Accounting for biomass carbon stock change due to wildfire in temperate forest landscapes in Australia.

    Science.gov (United States)

    Keith, Heather; Lindenmayer, David B; Mackey, Brendan G; Blair, David; Carter, Lauren; McBurney, Lachlan; Okada, Sachiko; Konishi-Nagano, Tomoko

    2014-01-01

    Carbon stock change due to forest management and disturbance must be accounted for in UNFCCC national inventory reports and for signatories to the Kyoto Protocol. Impacts of disturbance on greenhouse gas (GHG) inventories are important for many countries with large forest estates prone to wildfires. Our objective was to measure changes in carbon stocks due to short-term combustion and to simulate longer-term carbon stock dynamics resulting from redistribution among biomass components following wildfire. We studied the impacts of a wildfire in 2009 that burnt temperate forest of tall, wet eucalypts in south-eastern Australia. Biomass combusted ranged from 40 to 58 tC ha(-1), which represented 6-7% and 9-14% in low- and high-severity fire, respectively, of the pre-fire total biomass carbon stock. Pre-fire total stock ranged from 400 to 1040 tC ha(-1) depending on forest age and disturbance history. An estimated 3.9 TgC was emitted from the 2009 fire within the forest region, representing 8.5% of total biomass carbon stock across the landscape. Carbon losses from combustion were large over hours to days during the wildfire, but from an ecosystem dynamics perspective, the proportion of total carbon stock combusted was relatively small. Furthermore, more than half the stock losses from combustion were derived from biomass components with short lifetimes. Most biomass remained on-site, although redistributed from living to dead components. Decomposition of these components and new regeneration constituted the greatest changes in carbon stocks over ensuing decades. A critical issue for carbon accounting policy arises because the timeframes of ecological processes of carbon stock change are longer than the periods for reporting GHG inventories for national emissions reductions targets. Carbon accounts should be comprehensive of all stock changes, but reporting against targets should be based on human-induced changes in carbon stocks to incentivise mitigation activities.

  4. Advanced Technology for Ultra-Low Power System-on-Chip (SoC)

    Science.gov (United States)

    2017-06-01

    was proposed for lower power applications with Ioff=10pA/μm and VDD=0.5V. In this project, the optimized structure shows great potential in both Lg...AFRL-RY-WP-TR-2017-0115 ADVANCED TECHNOLOGY FOR ULTRA-LOW POWER SYSTEM-ON-CHIP (SoC) Jason Woo, Weicong Li, and Peng Lu University of California...September 2015 – 31 March 2017 4. TITLE AND SUBTITLE ADVANCED TECHNOLOGY FOR ULTRA-LOW POWER SYSTEM-ON- CHIP (SoC) 5a. CONTRACT NUMBER FA8650-15-1-7574 5b

  5. DMPD: Suppressor of cytokine signaling (SOCS) 2, a protein with multiple functions. [Dynamic Macrophage Pathway CSML Database

    Lifescience Database Archive (English)

    Full Text Available 17070092 Suppressor of cytokine signaling (SOCS) 2, a protein with multiple function...Epub 2006 Oct 27. (.png) (.svg) (.html) (.csml) Show Suppressor of cytokine signaling (SOCS) 2, a protein with multiple function...SOCS) 2, a protein with multiple functions. Authors Rico-Bautista E, Flores-Morales A, Fernandez-Perez L. Pu

  6. NTP Radioisotopes SOC Ltd

    International Nuclear Information System (INIS)

    Letule, T.

    2017-01-01

    NTP Radioisotopes SOC Ltd, a wholly owned subsidiary of the South African Nuclear Energy Corporation (NECSA). Supplies around 20% of the world's medical radioisotopes used. NTP is a pioneer in the introduction and growth of nuclear medicine as in South Africa. Nuclear medicine is the medical specialty that involves the use of radioactive isotopes in the diagnosis and treatment of diseases. Nuclear medicine contributes to enhancing the lives of the society. There is a compelling need for nuclear medicine to be promoted and utilized in the rest of Africa, due to the increasing prevalence of cancer. Cancer is rapidly becoming a public health crisis in low-income and middle-income countries. In sub-Saharan Africa, patients often present with advanced disease

  7. Quantifying Changes in Total and Pyrogenic Carbon Stocks Across Fire Severity Gradients Using Active Wildfire Incidents

    Directory of Open Access Journals (Sweden)

    Jessica Miesel

    2018-05-01

    Full Text Available Positive feedbacks between wildfire emissions and climate are expected to increase in strength in the future; however, fires not only release carbon (C from terrestrial to atmospheric pools, they also produce pyrogenic C (PyC which contributes to longer-term C stability. Our objective was to quantify wildfire impacts on total C and PyC stocks in California mixed-conifer forest, and to investigate patterns in C and PyC stocks and changes across gradients of fire severity, using metrics derived from remote sensing and field observations. Our unique study accessed active wildfires to establish and measure plots within days before and after fire, prior to substantial erosion. We measured pre- and post-fire aboveground forest structure and woody fuels to calculate aboveground biomass, C and PyC, and collected forest floor and 0–5 cm mineral soil samples. Immediate tree mortality increased with severity, but overstory C loss was minimal and limited primarily to foliage. Fire released 85% of understory and herbaceous C (comprising < 1.0% of total ecosystem C. The greatest C losses occurred from downed wood and forest floor pools (19.3 ± 5.1 Mg ha−1 and 25.9 ± 3.2 Mg ha−1, respectively. Tree bark and downed wood contributed the greatest PyC gains (1.5 ± 0.3 Mg ha−1 and 1.9 ± 0.8 Mg ha−1, respectively, and PyC in tree bark showed non-significant positive trends with increasing severity. Overall PyC losses of 1.9 ± 0.3 Mg ha−1 and 0.5 ± 0.1 Mg ha−1 occurred from forest floor and 0–5 cm mineral soil, with no clear patterns across severity. Fire resulted in a net ecosystem PyC gain (1.0 ± 1.0 Mg ha−1 across aboveground and belowground components of these forests, and there were no differences among severity levels. Carbon emissions represented only 21.6% of total forest C; however, extensive conversion of C from live to dead pools will contribute to large downed wood C pools susceptible to release in a subsequent fire, indicating

  8. Increased linear bone growth by GH in the absence of SOCS2 is independent of IGF-1.

    Science.gov (United States)

    Dobie, Ross; Ahmed, Syed F; Staines, Katherine A; Pass, Chloe; Jasim, Seema; MacRae, Vicky E; Farquharson, Colin

    2015-11-01

    Growth hormone (GH) signaling is essential for postnatal linear bone growth, but the relative importance of GHs actions on the liver and/or growth plate cartilage remains unclear. The importance of liver derived insulin like-growth factor-1 (IGF-1) for endochondral growth has recently been challenged. Here, we investigate linear growth in Suppressor of Cytokine Signaling-2 (SOCS2) knockout mice, which have enhanced growth despite normal systemic GH/IGF-1 levels. Wild-type embryonic ex vivo metatarsals failed to exhibit increased linear growth in response to GH, but displayed increased Socs2 transcript levels (P growth over a 12 day period. Despite this increase, IGF-1 transcript and protein levels were not increased in response to GH. In accordance with these data, IGF-1 levels were unchanged in GH-challenged postnatal Socs2(-/-) conditioned medium despite metatarsals showing enhanced linear growth. Growth-plate Igf1 mRNA levels were not elevated in juvenile Socs2(-/-) mice. GH did however elevate IGF-binding protein 3 levels in conditioned medium from GH challenged metatarsals and this was more apparent in Socs2(-/-) metatarsals. GH did not enhance the growth of Socs2(-/-) metatarsals when the IGF receptor was inhibited, suggesting that IGF receptor mediated mechanisms are required. IGF-2 may be responsible as IGF-2 promoted metatarsal growth and Igf2 expression was elevated in Socs2(-/-) (but not WT) metatarsals in response to GH. These studies emphasise the critical importance of SOCS2 in regulating GHs ability to promote bone growth. Also, GH appears to act directly on the metatarsals of Socs2(-/-) mice, promoting growth via a mechanism that is independent of IGF-1. © 2014 The Authors. Journal of Cellular Physiology Published by Wiley Periodicals, Inc.

  9. FAVAR Analysis of Foreign Investment with Capital Market Predictors: Evidence on Nigerian and Selected African Stock Exchanges

    Directory of Open Access Journals (Sweden)

    David Umoru

    2018-03-01

    Full Text Available Econometrically, we analyzed role of selected African stock exchanges in welcoming FDI inflows by estimating time-varying factor augmented vector auto-regression (FAVAR model for 2006:Q1 to 2017:Q4. Our results support FDI being massively influenced by movements in two stock market predictors namely, stock market's size, that is, total market value of stock market's listed shares calculated by multiplying a stock market’s shares listed by current market price of one share and stock market liquidity which is total value of traded shares relative to the size of the economy. By empirical inference, African stock exchanges exhibit inordinate turnover ratio and so these markets are exceedingly liquid. Particularly, transactions at stock exchange are significant indicators for foreign investors and total market value of listed shares in stock markets is linked positively with FDI inflow into Africa. The empirical finding is that viable African stock exchanges are attractive indicator of market concentration and high investment profile in Africa. The study so remarked the requisite to advance the stock exchange in order to boost funds accumulation for investment drive. Also, African governments should project and implement stock market-friendly procedures acceptable to maximize welfares of spillover effects of FDI.

  10. How “Point Blindness” Dilutes the Value of Stock Market Reports

    OpenAIRE

    Lupia, Arthur; Grafstrom, Cassandra; Krupnikov, Yanna; Levine, Adam Seth; MacMillan, William; McGovern, Erin

    2008-01-01

    The stock index “point” is a focal component of financial news reports. While much attention is paid to changes in stock index point totals, few people realize that the value of a stock index “point” varies (and has recently declined). We call this perceptual phenomenon “point blindness” and explain its threat to investors. Simple changes in media presentations of stock index information can counter point blindness. These changes are easy to implement and can help audiences make better financ...

  11. Correct-by-construction approaches for SoC design

    CERN Document Server

    Sinha, Roopak; Basu, Samik

    2013-01-01

    This book describes an approach for designing Systems-on-Chip such that the system meets precise mathematical requirements. The methodologies presented enable embedded systems designers to reuse intellectual property (IP) blocks from existing designs in an efficient, reliable manner, automatically generating correct SoCs from multiple, possibly mismatching, components.

  12. The role of composition, invasives, and maintenance emissions on urban forest carbon stocks.

    Science.gov (United States)

    Horn, Josh; Escobedo, Francisco J; Hinkle, Ross; Hostetler, Mark; Timilsina, Nilesh

    2015-02-01

    There are few field-based, empirical studies quantifying the effect of invasive trees and palms and maintenance-related carbon emissions on changes in urban forest carbon stocks. We estimated carbon (C) stock changes and tree maintenance-related C emissions in a subtropical urban forest by re-measuring a subsample of residential permanent plots during 2009 and 2011, using regional allometric biomass equations, and surveying residential homeowners near Orlando, FL, USA. The effect of native, non-native, invasive tree species and palms on C stocks and sequestration was also quantified. Findings show 17.8 tC/ha in stocks and 1.2 tC/ha/year of net sequestration. The most important species both by frequency of C stocks and sequestration were Quercus laurifolia Michx. and Quercus virginiana Mill., accounting for 20% of all the trees measured; 60% of carbon stocks and over 75% of net C sequestration. Palms contributed to less than 1% of the total C stocks. Natives comprised two-thirds of the tree population and sequestered 90% of all C, while invasive trees and palms accounted for 5 % of net C sequestration. Overall, invasive and exotic trees had a limited contribution to total C stocks and sequestration. Annual tree-related maintenance C emissions were 0.1% of total gross C sequestration. Plot-level tree, palm, and litter cover were correlated to C stocks and net sequestration. Findings can be used to complement existing urban forest C offset accounting and monitoring protocols and to better understand the role of invasive woody plants on urban ecosystem service provision.

  13. Quantification of SOC and Clay Content Using Visible Near-Infrared Reflectance–Mid-Infrared Reflectance Spectroscopy With Jack-Knifing Partial Least Squares Regression

    DEFF Research Database (Denmark)

    Peng, Yi; Knadel, Maria; Gislum, René

    2014-01-01

    A total of 125 soil samples were collected from a Danish field varying in soil texture from sandy to loamy. Visible near-infrared reflectance (Vis-NIR) and mid-infrared reflectance (MIR) spectroscopy combined with chemometric methods were used to predict soil organic carbon (SOC) and clay content...

  14. Mapping SOC in a river catchment by integrating laboratory spectra wavelength with remote sensing spectra

    DEFF Research Database (Denmark)

    Peng, Yi; Xiong, Xiong; Knadel, Maria

    There is potential to use soil ·-proximal and remote sensing derived spectra concomitantly to develop soil organic carbon (SOC) models. Yet mixing spectral data from different sources and technologies to improve soil models is still in its infancy. The objective of this study was to incorporate...... soil spectral features indicative of SOC from laboratory visible near-infrared reflectance (vis-NlR) spectra and incorporate them with remote sensing (RS) images to improve predictions of top SOC in the Skjem river catchment, Denmark. The secondary objective was to improve prediction results...

  15. Effects of multiple interacting disturbances and salvage logging on forest carbon stocks

    Science.gov (United States)

    Bradford, J.B.; Fraver, S.; Milo, A.M.; D'Amato, A.W.; Palik, B.; Shinneman, D.J.

    2012-01-01

    Climate change is anticipated to increase the frequency of disturbances, potentially impacting carbon stocks in terrestrial ecosystems. However, little is known about the implications of either multiple disturbances or post-disturbance forest management activities on ecosystem carbon stocks. This study quantified how forest carbon stocks responded to stand-replacing blowdown and wildfire, both individually and in combination with and without post-disturbance salvage operations, in a sub-boreal jack pine ecosystem. Individually, blowdown or fire caused similar decreases in live carbon and total ecosystem carbon. However, whereas blowdown increased carbon in down woody material and forest floor, fire increased carbon in standing snags, a difference that may have consequences for long-term carbon cycling patterns. Fire after the blowdown caused substantial additional reduction in ecosystem carbon stocks, suggesting that potential increases in multiple disturbance events may represent a challenge for sustaining ecosystem carbon stocks. Salvage logging, as examined here, decreased carbon stored in snags and down woody material but had no significant effect on total ecosystem carbon stocks.

  16. Capturing spatial heterogeneity of soil organic carbon under changing climate

    Science.gov (United States)

    Mishra, U.; Fan, Z.; Jastrow, J. D.; Matamala, R.; Vitharana, U.

    2015-12-01

    The spatial heterogeneity of the land surface affects water, energy, and greenhouse gas exchanges with the atmosphere. Designing observation networks that capture land surface spatial heterogeneity is a critical scientific challenge. Here, we present a geospatial approach to capture the existing spatial heterogeneity of soil organic carbon (SOC) stocks across Alaska, USA. We used the standard deviation of 556 georeferenced SOC profiles previously compiled in Mishra and Riley (2015, Biogeosciences, 12:3993-4004) to calculate the number of observations that would be needed to reliably estimate Alaskan SOC stocks. This analysis indicated that 906 randomly distributed observation sites would be needed to quantify the mean value of SOC stocks across Alaska at a confidence interval of ± 5 kg m-2. We then used soil-forming factors (climate, topography, land cover types, surficial geology) to identify the locations of appropriately distributed observation sites by using the conditioned Latin hypercube sampling approach. Spatial correlation and variogram analyses demonstrated that the spatial structures of soil-forming factors were adequately represented by these 906 sites. Using the spatial correlation length of existing SOC observations, we identified 484 new observation sites would be needed to provide the best estimate of the present status of SOC stocks in Alaska. We then used average decadal projections (2020-2099) of precipitation, temperature, and length of growing season for three representative concentration pathway (RCP 4.5, 6.0, and 8.5) scenarios of the Intergovernmental Panel on Climate Change to investigate whether the location of identified observation sites will shift/change under future climate. Our results showed 12-41 additional observation sites (depending on emission scenarios) will be required to capture the impact of projected climatic conditions by 2100 on the spatial heterogeneity of Alaskan SOC stocks. Our results represent an ideal distribution

  17. SOCS2 mediates the cross talk between androgen and growth hormone signaling in prostate cancer

    DEFF Research Database (Denmark)

    Iglesias Gato, Diego; Chuan, Yin Choy; Wikström, Pernilla

    2014-01-01

    ) as mediator of the cross talk between androgens and GH signals in the prostate and its potential role as tumor suppressor in prostate cancer (PCa). We observed that SOCS2 protein levels assayed by immunohistochemistry are elevated in hormone therapy-naive localized prostatic adenocarcinoma in comparison...... of transcription 5 protein (STAT5) and androgen receptor-dependent transcription. Consequentially, SOCS2 inhibits GH activation of Janus kinase 2, Src and STAT5 as well as both cell invasion and cell proliferation in vitro. In vivo, SOCS2 limits proliferation and production of IGF-1 in the prostate in response......Anabolic signals such as androgens and the growth hormone/insulin-like growth factor 1 (GH/IGF-1) axis play an essential role in the normal development of the prostate but also in its malignant transformation. In this study, we investigated the role of suppressor of cytokine signaling 2 (SOCS2...

  18. Mark Stock | NREL

    Science.gov (United States)

    Stock Mark Stock Scientific Visualization Specialist Mark.Stock@nrel.gov | 303-275-4174 Dr. Stock , virtual reality, parallel computing, and manipulation of large spatial data sets. As an artist, he creates . Stock built the SUNLIGHT artwork that is installed on the Webb Building in downtown Denver. In addition

  19. Stroke: a Hidden Danger of Margin Trading in Stock Markets.

    Science.gov (United States)

    Lin, Shu-Hui; Wang, Chien-Ho; Liu, Tsai-Ching; Chen, Chin-Shyan

    2015-10-01

    Using 10-year population data from 2000 through 2009 in Taiwan, this is the first paper to analyze the relationship between margin trading in stock markets and stroke hospitalizations. The results show that 3 and 6 days after an increase of margin trading in the Taiwan stock markets are associated with greater stoke hospitalizations. In general, a 1 % increase in total margin trading positions is associated with an increment of 2.5 in the total number of stroke hospitalizations, where the mean number of hospital admissions is 233 cases a day. We further examine the effects of margin trading by gender and age groups and find that the effects of margin trading are significant for males and those who are 45-74 years old only. In summary, buying stocks with money you do not have is quite risky, especially if the prices of those stocks fall past a certain level or if there is a sudden and severe drop in the stock market. There is also a hidden danger to one's health from margin trading. A person should be cautious before conducting margin trading, because while it can be quite profitable, danger always lurks just around the corner.

  20. Measurement of the complete core plasma flow across the LOC-SOC transition at ASDEX Upgrade

    Science.gov (United States)

    Lebschy, A.; McDermott, R. M.; Angioni, C.; Geiger, B.; Prisiazhniuk, D.; Cavedon, M.; Conway, G. D.; Dux, R.; Dunne, M. G.; Kappatou, A.; Pütterich, T.; Stroth, U.; Viezzer, E.; the ASDEX Upgrade Team

    2018-02-01

    A newly installed core charge exchange recombination spectroscopy (CXRS) diagnostic at ASDEX Upgrade (AUG) enables the evaluation of the core poloidal rotation (upol ) through the inboard-outboard asymmetry of the toroidal rotation with an accuracy of 0.5 to 1 km s-1 . Using this technique, the total plasma flow has been measured in Ohmic L-mode plasmas across the transition from the linear to saturated ohmic confinement (LOC-SOC) regimes. The core poloidal rotation of the plasma around mid-radius is found to be always in the ion diamagnetic direction, in disagreement with neoclassical (NC) predictions. The edge rotation is found to be electron-directed and consistent with NC codes. This measurement provides as well the missing ingredient to evaluate the core E×B velocity (uE×B ) from data only, which can then be compared to measurements of the perpendicular velocity of the turbulent fluctuations (u\\perp ) to gain information on the turbulent phase velocity (vph ). The non neoclassical upol from CXRS leads to good agreement between uE×B and u\\perp indicating that vph is small and at similar values as found with gyrokinetic simulations. Moreover, the data shows a shift of vph in the ion-diamagnetic direction at the edge after the transition from LOC to SOC consistent with a change in the dominant turbulence regime. The upgrade of the core CXRS system provides as well a deeper insight into the intrinsic rotation. This paper shows that the reversal of the core toroidal rotation occurs clearly after the LOC-SOC transition and concomitant with the peaking of the electron density.

  1. Accounting for Biomass Carbon Stock Change Due to Wildfire in Temperate Forest Landscapes in Australia

    Science.gov (United States)

    Keith, Heather; Lindenmayer, David B.; Mackey, Brendan G.; Blair, David; Carter, Lauren; McBurney, Lachlan; Okada, Sachiko; Konishi-Nagano, Tomoko

    2014-01-01

    Carbon stock change due to forest management and disturbance must be accounted for in UNFCCC national inventory reports and for signatories to the Kyoto Protocol. Impacts of disturbance on greenhouse gas (GHG) inventories are important for many countries with large forest estates prone to wildfires. Our objective was to measure changes in carbon stocks due to short-term combustion and to simulate longer-term carbon stock dynamics resulting from redistribution among biomass components following wildfire. We studied the impacts of a wildfire in 2009 that burnt temperate forest of tall, wet eucalypts in south-eastern Australia. Biomass combusted ranged from 40 to 58 tC ha−1, which represented 6–7% and 9–14% in low- and high-severity fire, respectively, of the pre-fire total biomass carbon stock. Pre-fire total stock ranged from 400 to 1040 tC ha−1 depending on forest age and disturbance history. An estimated 3.9 TgC was emitted from the 2009 fire within the forest region, representing 8.5% of total biomass carbon stock across the landscape. Carbon losses from combustion were large over hours to days during the wildfire, but from an ecosystem dynamics perspective, the proportion of total carbon stock combusted was relatively small. Furthermore, more than half the stock losses from combustion were derived from biomass components with short lifetimes. Most biomass remained on-site, although redistributed from living to dead components. Decomposition of these components and new regeneration constituted the greatest changes in carbon stocks over ensuing decades. A critical issue for carbon accounting policy arises because the timeframes of ecological processes of carbon stock change are longer than the periods for reporting GHG inventories for national emissions reductions targets. Carbon accounts should be comprehensive of all stock changes, but reporting against targets should be based on human-induced changes in carbon stocks to incentivise mitigation activities

  2. Growth hormone preferentially induces the rapid, transient expression of SOCS-3, a novel inhibitor of cytokine receptor signaling

    DEFF Research Database (Denmark)

    Adams, T E; Hansen, J A; Starr, R

    1998-01-01

    Four members (SOCS-1, SOCS-2, SOCS-3, and CIS) of a family of cytokine-inducible, negative regulators of cytokine receptor signaling have recently been identified. To address whether any of these genes are induced in response to growth hormone (GH), serum-starved 3T3-F442A fibroblasts were incuba...

  3. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    Science.gov (United States)

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived

  4. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study

    Directory of Open Access Journals (Sweden)

    Andreas Müller

    2017-10-01

    Full Text Available Background: Individuals’ behavioral strategies like selection, optimization, and compensation (SOC contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees’ SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context.Objective: This study aimed to assess associations between employees’ use of SOC behaviors at work and their organizational citizenship behaviors (OCB toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB.Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23–58 years who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers’ OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads.Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB.Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is

  5. SOC Strategies and Organizational Citizenship Behaviors toward the Benefits of Co-workers: A Multi-Source Study.

    Science.gov (United States)

    Müller, Andreas; Weigl, Matthias

    2017-01-01

    Background: Individuals' behavioral strategies like selection, optimization, and compensation (SOC) contribute to efficient use of available resources. In the work context, previous studies revealed positive associations between employees' SOC use and favorable individual outcomes, like engagement and job performance. However, the social implications of self-directed behaviors like SOC that are favorable for the employee but may imply consequences for coworkers have not been investigated yet in an interpersonal work context. Objective: This study aimed to assess associations between employees' use of SOC behaviors at work and their organizational citizenship behaviors (OCB) toward the benefits of co-workers rated by their peers at work. We further sought to identify age-specific associations between SOC use and OCB. Design and Method: A cross-sectional design combining multi-source data was applied in primary school teachers (age range: 23-58 years) who frequently teach in dyads. N = 114 dyads were finally included. Teachers reported on their SOC strategies at work. Their peer colleagues evaluated teachers' OCB. Control variables were gender, workload, working hours, and perceived proximity of relationship between the dyads. Results: We observed a positive effect of loss-based selection behaviors on peer-rated OCB. Moreover, there was a significant two-way interaction effect between the use of compensation strategies and age on OCB, such that there was a positive association for older employees and a negative association for younger employees. There were no significant main and age-related interaction effects of elective selection, optimization, and of overall SOC strategies on OCB. Conclusion: Our study suggests that high use of loss-based selection and high use of compensation strategies in older employees is positively related with OCB as perceived by their colleagues. However, high use of compensation strategies in younger employees is perceived negatively

  6. Ecosystem Carbon Stocks of Intertidal Wetlands in Singapore

    Science.gov (United States)

    Phang, V. X. H.; Friess, D.; Chou, L. M.

    2014-12-01

    Mangrove forests and seagrass meadows provide numerous ecosystem services, with huge recent interest in their carbon sequestration and storage value. Mangrove forests and seagrass meadows as well as mudflats and sandbars form a continuum of intertidal wetlands, but studies that consider these spatially-linked habitats as a whole are limited. This paper presents the results of a field-based and remote sensing carbon stock assessment, including the first study of the ecosystem carbon stocks of these adjacent habitats in the tropics. Aboveground, belowground and soil organic carbon pools were quantified at Chek Jawa, an intertidal wetland in Singapore. Total ecosystem carbon stocks averaged 499 Mg C ha-1 in the mangrove forest and 140 Mg C ha-1 in the seagrass meadow. Soil organic carbon dominated the total storage in both habitats. In the adjacent mudflats and sandbars, soil organic carbon averaged 143 and 124 Mg C ha-1 respectively. High amount of carbon stored in soil demonstrate the role of intertidal wetlands in sequestering large amount of carbon in sediments accumulated over millennia. High-resolution remote sensing imagery was used to create spatial models that upscaled field-based carbon measurements to the national scale. Field-based data and spatial modeling of ecosystem carbon stocks to the entire island through remote sensing provides a large-scale and holistic carbon stock value, important for the understanding and management of these threatened intertidal ecosystems.

  7. EpSoc: Social-Based Epidemic-Based Routing Protocol in Opportunistic Mobile Social Network

    Directory of Open Access Journals (Sweden)

    Halikul Lenando

    2018-01-01

    Full Text Available In opportunistic networks, the nature of intermittent and disruptive connections degrades the efficiency of routing. Epidemic routing protocol is used as a benchmark for most of routing protocols in opportunistic mobile social networks (OMSNs due to its high message delivery and latency. However, Epidemic incurs high cost in terms of overhead and hop count. In this paper, we propose a hybrid routing protocol called EpSoc which utilizes the Epidemic routing forwarding strategy and exploits an important social feature, that is, degree centrality. Two techniques are used in EpSoc. Messages’ TTL is adjusted based on the degree centrality of nodes, and the message blocking mechanism is used to control replication. Simulation results show that EpSoc increases the delivery ratio and decreases the overhead ratio, the average latency, and the hop counts as compared to Epidemic and Bubble Rap.

  8. Suppressor of Cytokine Signaling (SOCS 5 utilises distinct domains for regulation of JAK1 and interaction with the adaptor protein Shc-1.

    Directory of Open Access Journals (Sweden)

    Edmond M Linossi

    Full Text Available Suppressor of Cytokine Signaling (SOCS5 is thought to act as a tumour suppressor through negative regulation of JAK/STAT and epidermal growth factor (EGF signaling. However, the mechanism/s by which SOCS5 acts on these two distinct pathways is unclear. We show for the first time that SOCS5 can interact directly with JAK via a unique, conserved region in its N-terminus, which we have termed the JAK interaction region (JIR. Co-expression of SOCS5 was able to specifically reduce JAK1 and JAK2 (but not JAK3 or TYK2 autophosphorylation and this function required both the conserved JIR and additional sequences within the long SOCS5 N-terminal region. We further demonstrate that SOCS5 can directly inhibit JAK1 kinase activity, although its mechanism of action appears distinct from that of SOCS1 and SOCS3. In addition, we identify phosphoTyr317 in Shc-1 as a high-affinity substrate for the SOCS5-SH2 domain and suggest that SOCS5 may negatively regulate EGF and growth factor-driven Shc-1 signaling by binding to this site. These findings suggest that different domains in SOCS5 contribute to two distinct mechanisms for regulation of cytokine and growth factor signaling.

  9. Temporal dynamics of soil organic carbon after land-use change in the temperate zone – carbon response functions as a model approach

    DEFF Research Database (Denmark)

    Poeplau, Christopher; Don, Axel; Vesterdal, Lars

    2011-01-01

    Land-use change (LUC) is a major driving factor for the balance of soil organic carbon (SOC) stocks and the global carbon cycle. The temporal dynamic of SOC after LUC is especially important in temperate systems with a long reaction time. On the basis of 95 compiled studies covering 322 sites...... approach, the developed CRFs provide an easily applicable tool to estimate SOC stock changes after LUC to improve greenhouse gas reporting in the framework of UNFCCC....

  10. A 6.45 μW Self-Powered SoC With Integrated Energy-Harvesting Power Management and ULP Asymmetric Radios for Portable Biomedical Systems.

    Science.gov (United States)

    Roy, Abhishek; Klinefelter, Alicia; Yahya, Farah B; Chen, Xing; Gonzalez-Guerrero, Luisa Patricia; Lukas, Christopher J; Kamakshi, Divya Akella; Boley, James; Craig, Kyle; Faisal, Muhammad; Oh, Seunghyun; Roberts, Nathan E; Shakhsheer, Yousef; Shrivastava, Aatmesh; Vasudevan, Dilip P; Wentzloff, David D; Calhoun, Benton H

    2015-12-01

    This paper presents a batteryless system-on-chip (SoC) that operates off energy harvested from indoor solar cells and/or thermoelectric generators (TEGs) on the body. Fabricated in a commercial 0.13 μW process, this SoC sensing platform consists of an integrated energy harvesting and power management unit (EH-PMU) with maximum power point tracking, multiple sensing modalities, programmable core and a low power microcontroller with several hardware accelerators to enable energy-efficient digital signal processing, ultra-low-power (ULP) asymmetric radios for wireless transmission, and a 100 nW wake-up radio. The EH-PMU achieves a peak end-to-end efficiency of 75% delivering power to a 100 μA load. In an example motion detection application, the SoC reads data from an accelerometer through SPI, processes it, and sends it over the radio. The SPI and digital processing consume only 2.27 μW, while the integrated radio consumes 4.18 μW when transmitting at 187.5 kbps for a total of 6.45 μW.

  11. Relationship Among Political Instability, Stock Market Returns and Stock Market Volatility

    OpenAIRE

    Irshad Hira

    2017-01-01

    This study investigated the relationship of political instability with the stock prices. Results of the study indicated the negative relationship of stock prices with political instability. Moreover, results of suggested that instable political system ultimately leads decline in stock prices. Inflation has shown negative relationship with stock prices whereas, industrial production and Exports have positive relationship with stock prices.

  12. Solow Residuals Without Capital Stocks

    DEFF Research Database (Denmark)

    Burda, Michael C.; Severgnini, Battista

    2014-01-01

    We use synthetic data generated by a prototypical stochastic growth model to assess the accuracy of the Solow residual (Solow, 1957) as a measure of total factor productivity (TFP) growth when the capital stock in use is measured with error. We propose two alternative measurements based on curren...

  13. Relationship Among Political Instability, Stock Market Returns and Stock Market Volatility

    Directory of Open Access Journals (Sweden)

    Irshad Hira

    2017-08-01

    Full Text Available This study investigated the relationship of political instability with the stock prices. Results of the study indicated the negative relationship of stock prices with political instability. Moreover, results of suggested that instable political system ultimately leads decline in stock prices. Inflation has shown negative relationship with stock prices whereas, industrial production and Exports have positive relationship with stock prices.

  14. Perbandingan Stock Market Crash 1987 : Dan Stock Market Crash 1997

    OpenAIRE

    Indridewi Atmadjaja, Yovita Vivianty

    1999-01-01

    Stock market crash refers to the condition, which is marked with the large dropping of stock Market price index. Historically, stock market crash has happened three times, namely in 1929, 1987 and 1997. This paper will discuss the causes of 1987's and 1997's stock market Crash and the similarities and the differences between 1987's and 1997's stock market crash. The structure of the paper is as follows. The paper starts with the introduction. The second Section briefly explains the causes of ...

  15. Suppressor of cytokine signaling 2 (SOCS2) deletion protects against multiple low dose streptozotocin-induced type 1 diabetes in adult male mice

    DEFF Research Database (Denmark)

    Alkharusi, Amira; Mirecki-Garrido, Mercedes; Ma, Zuheng

    2016-01-01

    Background: Diabetes type 1 is characterized by the failure of beta cells to produce insulin. Suppressor of cytokine signaling (SOCS) proteins are important regulators of the Janus kinase/signal transducer and activator of transcription (JAK-STAT) pathway. Previous studies have shown that GH can...... prevent the development of type I diabetes in mice and that SOCS2 deficiency mimics a state of increased GH sensitivity. Methodology: The elevated sensitivity of SOCS2-/- mice to GH and possibly to PRL was the rationale to analyze the effects of multiple low dose streptozotocin (MLDSTZ)-induced diabetes...... in SOCS2-/- mice. Results: We show that 6-month-old SOCS2-/- mice, but not 2-month-old mice, were less sensitive to MLDSTZ-induced diabetes, compared to controls. MLDSTZ treatment induced glucose intolerance in both SOCS2+/+ and SOCS2-/- mice, as shown by glucose tolerance tests, with SOCS2+/+ mice...

  16. The mechanisms behind the formation of a strong Sense of Coherence (SOC): The role of migration and integration

    NARCIS (Netherlands)

    Slootjes, J.; Keuzenkamp, Saskia; Saharso, S.

    2017-01-01

    Considering how much we know about the impact of the Sense of Coherence (SOC) on different health-related outcomes, we know surprisingly little about how a strong SOC actually develops. In this study we examine the mechanisms behind the formation of a strong SOC and study the role of migration,

  17. LCM-seq reveals the crucial role of LsSOC1 in heat-promoted bolting of lettuce (Lactuca sativa L.).

    Science.gov (United States)

    Chen, Zijing; Zhao, Wensheng; Ge, Danfeng; Han, Yingyan; Ning, Kang; Luo, Chen; Wang, Shenglin; Liu, Renyi; Zhang, Xiaolan; Wang, Qian

    2018-05-17

    Lettuce (Lactuca sativa L.) is one of the most economically important vegetables. The floral transition in lettuce is accelerated under high temperatures, which can significantly decrease yields. However, the molecular mechanism underlying the floral tranition in lettuce is poorly known. Using laser capture microdissection coupled with RNA sequencing, we isolated shoot apical meristem cells from the bolting-sensitive lettuce line S39 at four critical stages of development. Subsequently, we screened specifically for the flowering-related gene LsSOC1 during the floral transition through comparative transcriptomic analysis. Molecular biology, developmental biology, and biochemical tools were combined to investigate the biological function of LsSOC1 in lettuce. LsSOC1 knockdown by RNA interference resulted in a significant delay in the timing of bolting and insensitivity to high temperature, which indicated that LsSOC1 functions as an activator during heat-promoted bolting in lettuce. We determined that two heat-shock transcription factors, HsfA1e and HsfA4c, bound to the promoter of LsSOC1 to confirm that LsSOC1 played an important role in heat-promoted bolting. This study indicates that LsSOC1 plays a crucial role in the heat-promoted bolting process in lettuce. Further investigation of LsSOC1 may be useful for clarification of the bolting mechanism in lettuce. This article is protected by copyright. All rights reserved. This article is protected by copyright. All rights reserved.

  18. Comparable stocks, boundedly rational stock markets and IPO entry rates.

    Directory of Open Access Journals (Sweden)

    Jay Chok

    Full Text Available In this study, we examine how initial public offerings (IPO entry rates are affected when stock markets are boundedly rational and IPO firms infer information from their counterparts in the market. We hypothesize a curvilinear relationship between the number of comparable stocks and initial public offerings (IPO entry rates into the NASDAQ Stock Exchange. Furthermore, we argue that trading volume and changes in stock returns partially mediates the relationship between the number of comparable stocks and IPO entry rates. The statistical evidence provides strong support for the hypotheses.

  19. Insulin resistance, adipokine profile and hepatic expression of SOCS-3 gene in chronic hepatitis C.

    Science.gov (United States)

    Wójcik, Kamila; Jabłonowska, Elżbieta; Omulecka, Aleksandra; Piekarska, Anna

    2014-08-14

    To analyze adipokine concentrations, insulin resistance and hepatic expression of suppressor of cytokine signaling 3 (SOCS-3) in patients with chronic hepatitis C genotype 1 with normal body weight, glucose and lipid profile. The study group consisted of 31 patients with chronic hepatitis C and 9 healthy subjects. Total levels of adiponectin, leptin, resistin, visfatin, omentin, osteopontin and insulin were measured using an ELISA kit. The hepatic expression of SOCS-3 was determined by the use of the reverse transcription polymerase chain reaction method. Homeostasis model assessment for insulin resistance (HOMA-IR) values were significantly higher in hepatitis C virus (HCV) infected patients without metabolic disorders compared to healthy controls (2.24 vs 0.59, P = 0.0003). Hepatic steatosis was observed in 32.2% of patients with HCV infection and was found in patients with increased HOMA-IR index (2.81 vs 1.99, P = 0.05) and reduced adiponectin level (5.96 vs 8.37, P = 0.04). Inflammatory activity (G ≥ 2) was related to increased osteopontin concentration (34.04 vs 23.35, P = 0.03). Advanced liver fibrosis (S ≥ 2) was associated with increased levels of omentin and osteopontin (436.94 vs 360.09, P = 0.03 and 32.84 vs 20.29, P = 0.03) and reduced resistin concentration (1.40 vs 1.74, P = 0.047). No correlations were reported between adipokine profile, HOMA-IR values and hepatic expression of the SOCS-3 gene. We speculated that no relationship between adipokines and HOMA-IR values may indicate that HCV can induce insulin resistance itself. Some adipokines appear to be biochemical markers of steatosis, inflammation and fibrosis in patients with chronic HCV infection. © 2014 Baishideng Publishing Group Inc. All rights reserved.

  20. Carbon stocks of three secondary coniferous forests along an altitudinal gradient on Loess Plateau in inland China

    Science.gov (United States)

    Liu, Ning; Nan, Hongwei

    2018-01-01

    Natural forests in inland China are generally distributed in montane area and secondary due to a semi-arid climate and past anthropogenic disturbances. However, quantification of carbon (C) stock in these forests and the role of altitude in determining C storage and its partition among ecosystem components are unclear. We sampled 54 stands of three secondary coniferous forests (Larix principis-rupprechtii (LP) forest, Picea meyerii (PM) forest and Pinus tabulaeformis (PT) forest) on Loess Plateau in an altitudinal range of 1200-2700m a.s.l. C stocks of tree layer, shrub layer, herb layer, coarse wood debris, forest floor and soil were estimated. We found these forests had relatively high total C stocks. Driven by both higher vegetation and soil C stocks, total C stocks of LP and PM forests in the high altitudinal range were 375.0 and 368.4 t C ha-1 respectively, significantly higher than that of PT forest in the low altitudinal range (230.2 t C ha-1). In addition, understory shrubs accounted for about 20% of total biomass in PT forest. The proportions of vegetation to total C stock were similar among in the three forests (below 45%), so were the proportions of soil C stock (over 54%). Necromass C stocks were also similar among these forests, but their proportions to total C stock were significantly lower in LP and PM forests (1.4% and 1.6%) than in PT forest (3.0%). Across forest types, vegetation biomass and soil C stock simultaneously increased with increasing altitude, causing fairly unchanged C partitioning among ecosystem components along the altitudinal gradient. Soil C stock also increased with altitude in LP and PT forests. Forest floor necromass decreased with increasing altitude across the three forests. Our results suggest the important role of the altitudinal gradient in C sequestration and floor necromass of these three forests in terms of alleviated water conditions and in soil C storage of LP and PM forests in terms of temperature change. PMID

  1. Influenza A virus inhibits type I IFN signaling via NF-kappaB-dependent induction of SOCS-3 expression.

    Directory of Open Access Journals (Sweden)

    Eva-K Pauli

    2008-11-01

    Full Text Available The type I interferon (IFN system is a first line of defense against viral infections. Viruses have developed various mechanisms to counteract this response. So far, the interferon antagonistic activity of influenza A viruses was mainly observed on the level of IFNbeta gene induction via action of the viral non-structural protein 1 (NS1. Here we present data indicating that influenza A viruses not only suppress IFNbeta gene induction but also inhibit type I IFN signaling through a mechanism involving induction of the suppressor of cytokine signaling-3 (SOCS-3 protein. Our study was based on the observation that in cells that were infected with influenza A virus and subsequently stimulated with IFNalpha/beta, phosphorylation of the signal transducer and activator of transcription protein 1 (STAT1 was strongly reduced. This impaired STAT1 activation was not due to the action of viral proteins but rather appeared to be induced by accumulation of viral 5' triphosphate RNA in the cell. SOCS proteins are potent endogenous inhibitors of Janus kinase (JAK/STAT signaling. Closer examination revealed that SOCS-3 but not SOCS-1 mRNA levels increase in an RNA- and nuclear factor kappa B (NF-kappaB-dependent but type I IFN-independent manner early in the viral replication cycle. This direct viral induction of SOCS-3 mRNA and protein expression appears to be relevant for suppression of the antiviral response since in SOCS-3 deficient cells a sustained phosphorylation of STAT1 correlated with elevated expression of type I IFN-dependent genes. As a consequence, progeny virus titers were reduced in SOCS-3 deficient cells or in cells were SOCS-3 expression was knocked-down by siRNA. These data provide the first evidence that influenza A viruses suppress type I IFN signaling on the level of JAK/STAT activation. The inhibitory effect is at least in part due to the induction of SOCS-3 gene expression, which results in an impaired antiviral response.

  2. An investigation on the effects of conservatism on reducing risk of stock market investment: A case study of Tehran Stock Exchange

    Directory of Open Access Journals (Sweden)

    Rezvan Hejazi

    2013-07-01

    Full Text Available Accounting conservatism limits managerial incentive and ability to overstate performance and hide bad news from investors, which, in turn, reduces stock price crash risk. This study examines relationship between conservatism on financial reports and risk of stock price crash. Using a sample of 54 listed firms in Tehran Stock Exchange over the period of 2006–2010 and panel logistic regression, we examine different hypotheses. The results indicate that accounting conservatism, as measured by Khan and Watts (2009 CSCORE [Khan, M., & Watts, R. L. (2009. Estimation and empirical properties of a firm-year measure of accounting conservatism. Journal of Accounting and Economics, 48(2, 132-150.], reduces the likelihood of a firm experiencing stock price crashes. The finding holds after controlling other variables such as: negative skewness of firm-specific-weekly return, standard deviation of firm-specific-weekly return, the mean of firm-specific-weekly return, detrend share turnover, size, market to book value of equity ratio, total debt ratio and return on asset ratio, but we did not observe any relationship between these variables during stock price crash.

  3. Assessing SOC labile fractions through respiration test, density-size fractionation and thermal analysis - A comparison of methods

    Science.gov (United States)

    Soucemarianadin, Laure; Cécillon, Lauric; Chenu, Claire; Baudin, François; Nicolas, Manuel; Savignac, Florence; Barré, Pierre

    2017-04-01

    ) were only weakly positively correlated (Spearman's ρ = 0.26, n = 93). Similarly, soil respiration had only a weak negative correlation (Spearman's ρ = -0.24, n = 93; ρ = -0.33, n = 222) with the RE6 parameter T50 CH pyrolysis. This parameter, previously used as an indicator of labile SOC (Gregorich et al., 2015), represents the temperature at which 50% of the OM was pyrolyzed to effluents (mainly hydrocarbons) during the pyrolysis phase of RE6. Conversely, POC content (% of total SOC) showed a higher negative correlation with T50 CH pyrolysis (ρ = -0.66, n = 93; ρ = -0.65, n = 103) and was positively and negatively correlated to the hydrogen index, HI (mg HC/g TOC; ρ = 0.56/0.53) and the oxygen index, OI (mg CO2/g TOC; ρ = -0.63/-0.62) respectively. Our results showed that RE6 results are consistent with respiration and fractionation results: SOC with higher respiration rate and higher POC content burns at a lower temperature. RE6 thermal analysis could therefore be viewed as a useful fast and cost effective alternative to more time-consuming methods used in SOM fractions determination. Barré, P. et al. Biogeochemistry 2016, 1-12, 130. Gregorich, E.G. et al. Soil Biol. Biochem. 2015, 182-191, 91.

  4. Marketing of green electrons. Solar-power stock exchanges

    International Nuclear Information System (INIS)

    Nussbaumer-Waelti, E.

    1999-01-01

    An independent power producer evaluates the current solar-power stock exchanges in Switzerland. Most stock exchanges have been created by electric utilities which want to deliver 'green' power to those of their clients asking for. A first group of solar-power stock exchanges offer to take over the produced solar power at a marginal price. Among them, some organise a competition between the potential solar-power producers, in order to press down the investment cost for the new photovoltaic plants to build. Other stock exchanges propose a periodical adaptation of the prices, especially an adaptation to the capital interest rate. For sure, the total length of the time period for which the contract is established is one of the decisive factors. Because no bank is ready to grant a credit for such an investment without having seen a long-running take-over contract for the produced power [de

  5. Cardiac-Specific SOCS3 Deletion Prevents In Vivo Myocardial Ischemia Reperfusion Injury through Sustained Activation of Cardioprotective Signaling Molecules.

    Directory of Open Access Journals (Sweden)

    Takanobu Nagata

    Full Text Available Myocardial ischemia reperfusion injury (IRI adversely affects cardiac performance and the prognosis of patients with acute myocardial infarction. Although myocardial signal transducer and activator of transcription (STAT 3 is potently cardioprotective during IRI, the inhibitory mechanism responsible for its activation is largely unknown. The present study aimed to investigate the role of the myocardial suppressor of cytokine signaling (SOCS-3, an intrinsic negative feedback regulator of the Janus kinase (JAK-STAT signaling pathway, in the development of myocardial IRI. Myocardial IRI was induced in mice by ligating the left anterior descending coronary artery for 1 h, followed by different reperfusion times. One hour after reperfusion, the rapid expression of JAK-STAT-activating cytokines was observed. We precisely evaluated the phosphorylation of cardioprotective signaling molecules and the expression of SOCS3 during IRI and then induced myocardial IRI in wild-type and cardiac-specific SOCS3 knockout mice (SOCS3-CKO. The activation of STAT3, AKT, and ERK1/2 rapidly peaked and promptly decreased during IRI. This decrease correlated with the induction of SOCS3 expression up to 24 h after IRI in wild-type mice. The infarct size 24 h after reperfusion was significantly reduced in SOCS3-CKO compared with wild-type mice. In SOCS3-CKO mice, STAT3, AKT, and ERK1/2 phosphorylation was sustained, myocardial apoptosis was prevented, and the expression of anti-apoptotic Bcl-2 family member myeloid cell leukemia-1 (Mcl-1 was augmented. Cardiac-specific SOCS3 deletion led to the sustained activation of cardioprotective signaling molecules including and prevented myocardial apoptosis and injury during IRI. Our findings suggest that SOCS3 may represent a key factor that exacerbates the development of myocardial IRI.

  6. The effect of land use intensity on soil organic carbon stocks of European croplands

    Science.gov (United States)

    Dechow, Rene; Gebbert, Sören; Franko, Uwe; Kätterer, Thomas; Kolbe, Hartmut

    2013-04-01

    Croplands cover about one third of Europe and are assumed to be the biggest source of greenhouse gas emissions of the European biosphere with the degradation of soil organic carbon (SOC) being a major contributor of this source. Soil carbon stocks of croplands are subjected to ranges of natural and anthropogenic influences that control the release or uptake of CO2. The separation of drivers is essential for assessing recent and prospective GHG mitigation potentials by cropland management. Within the last decades the management of European croplands is characterized by an ongoing intensification. The increasing influence of the global market on farmers' decision and the establishment of industrialized farming practise in Europe had significant impact on the shift of crop rotations during the last decades. Due to the high spatial variability and the dominating fraction of slowly degradable carbon it needs at least decades to detect changes while agricultural management is characterized by short term system interventions. Long term observations representing time intervals of decades to hundreds of years are therefore essential to make reliable suggestions about the sensitivity of soil carbon turnover against external impacts because the temporal scale of these experiments corresponds to the temporal scale of soil C turnover. A data set of about 32 European long-term experiments (380 variants) was used to quantify the uncertainty of the RothC soil carbon model. The parameters of the model were adapted to represent the sensitivity of SOC on weather conditions and crop types found in the data set by applying an Monte Carlo Markov Chain algorithm. Integrated in a GIS environment the modified model was used to run scenarios that vary in terms of climate conditions and crop rotations within the time period 1970-2010 on a European scale. Regionalized sensitivities of SOC on natural drivers and crop rotations will be presented.

  7. Soil Organic Carbon Variability in High-Andean Ecosystems: Bringing Together Machine Learning and Proximal Soil Sensing

    Science.gov (United States)

    Gavilan, C.; Grunwald, S.; Quiroz, R.

    2017-12-01

    The Andes represent the largest and highest mountain range in the tropics and is considered an important reserve of biodiversity, water provision and soil organic carbon (SOC) stocks. Nevertheless, limited attention has been given to estimate these stocks due to the lack of recent soil data, the poor accessibility and the wide range of coexistent ecosystems. In addition, conventional methods to determine SOC are usually time consuming and expensive to use in large-scale studies, hindering the possibility to have an accurate SOC assessment in the region. Proximal soil sensing techniques, such as visible near infrared (VNIR) and mid infrared (MIR) spectroscopy, have proven to be useful as an alternative to conventional methods for characterizing SOC but have not been tested in Andean soils. The aim of this study was to evaluate the potential of using VNIR and MIR spectroscopy to predict SOC content in the Central Andean region, using multivariate methods. Three study areas were selected across the Peruvian Central Andes. A total of 400 topsoil samples (0-30 cm) were collected and analyzed for SOC. The VNIR and MIR reflectance of the soil samples was measured in the laboratory. Three modeling approaches: Partial least squares regression (PLSR), random forest (RF) and support vector machine (SVM) were used to predict SOC from VNIR and MIR spectra in the study areas. The data was preprocessed in order to minimize the noise and optimize the accuracy of predictions. The models, for each study area, were assessed using 10-fold cross validation. Independent validation was implemented in the whole dataset (400 observations) by splitting it into calibration (70 %) and validation (30%) sets. Overall, the results indicate potential for both VNIR and MIR spectra to predict SOC content in the Andean soils. SOC content predictions from MIR spectra outperformed those from VNIR spectra. The evaluation of model performance shows that RF and SVM provide more accurate SOC predictions

  8. [Effects of land cover change on soil organic carbon and light fraction organic carbon at river banks of Fuzhou urban area].

    Science.gov (United States)

    Zeng, Hong-Da; Du, Zi-Xian; Yang, Yu-Sheng; Li, Xi-Bo; Zhang, Ya-Chun; Yang, Zhi-Feng

    2010-03-01

    By using Vario EL III element analyzer, the vertical distribution characteristics of soil organic carbon (SOC) and light-fraction organic carbon (LFOC) in the lawn, patch plantation, and reed wetland at river banks of Fuzhou urban area were studied in July 2007. For all the three land cover types, the SOC and LFOC contents were the highest in surface soil layer, and declined gradually with soil depth. Compared with reed wetland, the lawn and patch plantation had higher SOC and LFOC contents in each layer of the soil profile (0-60 cm), and the lawn had significantly higher contents of SOC and LFOC in 0-20 cm soil layer, compared with the patch plantation. After the reed wetland was converted into lawn and patch plantation, the SOC stock in the soil profile was increased by 94.8% and 72.0%, and the LFOC stock was increased by 225% and 93%, respectively. Due to the changes of plant species, plant density, and management measure, the conversion from natural wetland into human-manipulated green spaces increased the SOC and LFOC stocks in the soil profile, and improved the soil quality. Compared with the SOC, soil LFOC was more sensitive to land use/cover change, especially for those in 0-20 cm soil layer.

  9. State-Space Estimation of Soil Organic Carbon Stock

    Science.gov (United States)

    Ogunwole, Joshua O.; Timm, Luis C.; Obidike-Ugwu, Evelyn O.; Gabriels, Donald M.

    2014-04-01

    Understanding soil spatial variability and identifying soil parameters most determinant to soil organic carbon stock is pivotal to precision in ecological modelling, prediction, estimation and management of soil within a landscape. This study investigates and describes field soil variability and its structural pattern for agricultural management decisions. The main aim was to relate variation in soil organic carbon stock to soil properties and to estimate soil organic carbon stock from the soil properties. A transect sampling of 100 points at 3 m intervals was carried out. Soils were sampled and analyzed for soil organic carbon and other selected soil properties along with determination of dry aggregate and water-stable aggregate fractions. Principal component analysis, geostatistics, and state-space analysis were conducted on the analyzed soil properties. The first three principal components explained 53.2% of the total variation; Principal Component 1 was dominated by soil exchange complex and dry sieved macroaggregates clusters. Exponential semivariogram model described the structure of soil organic carbon stock with a strong dependence indicating that soil organic carbon values were correlated up to 10.8m.Neighbouring values of soil organic carbon stock, all waterstable aggregate fractions, and dithionite and pyrophosphate iron gave reliable estimate of soil organic carbon stock by state-space.

  10. Jakarta Islamic Index-L 45: Rate Financial Performance, Beta Stocks and Stock Price in Indonesian Stock Exchange

    Directory of Open Access Journals (Sweden)

    Tajus Subqi

    2016-08-01

    Full Text Available This research had analyzed the effect of financial performance and stock beta (systematic risk towards stock price of eight listed companies in Jakarta Islamic Index (JII – LQ 45 for the time period of 2012-2014. The data was gathered by employing literature study and documentation of financial statements. Multiple regressions are used to measure the effect of independent variable towards dependent variable along with ttest and F test. The results based on overall test suggested that only ROE and NPM had opposite direction correlation with the stock price, meanwhile other variables had positive direction correlation. From partial test with 5% level of significance, only EPS and PER had significant effect on stock price while other variables had no effect.   Keywords: financial performance analysis, stock price, stock beta (systematic risk, Jakarta Islamic Index

  11. Analysis on the Influence of Stock Index Futures on Chinese Stock Market

    Institute of Scientific and Technical Information of China (English)

    王钊

    2014-01-01

    As the first product of financial futures in China, CSI 300 Stock Index Futures is a symbol of the continual improvement and development of Chinese capital market system. So it would be bound to generate immeasurable influence on Chinese capital market and financial system. Starting from introducing the relevant summaries of stock index futures, this paper analyzes the influence of the stock index futures on the fluctuation in the international stock market;then, it analyzes influence of the stock index futures on the fluctuation in Chinese stock market, in order to propose some suggestions to the policies for developing Chinese stock index futures.

  12. Expensing stock options: a fair-value approach.

    Science.gov (United States)

    Kaplan, Robert S; Palepu, Krishna G

    2003-12-01

    Now that companies such as General Electric and Citigroup have accepted the premise that employee stock options are an expense, the debate is shifting from whether to report options on income statements to how to report them. The authors present a new accounting mechanism that maintains the rationale underlying stock option expensing while addressing critics' concerns about measurement error and the lack of reconciliation to actual experience. A procedure they call fair-value expensing adjusts and eventually reconciles cost estimates made at grant date with subsequent changes in the value of the options, and it does so in a way that eliminates forecasting and measurement errors over time. The method captures the chief characteristic of stock option compensation--that employees receive part of their compensation in the form of a contingent claim on the value they are helping to produce. The mechanism involves creating entries on both the asset and equity sides of the balance sheet. On the asset side, companies create a prepaid-compensation account equal to the estimated cost of the options granted; on the owners'-equity side, they create a paid-in capital stock-option account for the same amount. The prepaid-compensation account is then expensed through the income statement, and the stock option account is adjusted on the balance sheet to reflect changes in the estimated fair value of the granted options. The amortization of prepaid compensation is added to the change in the option grant's value to provide the total reported expense of the options grant for the year. At the end of the vesting period, the company uses the fair value of the vested option to make a final adjustment on the income statement to reconcile any difference between that fair value and the total of the amounts already reported.

  13. The trend of the total stock of the private car-petrol in Spain: Stochastic modelling using a new gamma diffusion process

    International Nuclear Information System (INIS)

    Gutierrez, R.; Gutierrez-Sanchez, R.; Nafidi, A.

    2009-01-01

    The main aim of this study is to model the trend of the evolution of the total stock of private petrol-driven cars. In Spain, as in other EU countries, this trend between 2000 and 2005 differed significantly from that observed from 1986 to 1999. Moreover, it varies greatly from that corresponding to the stock of diesel-driven cars, which consistently presents an exponential Gompertz-type increase. Spain constitutes a typical example of a failure to observe the maximum CO 2 emission levels assigned to it by 2012 under the Kyoto Protocol (1992); a significant percentage of these excess emissions is accounted for by the land transport sector, in general, and by the private cars subsector, in particular. This paper proposes a stochastic model based on a new non homogeneous stochastic gamma-type diffusion process which it is a stochastic version of a Gamma function type deterministic growth model considered in Skiadas . We describe its main probabilistic characteristics and establish a statistical methodology by which it can be fitted to real data and obtain medium-term forecasts that, in statistical terms, are quite accurate

  14. Research on SEU hardening of heterogeneous Dual-Core SoC

    Science.gov (United States)

    Huang, Kun; Hu, Keliu; Deng, Jun; Zhang, Tao

    2017-08-01

    The implementation of Single-Event Upsets (SEU) hardening has various schemes. However, some of them require a lot of human, material and financial resources. This paper proposes an easy scheme on SEU hardening for Heterogeneous Dual-core SoC (HD SoC) which contains three techniques. First, the automatic Triple Modular Redundancy (TMR) technique is adopted to harden the register heaps of the processor and the instruction-fetching module. Second, Hamming codes are used to harden the random access memory (RAM). Last, a software signature technique is applied to check the programs which are running on CPU. The scheme need not to consume additional resources, and has little influence on the performance of CPU. These technologies are very mature, easy to implement and needs low cost. According to the simulation result, the scheme can satisfy the basic demand of SEU-hardening.

  15. The stock selection problem: Is the stock selection approach more important than the optimization method? Evidence from the Danish stock market

    OpenAIRE

    Grobys, Klaus

    2011-01-01

    Passive investment strategies basically aim to replicate an underlying benchmark. Thereby, the management usually selects a subset of stocks being employed in the optimization procedure. Apart from the optimization procedure, the stock selection approach determines the stock portfolios' out-of-sample performance. The empirical study here takes into account the Danish stock market from 2000-2010 and gives evidence that stock portfolios including small companies' stocks being estimated via coin...

  16. Suppressor of cytokine signaling 4 (SOCS4 protects against severe cytokine storm and enhances viral clearance during influenza infection.

    Directory of Open Access Journals (Sweden)

    Lukasz Kedzierski

    2014-05-01

    Full Text Available Suppressor of cytokine signaling (SOCS proteins are key regulators of innate and adaptive immunity. There is no described biological role for SOCS4, despite broad expression in the hematopoietic system. We demonstrate that mice lacking functional SOCS4 protein rapidly succumb to infection with a pathogenic H1N1 influenza virus (PR8 and are hypersusceptible to infection with the less virulent H3N2 (X31 strain. In SOCS4-deficient animals, this led to substantially greater weight loss, dysregulated pro-inflammatory cytokine and chemokine production in the lungs and delayed viral clearance. This was associated with impaired trafficking of influenza-specific CD8 T cells to the site of infection and linked to defects in T cell receptor activation. These results demonstrate that SOCS4 is a critical regulator of anti-viral immunity.

  17. SOCS3 inhibits the pathological effects of IL-22 in non-melanoma skin tumor-derived keratinocytes.

    Science.gov (United States)

    Madonna, Stefania; Scarponi, Claudia; Morelli, Martina; Sestito, Rosanna; Scognamiglio, Pasqualina Liana; Marasco, Daniela; Albanesi, Cristina

    2017-04-11

    Basal cell carcinomas (BCC) and squamous-cell carcinomas (SCC) are common malignancies in humans, caused by neoplastic transformation of keratinocytes of the basal or suprabasal layers of epidermis, respectively. Tumor-infiltrating lymphocytes (TILs) are frequently found in BCC and SCC, and functionally promote epithelial carcinogenesis. TILs secreting IL-22, in particular, participate to BCC and SCC growth by inducing keratinocyte proliferation and migration, as well as the expression of inflammatory, anti-apoptotic and pro-angiogenic genes.In this study, we identified SOCS3 as a valid candidate to be manipulated for suppressing tumorigenic functions in BCC and SCC. We found that SOCS3 and SOCS1 expression was reduced in vivo, in tumor lesions of BCC and SCC, as compared to other skin inflammatory conditions such as psoriasis, despite the high number of IL-22-secreting TILs. Moreover, IL-22 was not able to induce in vitro the transcriptional expression of SOCS3 in BCC-or SCC-derived keratinocytes, contrarily to healthy cells. Aimed at rescuing SOCS3 activity in these tumor contexts, a SOCS3-derived peptide, named KIR-ESS, was synthesized, and its ability in suppressing IL-22-induced responses was evaluated in healthy and transformed keratinocytes. We found that KIR-ESS peptide efficiently suppressed the IL-22 molecular signaling in keratinocytes, by acting on STAT3 and Erk1/2 cascade, as well as on the expression of STAT3-dependent downstream genes. Interestingly, after treatment with peptide, both healthy and transformed keratinocytes could no longer aberrantly proliferate and migrate in response to IL-22. Finally, treatment of athymic nude mice bearing SCC xenografts with KIR-ESS peptide concomitantly reduced tumor growth and activated STAT3 levels. As a whole, these data provides the rationale for the use in BCC and SCC skin tumors of SOCS3 mimetics, being able to inhibit the deleterious effects of IL-22 in these contexts.

  18. First Assessment of Carbon Stock in the Belowground Biomass of Brazilian Mangroves

    Directory of Open Access Journals (Sweden)

    DANIEL M.C. SANTOS

    2017-08-01

    Full Text Available ABSTRACT Studies on belowground roots biomass have increasingly reported the importance of the contribution of this compartment in carbon stock maintenance in mangrove forests. To date, there are no estimates of this contribution in Brazilian mangrove forests, although the country has the second largest area of mangroves worldwide. For this study, trenches dug in fringing forests in Guaratiba State Biological Reserve (Rio de Janeiro, Brazil were used to evaluate the contribution of the different classes of roots and the vertical stratification of carbon stock. The total carbon stock average in belowground roots biomass in these forests was 104.41 ± 20.73 tC.ha−1. From that, an average of 84.13 ± 21.34 tC.ha−1 corresponded to the carbon stock only in fine roots, which have diameters smaller than 5 mm and are responsible for over 80% of the total belowground biomass. Most of the belowground carbon stock is concentrated in the first 40 cm below the surface (about 70%. The root:shoot ratio in this study is 1.14. These estimates demonstrate that the belowground roots biomass significantly contributes, more than 50%, to the carbon stock in mangrove forests. And the mangrove root biomass can be greater than that of other Brazilian ecosystems.

  19. Benchmark map of forest carbon stocks in tropical regions across three continents.

    Science.gov (United States)

    Saatchi, Sassan S; Harris, Nancy L; Brown, Sandra; Lefsky, Michael; Mitchard, Edward T A; Salas, William; Zutta, Brian R; Buermann, Wolfgang; Lewis, Simon L; Hagen, Stephen; Petrova, Silvia; White, Lee; Silman, Miles; Morel, Alexandra

    2011-06-14

    Developing countries are required to produce robust estimates of forest carbon stocks for successful implementation of climate change mitigation policies related to reducing emissions from deforestation and degradation (REDD). Here we present a "benchmark" map of biomass carbon stocks over 2.5 billion ha of forests on three continents, encompassing all tropical forests, for the early 2000s, which will be invaluable for REDD assessments at both project and national scales. We mapped the total carbon stock in live biomass (above- and belowground), using a combination of data from 4,079 in situ inventory plots and satellite light detection and ranging (Lidar) samples of forest structure to estimate carbon storage, plus optical and microwave imagery (1-km resolution) to extrapolate over the landscape. The total biomass carbon stock of forests in the study region is estimated to be 247 Gt C, with 193 Gt C stored aboveground and 54 Gt C stored belowground in roots. Forests in Latin America, sub-Saharan Africa, and Southeast Asia accounted for 49%, 25%, and 26% of the total stock, respectively. By analyzing the errors propagated through the estimation process, uncertainty at the pixel level (100 ha) ranged from ± 6% to ± 53%, but was constrained at the typical project (10,000 ha) and national (>1,000,000 ha) scales at ca. ± 5% and ca. ± 1%, respectively. The benchmark map illustrates regional patterns and provides methodologically comparable estimates of carbon stocks for 75 developing countries where previous assessments were either poor or incomplete.

  20. Benchmark map of forest carbon stocks in tropical regions across three continents

    Science.gov (United States)

    Saatchi, Sassan S.; Harris, Nancy L.; Brown, Sandra; Lefsky, Michael; Mitchard, Edward T. A.; Salas, William; Zutta, Brian R.; Buermann, Wolfgang; Lewis, Simon L.; Hagen, Stephen; Petrova, Silvia; White, Lee; Silman, Miles; Morel, Alexandra

    2011-01-01

    Developing countries are required to produce robust estimates of forest carbon stocks for successful implementation of climate change mitigation policies related to reducing emissions from deforestation and degradation (REDD). Here we present a “benchmark” map of biomass carbon stocks over 2.5 billion ha of forests on three continents, encompassing all tropical forests, for the early 2000s, which will be invaluable for REDD assessments at both project and national scales. We mapped the total carbon stock in live biomass (above- and belowground), using a combination of data from 4,079 in situ inventory plots and satellite light detection and ranging (Lidar) samples of forest structure to estimate carbon storage, plus optical and microwave imagery (1-km resolution) to extrapolate over the landscape. The total biomass carbon stock of forests in the study region is estimated to be 247 Gt C, with 193 Gt C stored aboveground and 54 Gt C stored belowground in roots. Forests in Latin America, sub-Saharan Africa, and Southeast Asia accounted for 49%, 25%, and 26% of the total stock, respectively. By analyzing the errors propagated through the estimation process, uncertainty at the pixel level (100 ha) ranged from ±6% to ±53%, but was constrained at the typical project (10,000 ha) and national (>1,000,000 ha) scales at ca. ±5% and ca. ±1%, respectively. The benchmark map illustrates regional patterns and provides methodologically comparable estimates of carbon stocks for 75 developing countries where previous assessments were either poor or incomplete. PMID:21628575

  1. [Accumulation of soil organic carbon and total nitrogen in Pinus yunnanensis forests at different age stages].

    Science.gov (United States)

    Miao, Juan; Zhou, Chuan-Yan; Li, Shi-Jie; Yan, Jun-Hua

    2014-03-01

    Taking three Pinus yunnanensis forests at different ages (19, 28 and 45 a) in Panxian County of Guizhou Province as test objects, we investigated vertical distributions and accumulation rates of soil organic carbon (SOC) and total nitrogen (TN), as well as their relationships with soil bulk density. For the three forests at different age stages, SOC and TN changed consistently along the soil profile, declining with the soil depth. Both SOC and TN storage increased with the forest age. The SOC and TN storage amounts were 96.24, 121.65 and 148.13 t x hm(-2), and 10.76, 12.96 and 13.08 t x hm(-2) for the forest stands with 19 a, 28 a and 45 a, respectively. SOC had a significant positive correlation with soil TN, while both of them had a significant negative relationship with the soil bulk density. The accumulation rates of both SOC and TN storage at different growth periods were different, and the rate in the period from age 19 to 28 was higher than in the period from age 28 to 45.

  2. Global controls on carbon storage in mangrove soils

    Science.gov (United States)

    Rovai, André S.; Twilley, Robert R.; Castañeda-Moya, Edward; Riul, Pablo; Cifuentes-Jara, Miguel; Manrow-Villalobos, Marilyn; Horta, Paulo A.; Simonassi, José C.; Fonseca, Alessandra L.; Pagliosa, Paulo R.

    2018-06-01

    Global-scale variation in mangrove ecosystem properties has been explained using a conceptual framework linking geomorphological processes to distinct coastal environmental settings (CES) for nearly 50 years. However, these assumptions have not been empirically tested at the global scale. Here, we show that CES account for global variability in mangrove soil C:N:P stoichiometry and soil organic carbon (SOC) stocks. Using this ecogeomorphology framework, we developed a global model that captures variation in mangrove SOC stocks compatible with distinct CES. We show that mangrove SOC stocks have been underestimated by up to 50% (a difference of roughly 200 Mg ha-1) in carbonate settings and overestimated by up to 86% (around 400 Mg ha-1) in deltaic coastlines. Moreover, we provide information for 57 nations that currently lack SOC data, enabling these and other countries to develop or evaluate their blue carbon inventories.

  3. Cash management improvement in the Navy Stock Fund

    OpenAIRE

    Linquist, James E.; Evans, Timothy S.

    1986-01-01

    Approved for public release; distribution is unlimited The Navy Stock Fund (NSF) is a working capital fund used to purchase and hold designated inventories of supply items at various stock points until needed by a customer. The fund is currently comprised of ten separate Budget Projects with total collections and expenditures projected to be in excess of $ 1 8 bi 1 1 ion for Fiscal Year 1 986. The authors examined the background and current operation of the NSF with empha...

  4. Transport-distance specific SOC distribution: Does it skew erosion induced C fluxes?

    DEFF Research Database (Denmark)

    Hu, Yaxian; Berbe, Asmerat Asefaw; Fogel, Marilyn L.

    2016-01-01

    Abstract The net effect of soil erosion by water, as a sink or source of atmospheric carbon dioxide (CO2), is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC), and the dynamic replacement of eroded C by the production of new photosynthate. The depositi......Abstract The net effect of soil erosion by water, as a sink or source of atmospheric carbon dioxide (CO2), is determined by the spatial (re-)distribution and stability of eroded soil organic carbon (SOC), and the dynamic replacement of eroded C by the production of new photosynthate...... the actual movement of eroded soil fractions along hillslopes, let alone the re-distribution pattern of SOC fractions. Eroding sandy soils and sediment were sampled after a series of rainfall events along a slope on a freshly seeded cropland in Jutland, Denmark. All the soil samples were fractionated...... into five settling classes using a settling tube apparatus. The spatial distribution of soil settling classes shows a coarsening effect immediately below the eroding slope, followed by a fining trend at the slope tail. These findings support the validity of the conceptual model proposed by Starr et al...

  5. Energy savings in Danish residential building stock

    DEFF Research Database (Denmark)

    Tommerup, Henrik M.; Svendsen, Svend

    2006-01-01

    a short account of the technical energy-saving possibilities that are present in existing dwellings and presents a financial methodology used for assessing energy-saving measures. In order to estimate the total savings potential detailed calculations have been performed in a case with two typical...... buildings representing the residential building stock and based on these calculations an assessment of the energy-saving potential is performed. A profitable savings potential of energy used for space heating of about 80% is identified over 45 years (until 2050) within the residential building stock......A large potential for energy savings exists in the Danish residential building stock due to the fact that 75% of the buildings were constructed before 1979 when the first important demands for energy performance of building were introduced. It is also a fact that many buildings in Denmark face...

  6. Design of a Bidirectional Energy Storage System for a Vanadium Redox Flow Battery in a Microgrid with SOC Estimation

    Directory of Open Access Journals (Sweden)

    Qingwu Gong

    2017-03-01

    Full Text Available This paper used a Vanadium Redox flow Battery (VRB as the storage battery and designed a two-stage topology of a VRB energy storage system in which a phase-shifted full bridge dc-dc converter and three-phase inverter were used, considering the low terminal voltage of the VRB. Following this, a model of the VRB was simplified, according to the operational characteristics of the VRB in this designed topology of a VRB energy storage system (ESS. By using the simplified equivalent model of the VRB, the control parameters of the ESS were designed. For effectively estimating the state of charge (SOC of the VRB, a traditional method for providing the SOC estimation was simplified, and a simple and effective SOC estimation method was proposed in this paper. Finally, to illustrate the proper design of the VRB ESS and the proposed SOC estimation method, a corresponding simulation was designed by Simulink. The test results have demonstrated that this proposed SOC estimation method is feasible and effective for indicating the SOC of a VRB and the proper design of this VRB ESS is very reasonable for VRB applications.

  7. Distributions of carbon in calcareous soils under different land uses in western Iran

    Directory of Open Access Journals (Sweden)

    H. Sepahvand

    2016-10-01

    Full Text Available Concentrations of Natural stable and unstable carbon in ecosystems have been used extensively to help to understand a wide range of soil processes and functions. This study was conducted to explore the effects of land use changes on different carbon fractions (F1, F2, F3 and F4, permanganate oxidizable carbon (POXC, soil organic carbon (SOC and total organic carbon (TOC associated with soils in calcareous soils of western Iran. Four popular land uses in the selected site including natural forest, range land, dryland farming and irrigated farming systems were employed as the basis of soil sampling. The results showed a strong relationship between land use conversion and SOC stocks changes. The greatest mean values for carbon content and the least mean values of CaCO3 in bulk topsoil (0–15 cm in the forest land were observed. Dryland farming had the least both active and passive pools of C in comparison with the other land uses. The positive and significant correlations was observed between SOC, Total C and POXC contents and different C fractions. Taking C and POXC pools into account, a more definitive picture of the soil C is obtained than when only total C is measured. The influence of land use changes on overall soil carbon stocks could be helpful for making management decision for farmers and policy makers in the future, for enhancing the potential of C sequestration in western Iran.

  8. 3D integration for NoC-based SoC architectures

    CERN Document Server

    Sheibanyrad, Abbas; Pétrot, Frédéric

    2011-01-01

    3D-Integration for NoC-based SoC Architectures gathers the recent advances in the whole domain by renowned experts in the field to build a comprehensive and consistent book around the hot topics of three-dimensional architectures and micro-architectures.

  9. Why does carbon increase in highly weathered soil under no-till upon lime and gypsum use?

    Science.gov (United States)

    Inagaki, Thiago Massao; de Moraes Sá, João Carlos; Caires, Eduardo Fávero; Gonçalves, Daniel Ruiz Potma

    2017-12-01

    Field experiments have been used to explain how soil organic carbon (SOC) dynamics is affected by lime and gypsum applications, however, how SOC storage occurs is still debatable. We hypothesized that although many studies conclude that Ca-based soil amendments such as lime and gypsum may lead to SOC depletion due to the enhancement of microbial activity, the same does not occur under conservation agriculture conditions. Thus, the objective of this study was to elucidate the effects of lime and gypsum applications on soil microbial activity and SOC stocks in a no-till field and in a laboratory incubation study simulating no-till conditions. The field experiment was established in 1998 in a clayey Oxisol in southern Brazil following a completely randomized blocks design with a split-plot arrangement and three replications. Lime and gypsum were surface applied in 1998 and reapplied in 2013. Undisturbed soil samples were collected before the treatments reapplications, and one year after. The incubation experiment was carried out during 16months using these samples adding crop residues on the soil surface to simulate no-till field conditions. Lime and gypsum applications significantly increased the labile SOC stocks, microbial activity and soil fertility attributes in both field and laboratory experiments. Although the microbial activity was increased, no depletion of SOC stocks was observed in both experiments. Positive correlations were observed between microbial activity increase and SOC gains. Labile SOC and Ca 2+ content increase leads to forming complex with mineral soil fractions. Gypsum applications performed a higher influence on labile SOC pools in the field than in the laboratory experiment, which may be related to the presence of active root system in the soil profile. We conclude that incubation experiments using lime and gypsum in undisturbed samples confirm that soil microbial activity increase does not deplete SOC stocks under conservation agriculture

  10. Kepler: A Search for Terrestrial Planets - SOC 9.3 DR25 Pipeline Parameter Configuration Reports

    Science.gov (United States)

    Campbell, Jennifer R.

    2017-01-01

    This document describes the manner in which the pipeline and algorithm parameters for the Kepler Science Operations Center (SOC) science data processing pipeline were managed. This document is intended for scientists and software developers who wish to better understand the software design for the final Kepler codebase (SOC 9.3) and the effect of the software parameters on the Data Release (DR) 25 archival products.

  11. How accurately can soil organic carbon stocks and stock changes be quantified by soil inventories?

    Directory of Open Access Journals (Sweden)

    M. Schrumpf

    2011-05-01

    account for changing bulk densities with time. Application of PTF for the estimation of bulk densities caused considerable underestimation of total variances of OC stocks if the error associated with the PTF was not accounted for, which rarely is done in soil inventories. Direct measurement of all relevant parameters approximately every 10 yr is recommended for repeated soil OC inventories.

  12. Major limitations to achieving "4 per 1000" increases in soil organic carbon stock in temperate regions: Evidence from long-term experiments at Rothamsted Research, United Kingdom.

    Science.gov (United States)

    Poulton, Paul; Johnston, Johnny; Macdonald, Andy; White, Rodger; Powlson, David

    2018-01-21

    We evaluated the "4 per 1000" initiative for increasing soil organic carbon (SOC) by analysing rates of SOC increase in treatments in 16 long-term experiments in southeast United Kingdom. The initiative sets a goal for SOC stock to increase by 4‰ per year in the 0-40 cm soil depth, continued over 20 years. Our experiments, on three soil types, provided 114 treatment comparisons over 7-157 years. Treatments included organic additions (incorporated by inversion ploughing), N fertilizers, introducing pasture leys into continuous arable systems, and converting arable land to woodland. In 65% of cases, SOC increases occurred at >7‰ per year in the 0-23 cm depth, approximately equivalent to 4‰ per year in the 0-40 cm depth. In the two longest running experiments (>150 years), annual farmyard manure (FYM) applications at 35 t fresh material per hectare (equivalent to approx. 3.2 t organic C/ha/year) gave SOC increases of 18‰ and 43‰ per year in the 23 cm depth during the first 20 years. Increases exceeding 7‰ per year continued for 40-60 years. In other experiments, with FYM applied at lower rates or not every year, there were increases of 3‰-8‰ per year over several decades. Other treatments gave increases between zero and 19‰ per year over various periods. We conclude that there are severe limitations to achieving the "4 per 1000" goal in practical agriculture over large areas. The reasons include (1) farmers not having the necessary resources (e.g. insufficient manure); (2) some, though not all, practices favouring SOC already widely adopted; (3) practices uneconomic for farmers-potentially overcome by changes in regulations or subsidies; (4) practices undesirable for global food security. We suggest it is more realistic to promote practices for increasing SOC based on improving soil quality and functioning as small increases can have disproportionately large beneficial impacts, though not necessarily translating into increased crop yield

  13. Computational model of 18650 lithium-ion battery with coupled strain rate and SOC dependencies

    International Nuclear Information System (INIS)

    Xu, Jun; Liu, Binghe; Wang, Xinyi; Hu, Dayong

    2016-01-01

    Highlights: • An anisotropic model to describe mechanical behaviors of LIB is established. • SOC dependency is included in the mechanical model of the jellyroll. • Dynamic effect is considered in the model for LIB. - Abstract: Highly nonlinear structures and constituent materials and hazardous experiment situations have resulted in a pressing need for a numerical mechanical model for lithium-ion battery (LIB). However, such a model is still not well established. In this paper, an anisotropic homogeneous model describing the jellyroll and the battery shell is established and validated through compression, indentation, and bending tests at quasi-static loadings. In this model, state-of-charge (SOC) dependency of the LIB is further included through an analogy with the strain-rate effect. Moreover, with consideration of the inertia and strain-rate effects, the anisotropic homogeneous model is extended into the dynamic regime and proven capable of predicting the dynamic response of the LIB using the drop-weight test. The established model may help to predict extreme cases with high SOCs and crashing speeds with an over 135% improved accuracy compared to traditional models. The established coupled strain rate and SOC dependencies of the numerical mechanical model for the LIB aims to provide a solid step toward unraveling and quantifying the complicated problems for research on LIB mechanical integrity.

  14. Soil Organic Carbon Storage and Stability in the Aspen-Conifer Ecotone in Montane Forests in Utah, USA

    Directory of Open Access Journals (Sweden)

    Mercedes Román Dobarco

    2014-04-01

    Full Text Available To assess the potential impact of conifer encroachment on soil organic carbon (SOC dynamics and storage in montane aspen-conifer forests from the interior western US, we sampled mineral soils (0–15 cm across the aspen-conifer ecotones in southern and northern Utah and quantified total SOC stocks, stable SOC (i.e., mineral-associated SOC (MoM, labile SOC (i.e., light fraction (LF, decomposable (CO2 release during long-term aerobic incubations and soluble SOC (hot water extractable organic carbon (HWEOC. Total SOC storage (47.0 ± 16.5 Mg C ha−1 and labile SOC as LF (14.0 ± 7.10 Mg C ha−1, SOC decomposability (cumulative released CO2-C of 5.6 ± 3.8 g C g−1 soil or HWEOC (0.6 ± 0.6 mg C g−1 soil did not differ substantially with vegetation type, although a slight increase in HWEOC was observed with increasing conifer in the overstory. There were statistically significant differences (p = 0.035 in stable MoM storage, which was higher under aspen (31.2 ± 15.1 Mg C ha−1 than under conifer (22.8 ± 9.0 Mg C ha−1, with intermediate values under mixed (25.7 ± 8.8 Mg C ha−1. Texture had the greatest impact on SOC distribution among labile and stable fractions, with increasing stabilization in MoM and decreasing bio-availability of SOC with increasing silt + clay content. Only at lower silt + clay contents (40%–70% could we discern the influence of vegetation on MoM content. This highlights the importance of chemical protection mechanisms for long-term C sequestration.

  15. Online cell SOC estimation of Li-ion battery packs using a dual time-scale Kalman filtering for EV applications

    International Nuclear Information System (INIS)

    Dai, Haifeng; Wei, Xuezhe; Sun, Zechang; Wang, Jiayuan; Gu, Weijun

    2012-01-01

    Highlights: ► We use an equivalent circuit model to describe the characteristics of battery. ► A dual time-scale estimator is used to calculate pack average SOC and cell SOC. ► The estimator is based on the dynamic descriptions and extended Kalman filter. ► Three different test cases are designed to validate the proposed method. ► Test results indicate a good performance of the method for EV applications. -- Abstract: For the vehicular operation, due to the voltage and power/energy requirements, the battery systems are usually composed of up to hundreds of cells connected in series or parallel. To accommodate the operation conditions, the battery management system (BMS) should estimate State of Charge (SOC) to facilitate safe and efficient utilization of the battery. The performance difference among the cells makes a pure pack SOC estimation hardly provide sufficient information, which at last affects the computation of available energy and power and the safety of the battery system. So for a reliable and accurate management, the BMS should “know” the SOC of each individual cell. Several possible solutions on this issue have been reported in the recent years. This paper studies a method to determine online all individual cell SOCs of a series-connected battery pack. This method, with an equivalent circuit based “averaged cell” model, estimates the battery pack’s average SOC first, and then incorporates the performance divergences between the “averaged cell” and each individual cell to generate the SOC estimations for all cells. This method is developed based on extended Kalman filter (EKF), and to reduce the computation cost, a dual time-scale implementation is designed. The method is validated using results obtained from the measurements of a Li-ion battery pack under three different tests, and analysis indicates the good performance of the algorithm.

  16. Semivolatile Organic Compounds (SOCs) in Fine Particulate Matter (PM2.5) during Clear, Fog, and Haze Episodes in Winter in Beijing, China.

    Science.gov (United States)

    Wang, Ting; Tian, Mi; Ding, Nan; Yan, Xiao; Chen, She-Jun; Mo, Yang-Zhi; Yang, Wei-Qiang; Bi, Xin-Hui; Wang, Xin-Ming; Mai, Bi-Xian

    2018-05-01

    Few efforts have been made to elucidate the influence of weather conditions on the fate of semivolatile organic compounds (SOCs). Here, daily fine particulate matter (PM 2.5 ) during clear, haze, and fog episodes collected in the winter in Beijing, China was analyzed for polycyclic aromatic hydrocarbons (PAHs), brominated flame retardants (BFRs), and organophosphate flame retardants (OPFRs). The total concentrations of PAHs, OPFRs, and BFRs had medians of 45.1 ng/m 3 and 1347 and 46.7 pg/m 3 , respectively. The temporal pattern for PAH concentrations was largely dependent on coal combustion for residential heating. OPFR compositions that change during colder period were related to enhanced indoor emissions due to heating. The mean concentrations of SOCs during haze and fog days were 2-10 times higher than those during clear days. We found that BFRs with lower octanol and air partition coefficients tended to increase during haze and fog episodes, be removed from PM 2.5 during clear episodes, or both. For PAHs and OPFRs, pollutants that are more recalcitrant to degradation were prone to accumulate during haze and fog days. The potential source contribution function (PSCF) model indicated that southern and eastern cities were major source regions of SOCs at this site.

  17. Genetic stock identification of Russian honey bees.

    Science.gov (United States)

    Bourgeois, Lelania; Sheppard, Walter S; Sylvester, H Allen; Rinderer, Thomas E

    2010-06-01

    A genetic stock certification assay was developed to distinguish Russian honey bees from other European (Apis mellifera L.) stocks that are commercially produced in the United States. In total, 11 microsatellite and five single-nucleotide polymorphism loci were used. Loci were selected for relatively high levels of homogeneity within each group and for differences in allele frequencies between groups. A baseline sample consisted of the 18 lines of Russian honey bees released to the Russian Bee Breeders Association and bees from 34 queen breeders representing commercially produced European honey bee stocks. Suitability tests of the baseline sample pool showed high levels of accuracy. The probability of correct assignment was 94.2% for non-Russian bees and 93.3% for Russian bees. A neighbor-joining phenogram representing genetic distance data showed clear distinction of Russian and non-Russian honey bee stocks. Furthermore, a test of appropriate sample size showed a sample of eight bees per colony maximizes accuracy and consistency of the results. An additional 34 samples were tested as blind samples (origin unknown to those collecting data) to determine accuracy of individual assignment tests. Only one of these samples was incorrectly assigned. The 18 current breeding lines were represented among the 2009 blind sampling, demonstrating temporal stability of the genetic stock identification assay. The certification assay will be used through services provided by a service laboratory, by the Russian Bee Breeders Association to genetically certify their stock. The genetic certification will be used in conjunction with continued selection for favorable traits, such as honey production and varroa and tracheal mite resistance.

  18. Aboveground vs. Belowground Carbon Stocks in African Tropical Lowland Rainforest: Drivers and Implications.

    Directory of Open Access Journals (Sweden)

    Sebastian Doetterl

    Full Text Available African tropical rainforests are one of the most important hotspots to look for changes in the upcoming decades when it comes to C storage and release. The focus of studying C dynamics in these systems lies traditionally on living aboveground biomass. Belowground soil organic carbon stocks have received little attention and estimates of the size, controls and distribution of soil organic carbon stocks are highly uncertain. In our study on lowland rainforest in the central Congo basin, we combine both an assessment of the aboveground C stock with an assessment of the belowground C stock and analyze the latter in terms of functional pools and controlling factors.Our study shows that despite similar vegetation, soil and climatic conditions, soil organic carbon stocks in an area with greater tree height (= larger aboveground carbon stock were only half compared to an area with lower tree height (= smaller aboveground carbon stock. This suggests that substantial variability in the aboveground vs. belowground C allocation strategy and/or C turnover in two similar tropical forest systems can lead to significant differences in total soil organic C content and C fractions with important consequences for the assessment of the total C stock of the system.We suggest nutrient limitation, especially potassium, as the driver for aboveground versus belowground C allocation. However, other drivers such as C turnover, tree functional traits or demographic considerations cannot be excluded. We argue that large and unaccounted variability in C stocks is to be expected in African tropical rain-forests. Currently, these differences in aboveground and belowground C stocks are not adequately verified and implemented mechanistically into Earth System Models. This will, hence, introduce additional uncertainty to models and predictions of the response of C storage of the Congo basin forest to climate change and its contribution to the terrestrial C budget.

  19. Aboveground vs. Belowground Carbon Stocks in African Tropical Lowland Rainforest: Drivers and Implications.

    Science.gov (United States)

    Doetterl, Sebastian; Kearsley, Elizabeth; Bauters, Marijn; Hufkens, Koen; Lisingo, Janvier; Baert, Geert; Verbeeck, Hans; Boeckx, Pascal

    2015-01-01

    African tropical rainforests are one of the most important hotspots to look for changes in the upcoming decades when it comes to C storage and release. The focus of studying C dynamics in these systems lies traditionally on living aboveground biomass. Belowground soil organic carbon stocks have received little attention and estimates of the size, controls and distribution of soil organic carbon stocks are highly uncertain. In our study on lowland rainforest in the central Congo basin, we combine both an assessment of the aboveground C stock with an assessment of the belowground C stock and analyze the latter in terms of functional pools and controlling factors. Our study shows that despite similar vegetation, soil and climatic conditions, soil organic carbon stocks in an area with greater tree height (= larger aboveground carbon stock) were only half compared to an area with lower tree height (= smaller aboveground carbon stock). This suggests that substantial variability in the aboveground vs. belowground C allocation strategy and/or C turnover in two similar tropical forest systems can lead to significant differences in total soil organic C content and C fractions with important consequences for the assessment of the total C stock of the system. We suggest nutrient limitation, especially potassium, as the driver for aboveground versus belowground C allocation. However, other drivers such as C turnover, tree functional traits or demographic considerations cannot be excluded. We argue that large and unaccounted variability in C stocks is to be expected in African tropical rain-forests. Currently, these differences in aboveground and belowground C stocks are not adequately verified and implemented mechanistically into Earth System Models. This will, hence, introduce additional uncertainty to models and predictions of the response of C storage of the Congo basin forest to climate change and its contribution to the terrestrial C budget.

  20. Carbon stock of oil palm plantations and tropical forests in Malaysia

    DEFF Research Database (Denmark)

    Kho, Lip Khoon; Jepsen, Martin Rudbeck

    2015-01-01

    cultivation (fallow forests) and 3) oil palm plantations. The forest ecosystems are classified by successional stage and edaphic conditions and represent samples along a forest succession continuum spanning pioneer species in shifting cultivation fallows to climax vegetation in old-growth forests. Total......In Malaysia, the main land change process is the establishment of oil palm plantations on logged-over forests and areas used for shifting cultivation, which is the traditional farming system. While standing carbon stocks of old-growth forest have been the focus of many studies, this is less...... the case for Malaysian fallow systems and oil palm plantations. Here, we collate and analyse Malaysian datasets on total carbon stocks for both above- and below-ground biomass. We review the current knowledge on standing carbon stocks of 1) different forest ecosystems, 2) areas subject to shifting...

  1. A microprocessor from AVR to embedded SoC

    International Nuclear Information System (INIS)

    Jeong, Geum Seoh

    2005-01-01

    This book was divided into two parts. The first part consists of ten chapter, which are basic knowledge, code vision AVR compiler, analysis on code vision, introduction and characteristic of AVR, I/O ports, interrupt and timer/counter, LCD, serial communication, analog comparator and stepping Motor and digital control of DC Motor. In the second part, it introduces Embedded Soc including application field, its characteristic, general description, functional description, designs with Quartus II.

  2. Determinants of Stock Price Movements: Evidence from Chittagong Stock Exchange, Bangladesh

    Directory of Open Access Journals (Sweden)

    Mohammed Syedul Islam

    2015-01-01

    Full Text Available Stock market plays a vital role in the economic development of an economy. It bridges up between savers and real manufacturers by raising funds from investors to companies. This process was broken down due to the 2010-2011 stock market crash in Bangladesh. Though the determinants of stock price have been settled empirically, the current paper aims to reexamine the relationship between stock price, dividend and retained earnings of 29 listed banks of Chittagong Stock Exchange, in the post-crash period. Cross-sectional data were collected from secondary sources. Using linear regression method, the study found that both, dividend and retained earnings of sample banks have strong influence over the stock price, though there was moderate explanatory power of those variables. After reviewing the causes of crisis 2010-2011, this study suggests the following: to control price manipulation, to publish proper financial statement, regulate the dividend policy, to ensure sufficient knowledge among investors, recruit technical expert and ensure proper settlement for transactions, prevent crises of stock market against speculation etc.

  3. A Cryptographic SoC for Robust Protection of Secret Keys in IPTV DRM Systems

    Science.gov (United States)

    Lee, Sanghan; Yang, Hae-Yong; Yeom, Yongjin; Park, Jongsik

    The security level of an internet protocol television (IPTV) digital right management (DRM) system ultimately relies on protection of secret keys. Well known devices for the key protection include smartcards and battery backup SRAMs (BB-SRAMs); however, these devices could be vulnerable to various physical attacks. In this paper, we propose a secure and cost-effective design of a cryptographic system on chip (SoC) that integrates the BB-SRAM with a cell-based design technique. The proposed SoC provides robust safeguard against the physical attacks, and satisfies high-speed and low-price requirements of IPTV set-top boxes. Our implementation results show that the maximum encryption rate of the SoC is 633Mb/s. In order to verify the data retention capabilities, we made a prototype chip using 0.18µm standard cell technology. The experimental results show that the integrated BB-SRAM can reliably retain data with a 1.4µA leakage current.

  4. Gaining Insights on the H2–Sorbent Interactions: Robust soc-MOF Platform as a Case Study

    KAUST Repository

    Cairns, Amy

    2016-09-18

    We report on the synthesis and gas adsorption properties (i.e., Ar and H2) of four robust 3-periodic metal–organic frameworks (MOFs) having the targeted soc topology. These cationic MOFs are isostructural to the parent indium-based MOF, In-soc-MOF-1a (for NO3–), previously reported by us, and likewise are constructed from the assembly of rigid μ3-oxygen-centered trinuclear metal carboxylate clusters, [M3O(O2C−)6], where M = In3+ or Fe3+. Each inorganic trinuclear molecular building block (MBB), generated in situ, is bridged by six 3,3′,5,5′-azobenzenetetracarboxylate (ABTC4–) ligands to give the extended (4,6)-connected MOF, soc-MOF. In our previous work, we confirmed that the parent soc-MOF, i.e., In-soc-MOF-1a, possesses unique structural characteristics (e.g., vacant In binding sites and narrow pores with higher localized charge density), which led to exceptional hydrogen (H2) storage capabilities. Therefore, charged MOFs with soc topology can be viewed collectively as an ideal prototypical platform to examine the impact of specific structural parameters on H2–MOF interactions via systematic gas adsorption studies. We infer that enhanced binding of molecular H2 is primarily governed by the presence and type of vacant metal centers (i.e., Fe was shown to exhibit stronger H2–MOF interactions at low H2 loading compared to the In analogues). These findings are evident from the associated isosteric heat of adsorption (Qst) at low loadings and inelastic neutron scattering (INS) experiments of the rotational transitions of sorbed H2, as well as, temperature-programmed desorption (TPD) studies (for a select compound). The importance of localized charge density is also highlighted, where the extra-framework nitrate anions in the Fe-soc-MOF-1a (for NO3–) facilitate enhanced binding affinities as compared to the chloride analogue.

  5. UD-DKF-based Parameters on-line Identification Method and AEKF-Based SOC Estimation Strategy of Lithium-ion Battery

    Directory of Open Access Journals (Sweden)

    Xuanju Dang

    2014-09-01

    Full Text Available State of charge (SOC is a significant parameter for the Battery Management System (BMS. The accurate estimation of the SOC can not only guarantee the SOC remaining within a reasonable scope of work, but also prevent the battery from being over or deeply-charged to extend the lifespan of battery. In this paper, the third-order RC equivalent circuit model is adopted to describe cell characteristics and the dual Kalman filter (DKF is used online to identify model parameters for battery. In order to avoid the impacts of rounding error calculation leading to the estimation error matrix loss of non-negative qualitative which result in the filtering divergence phenomenon, the UD decomposition method is applied for filtering time and state updates simultaneously to enhance the stability of the algorithm, reduce the computational complexity and improve the high recognition accuracy. Based on the obtained model parameters, Adaptive Extended Kalman Filter (AEKF is introduced to online estimate the SOC of battery. The simulation and experimental results demonstrate that the established third-order RC equivalent circuit model is effective, and the SOC estimation has a higher precision.

  6. Mean reversion in the US stock market

    International Nuclear Information System (INIS)

    Serletis, Apostolos; Rosenberg, Aryeh Adam

    2009-01-01

    This paper revisits the evidence for the weaker form of the efficient market hypothesis, building on recent work by Serletis and Shintani [Serletis A, Shintani M. No evidence of chaos but some evidence of dependence in the US stock market. Chaos, Solitons and Fractals 2003;17:449-54], Elder and Serletis [Elder J, Serletis A. On fractional integrating dynamics in the US stock market. Chaos, Solitons and Fractals 2007;34;777-81], Koustas et al. [Koustas Z, Lamarche J.-F, Serletis A. Threshold random walks in the US stock market. Chaos, Solitons and Fractals, forthcoming], Hinich and Serletis [Hinich M, Serletis A. Randomly modulated periodicity in the US stock market. Chaos, Solitons and Fractals, forthcoming], and Serletis et al. [Serletis A, Uritskaya OY, Uritsky VM. Detrended Fluctuation analysis of the US stock market. Int J Bifurc Chaos, forthcoming]. In doing so, we use daily data, over the period from 5 February 1971 to 1 December 2006 (a total of 9045 observations) on four US stock market indexes - the Dow Jones Industrial Average, the Standard and Poor's 500 Index, the NASDAQ Composite Index, and the NYSE Composite Index - and a new statistical physics approach - namely the 'detrending moving average (DMA)' technique, recently introduced by Alessio et al. [Alessio E, Carbone A, Castelli G, Frappietro V. Second-order moving average and scaling of stochastic time series. Euro Phys J B 2002;27;197-200.] and further developed by Carbone et al. [Carbone A, Castelli G, Stanley HE. Time dependent hurst exponent in financial time series. Physica A 2004;344;267-71, Carbone A, Castelli G, Stanley HE. Analysis of clusters formed by the moving average of a long-range correlated time series. Phys Rev E 2004;69;026105.]. The robustness of the results to the use of alternative testing methodologies is also investigated, by using Lo's [Lo AW. Long-term memory in stock market prices. Econometrica 1991;59:1279-313.] modified rescaled range analysis. We conclude that US stock

  7. Mean reversion in the US stock market

    Energy Technology Data Exchange (ETDEWEB)

    Serletis, Apostolos [Department of Economics, University of Calgary, Calgary, Alberta, T2N 1N4 (Canada)], E-mail: Serletis@ucalgary.ca; Rosenberg, Aryeh Adam [Department of Economics, University of Calgary, Calgary, Alberta, T2N 1N4 (Canada)

    2009-05-30

    This paper revisits the evidence for the weaker form of the efficient market hypothesis, building on recent work by Serletis and Shintani [Serletis A, Shintani M. No evidence of chaos but some evidence of dependence in the US stock market. Chaos, Solitons and Fractals 2003;17:449-54], Elder and Serletis [Elder J, Serletis A. On fractional integrating dynamics in the US stock market. Chaos, Solitons and Fractals 2007;34;777-81], Koustas et al. [Koustas Z, Lamarche J.-F, Serletis A. Threshold random walks in the US stock market. Chaos, Solitons and Fractals, forthcoming], Hinich and Serletis [Hinich M, Serletis A. Randomly modulated periodicity in the US stock market. Chaos, Solitons and Fractals, forthcoming], and Serletis et al. [Serletis A, Uritskaya OY, Uritsky VM. Detrended Fluctuation analysis of the US stock market. Int J Bifurc Chaos, forthcoming]. In doing so, we use daily data, over the period from 5 February 1971 to 1 December 2006 (a total of 9045 observations) on four US stock market indexes - the Dow Jones Industrial Average, the Standard and Poor's 500 Index, the NASDAQ Composite Index, and the NYSE Composite Index - and a new statistical physics approach - namely the 'detrending moving average (DMA)' technique, recently introduced by Alessio et al. [Alessio E, Carbone A, Castelli G, Frappietro V. Second-order moving average and scaling of stochastic time series. Euro Phys J B 2002;27;197-200.] and further developed by Carbone et al. [Carbone A, Castelli G, Stanley HE. Time dependent hurst exponent in financial time series. Physica A 2004;344;267-71, Carbone A, Castelli G, Stanley HE. Analysis of clusters formed by the moving average of a long-range correlated time series. Phys Rev E 2004;69;026105.]. The robustness of the results to the use of alternative testing methodologies is also investigated, by using Lo's [Lo AW. Long-term memory in stock market prices. Econometrica 1991;59:1279-313.] modified rescaled range analysis. We

  8. Role of Ubiquitylation in Controlling Suppressor of Cytokine Signalling 3 (SOCS3 Function and Expression

    Directory of Open Access Journals (Sweden)

    Jamie J. L. Williams

    2014-05-01

    Full Text Available The realisation that unregulated activation of the Janus kinase–signal transducer and activator of transcription (JAK–STAT pathway is a key driver of a wide range of diseases has identified its components as targets for therapeutic intervention by small molecule inhibitors and biologicals. In this review, we discuss JAK-STAT signalling pathway inhibition by the inducible inhibitor “suppressor of cytokine signaling 3 (SOCS3, its role in diseases such as myeloproliferative disorders, and its function as part of a multi-subunit E3 ubiquitin ligase complex. In addition, we highlight potential applications of these insights into SOCS3-based therapeutic strategies for management of conditions such as vascular re-stenosis associated with acute vascular injury, where there is strong evidence that multiple processes involved in disease progression could be attenuated by localized potentiation of SOCS3 expression levels.

  9. The overnight effect on the Taiwan stock market

    Science.gov (United States)

    Tsai, Kuo-Ting; Lih, Jiann-Shing; Ko, Jing-Yuan

    2012-12-01

    This study examines statistical regularities among three components of stocks and indices: daytime (trading hour) return, overnight (off-hour session) return, and total (close-to-close) return. Owing to the fact that the Taiwan Stock Exchange (TWSE) has the longest non-trading periods among major markets, the TWSE is selected to explore the correlation among the three components and compare it with major markets such as the New York Stock Exchange (NYSE) and the National Association of Securities Dealers Automated Quotation (NASDAQ). Analysis results indicate a negative cross correlation between the sign of daytime return and the sign of overnight return; possibly explaining why most stocks feature a negative cross correlation between daytime return and overnight return [F. Wang, S.-J. Shieh, S. Havlin, H.E. Stanley, Statistical analysis of the overnight and daytime return, Phys. Rev. E 79 (2009) 056109]. Additionally, the cross correlation between the magnitude of returns is analyzed. According to those results, a larger magnitude of overnight return implies a higher probability that the sign of the following daytime return is the opposite of the sign of overnight return. Namely, the predictability of daytime return might be improved when a stock undergoes a large magnitude of overnight return. Furthermore, the cross correlations of 29 indices of worldwide markets are discussed.

  10. ASEAN-5+3 AND US STOCK MARKETS INTERDEPENDENCE BEFORE, DURING AND AFTER ASIAN FINANCIAL CRISIS

    OpenAIRE

    Royfaizal, R. C; Lee, C; Mohamed, Azali

    2007-01-01

    The issues of international stock markets linkages had been investigated over the time. Since the Asian financial crisis in 1997, many economists are concerned about the relationship between Asian stock markets and others in the world. This paper is conducted to examine the linkages between ASEAN-5+3 namely Malaysia, Singapore, the Philippines, Thailand, Indonesia, China, Japan and Korea and US stock markets. The data consists of weekly stock indices data. The total samples are se...

  11. Space Operations Center system analysis. Volume 3, book 2: SOC system definition report, revision A

    Science.gov (United States)

    1982-01-01

    The Space Operations Center (SOC) orbital space station program operations are described. A work breakdown structure for the general purpose support equipment, construction and transportation support, and resupply and logistics support systems is given. The basis for the design of each element is presented, and a mass estimate for each element supplied. The SOC build-up operation, construction, flight support, and satellite servicing operations are described. Detailed programmatics and cost analysis are presented.

  12. Analysis of Right Issue Announcement Effect toward Stock Price Movement and Stock Trading Volume within Issuer in Indonesia Stock Exchange

    Directory of Open Access Journals (Sweden)

    Wilson Yaputra Yakup

    2016-05-01

    Full Text Available The purpose of this study were to identify and analyze the rights issue effect to the stock price, the effect of the rights issue on stock trading volume, the correlation between stock prices before and after the right issue, as well as the correlation between volume of trading activity before the right issue and after that event. The objects of the study are the companies listed on Indonesia Stock Exchange (JSX. The hypothesis stated that right issues have a significant effect on stock price on companies listed on the JSX, rights issues have a significant effect on the stock trading volume on companies listed on the JSX, there is a significant correlation between stock price before and after the rights issue on companies listed in JSX, there is a significant correlation between volume of the stock trading before the rights issue and after that event. Data analysis used were descriptive statistics, simple linear regression analysis and paired t-test. Hypothesis testing was performed by using the Pearson correlation test with significance level of 5%. The results show that the right issue has a positive effect but not significant toward stock prices of companies listed in JSX, right issue has a negative effect and not significant toward the trading volume activity (TVA on companies listed in JSX.

  13. A Framework for Hardware-Accelerated Services Using Partially Reconfigurable SoCs

    Directory of Open Access Journals (Sweden)

    MACHIDON, O. M.

    2016-05-01

    Full Text Available The current trend towards ?Everything as a Service? fosters a new approach on reconfigurable hardware resources. This innovative, service-oriented approach has the potential of bringing a series of benefits for both reconfigurable and distributed computing fields by favoring a hardware-based acceleration of web services and increasing service performance. This paper proposes a framework for accelerating web services by offloading the compute-intensive tasks to reconfigurable System-on-Chip (SoC devices, as integrated IP (Intellectual Property cores. The framework provides a scalable, dynamic management of the tasks and hardware processing cores, based on dynamic partial reconfiguration of the SoC. We have enhanced security of the entire system by making use of the built-in detection features of the hardware device and also by implementing active counter-measures that protect the sensitive data.

  14. Cache Performance Optimization for SoC Vedio Applications

    OpenAIRE

    Lei Li; Wei Zhang; HuiYao An; Xing Zhang; HuaiQi Zhu

    2014-01-01

    Chip Multiprocessors (CMPs) are adopted by industry to deal with the speed limit of the single-processor. But memory access has become the bottleneck of the performance, especially in multimedia applications. In this paper, a set of management policies is proposed to improve the cache performance for a SoC platform of video application. By analyzing the behavior of Vedio Engine, the memory-friendly writeback and efficient prefetch policies are adopted. The experiment platform is simulated by ...

  15. Effects of seabird nitrogen input on biomass and carbon accumulation after 50 years of primary succession on a young volcanic island, Surtsey

    Science.gov (United States)

    Leblans, N. I. W.; Sigurdsson, B. D.; Roefs, P.; Thuys, R.; Magnússon, B.; Janssens, I. A.

    2014-11-01

    What happens during primary succession after the first colonizers have occupied a pristine surface largely depends on how they ameliorate living conditions for other species. For vascular plants the onset of soil development and associated increase in nutrient (mainly nitrogen; N) and water availability is especially important. Here, we report the relationship between N accumulation and biomass and ecosystem carbon (C) stocks in a 50-year-old volcanic island, Surtsey, Iceland, where N stocks are still exceptionally low. However, a 28-year-old seagull colony on the island provided nutrient-enriched areas, which enabled us to assess the relationship between N stock and biomass and ecosystem C stocks across a much larger range in N stock. Further, we compared areas on shallow and deep tephra sands as we expected that deep-rooted systems would be more efficient in retaining N. The sparsely vegetated area outside the colony had accumulated 0.7 kg N ha-1 yr-1, which was ca. 50-60% of the estimated N input rate from wet deposition. This approximates values for systems under low N input and bare dune habitats. The seagulls have added, on average, 47 kg N ha-1 yr-1, which induced a shift from belowground to aboveground in ecosystem N and C stocks and doubled the ecosystem N-use efficiency, determined as the ratio of biomass and C storage per unit N input. Soil depth did not significantly affect total N stocks, which suggests a high N retention potential. Both total ecosystem biomass and C stocks were strongly correlated with N stock inside the colony, which indicated the important role of N during the first steps of primary succession. Inside the colony, the ecosystem biomass C stocks (17-27 ton C ha-1) had reached normal values for grasslands, while the soil organic carbon (SOC) stocks (4-10 ton C ha-1 were only a fraction of normal grassland values. Thus, it will take a long time until the SOC stock reaches equilibrium with the current primary production, during which

  16. Design of a Programmable Passive SoC for Biomedical Applications Using RFID ISO 15693/NFC5 Interface

    Directory of Open Access Journals (Sweden)

    Mayukh Bhattacharyya

    2018-01-01

    Full Text Available Low power, low cost inductively powered passive biotelemetry system involving fully customized RFID/NFC interface base SoC has gained popularity in the last decades. However, most of the SoCs developed are application specific and lacks either on-chip computational or sensor readout capability. In this paper, we present design details of a programmable passive SoC in compliance with ISO 15693/NFC5 standard for biomedical applications. The integrated system consists of a 32-bit microcontroller, a sensor readout circuit, a 12-bit SAR type ADC, 16 kB RAM, 16 kB ROM and other digital peripherals. The design is implemented in a 0.18 μ m CMOS technology and used a die area of 1.52 mm × 3.24 mm. The simulated maximum power consumption of the analog block is 592 μ W. The number of external components required by the SoC is limited to an external memory device, sensors, antenna and some passive components. The external memory device contains the application specific firmware. Based on the application, the firmware can be modified accordingly. The SoC design is suitable for medical implants to measure physiological parameters like temperature, pressure or ECG. As an application example, the authors have proposed a bioimplant to measure arterial blood pressure for patients suffering from Peripheral Artery Disease (PAD.

  17. A historical perspective on soil organic carbon in Mediterranean cropland (Spain, 1900-2008).

    Science.gov (United States)

    Aguilera, Eduardo; Guzmán, Gloria I; Álvaro-Fuentes, Jorge; Infante-Amate, Juan; García-Ruiz, Roberto; Carranza-Gallego, Guiomar; Soto, David; González de Molina, Manuel

    2018-04-15

    Soil organic carbon (SOC) management is key for soil fertility and for mitigation and adaptation to climate change, particularly in desertification-prone areas such as Mediterranean croplands. Industrialization and global change processes affect SOC dynamics in multiple, often opposing, ways. Here we present a detailed SOC balance in Spanish cropland from 1900 to 2008, as a model of a Mediterranean, industrialized agriculture. Net Primary Productivity (NPP) and soil C inputs were estimated based on yield and management data. Changes in SOC stocks were modeled using HSOC, a simple model with one inert and two active C pools, which combines RothC model parameters with humification coefficients. Crop yields increased by 227% during the studied period, but total C exported from the agroecosystem only increased by 73%, total NPP by 30%, and soil C inputs by 20%. There was a continued decline in SOC during the 20th century, and cropland SOC levels in 2008 were 17% below their 1933 peak. SOC trends were driven by historical changes in land uses, management practices and climate. Cropland expansion was the main driver of SOC loss until mid-20th century, followed by the decline in soil C inputs during the fast agricultural industrialization starting in the 1950s, which reduced harvest indices and weed biomass production, particularly in woody cropping systems. C inputs started recovering in the 1980s, mainly through increasing crop residue return. The upward trend in SOC mineralization rates was an increasingly important driver of SOC losses, triggered by irrigation expansion, soil cover loss and climate change-driven temperature rise. Copyright © 2017 Elsevier B.V. All rights reserved.

  18. Spatial representation of organic carbon and active-layer thickness of high latitude soils in CMIP5 earth system models

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Umakant; Drewniak, Beth; Jastrow, Julie D.; Matamala, Roser M.; Vitharana, U. W. A.

    2017-08-01

    Soil properties such as soil organic carbon (SOC) stocks and active-layer thickness are used in earth system models (F.SMs) to predict anthropogenic and climatic impacts on soil carbon dynamics, future changes in atmospheric greenhouse gas concentrations, and associated climate changes in the permafrost regions. Accurate representation of spatial and vertical distribution of these soil properties in ESMs is a prerequisite for redudng existing uncertainty in predicting carbon-climate feedbacks. We compared the spatial representation of SOC stocks and active-layer thicknesses predicted by the coupled Modellntercomparison Project Phase 5 { CMIP5) ESMs with those predicted from geospatial predictions, based on observation data for the state of Alaska, USA. For the geospatial modeling. we used soil profile observations {585 for SOC stocks and 153 for active-layer thickness) and environmental variables (climate, topography, land cover, and surficial geology types) and generated fine-resolution (50-m spatial resolution) predictions of SOC stocks (to 1-m depth) and active-layer thickness across Alaska. We found large inter-quartile range (2.5-5.5 m) in predicted active-layer thickness of CMIP5 modeled results and small inter-quartile range (11.5-22 kg m-2) in predicted SOC stocks. The spatial coefficient of variability of active-layer thickness and SOC stocks were lower in CMIP5 predictions compared to our geospatial estimates when gridded at similar spatial resolutions (24.7 compared to 30% and 29 compared to 38%, respectively). However, prediction errors. when calculated for independent validation sites, were several times larger in ESM predictions compared to geospatial predictions. Primaly factors leading to observed differences were ( 1) lack of spatial heterogeneity in ESM predictions, (2) differences in assumptions concerning environmental controls, and (3) the absence of pedogenic processes in ESM model structures. Our results suggest that efforts to incorporate

  19. CONTAGIOUS EFFECTS OF OIL PRICES ON ASIAN STOCK MARKETS’ BEHAVIOUR

    Directory of Open Access Journals (Sweden)

    Jok-Tong Wan

    2016-05-01

    Full Text Available The main objective of this study is to examine the stock markets’ shock due to the effect of the price of oil in the East Asia Region. Particularly, this study examines if there is stock market interdependence during global oil price shocks (sudden changes for a sample of five total oil importers (the Philippines, Hong Kong SAR, Taiwan, South Korea, and Japan, four net oil importers (Indonesia, Singapore, Thailand, and China, and one net oil exporter (Malaysia between 1999 and 2014. From the result, an oil price change is collectively found to have a small but significant positive impact on the stock markets, in particular where a sudden decrease in oil prices tends to cause a stock market downturn and volatility. The world economy’s spending, financial investments in oil futures and foreign investment by oil rich nations are some underlying motives for inducing this oil-stock positive relation. The same direction of time-varying conditional correlations is found across East Asian stock markets during negative oil price shocks. The integration among East Asian stock markets is inducing the oil shock contagion to be transmitted from direct oil-affected countries (South Korea, Hong Kong, and Singapore to non-direct oil affected countries’ (Japan and Taiwan stock markets. In spite of a long practiced ASEAN+3 macroeconomics surveillance process and Early Warning System (EWS which can be customized for stock markets to prevent or detect the oil risk, hedging against initial oil-affected stock markets and a stronger influence by the East Asian countries in the global world of oil and capital investment are strongly suggested.

  20. Quantifying Fire's Impacts on Total and Pyrogenic Carbon Stocks in Mixed-Conifer Forests: Results from Pre- and Post-Fire Measurements in Active Wildfire Incidents

    Science.gov (United States)

    Miesel, J. R.; Reiner, A. L.; Ewell, C. M.; Sanderman, J.; Maestrini, B.; Adkins, J.

    2016-12-01

    Widespread US fire suppression policy has contributed to an accumulation of vegetation in many western forests relative to historic conditions, and these changes can exacerbate wildfire severity and carbon (C) emissions. Serious concern exists about positive feedbacks between wildfire emissions and global climate; however, fires not only release C from terrestrial to atmospheric pools, they also create "black" or pyrogenic C (PyC) which contributes to longer-term C stability. Our objective was to quantify wildfire impacts on aboveground and belowground total C and PyC stocks in California mixed-conifer forests. We worked with incident management teams to access five active wildfires to establish and measure plots within days before and after fire. We measured pre- and post-fire aboveground forest structure and woody fuels to calculate aboveground biomass, biomass C, and PyC, and we collected pre- and post-fire forest floor and 0-5 cm mineral soil samples to measure belowground C and PyC stocks. Our preliminary results show that fire had minimal impact on the number of trees per hectare, whereas C losses from the tree layer occurred via consumption of foliage, and PyC gain occurred in tree bark. Fire released 54% to 100% of surface fuel C. In the forest floor layer, we observed 33 to 100% C loss, whereas changes in PyC stocks ranged from 100% loss to 186% gain relative to pre-fire samples. In general, fire had minimal to no impact on 0-5 cm mineral soil C. We will present relationships between total C, PyC and post-fire C and N dynamics in one of the five wildfire sites. Our data are unique because they represent nearly immediate pre- and post-fire measurements in major wildfires in a widespread western U.S. forest type. This research advances understanding of the role of fire on forest C fluxes and C sequestration potential as PyC.

  1. Market Structure and Stock Splits

    OpenAIRE

    David Michayluk; Paul Kofman

    2001-01-01

    Enhanced liquidity is one possible motivation for stock splits but empirical research frequently documents declines in liquidity following stock splits. Despite almost thirty years of inquiry, little is known about all the changes in a stock's trading activity following a stock split. We examine how liquidity measures change around more than 2,500 stock splits and find a pervasive decline in most measures. Large stock splits exhibit a more severe liquidity decline than small stock splits, esp...

  2. Stock or stroke? Stock market movement and stroke incidence in Taiwan.

    Science.gov (United States)

    Chen, Chun-Chih; Chen, Chin-Shyan; Liu, Tsai-Ching; Lin, Ying-Tzu

    2012-12-01

    This paper investigates the impact of stock market movement on incidences of stroke utilizing population-based aggregate data in Taiwan. Using the daily data from the Taiwan Stock Exchange Capitalization Weighted Stock Index and from the National Health Insurance Research Database during 2001/1/1-2007/12/31, which consist of 2556 observations, we examine the effects of stock market on stroke incidence - the level effect and the daily change effects. In general, we find that both a low stock index level and a daily fall in the stock index are associated with greater incidences of stroke. We further partition the data on sex and age. The level effect is found to be significant for either gender, in the 45-64 and 65 ≥ age groups. In addition, two daily change effects are found to be significant for males and the elderly. Although stockholdings can increase wealth, they can also increase stroke incidence, thereby representing a cost to health. Copyright © 2012 Elsevier Ltd. All rights reserved.

  3. A Flexible ADC Approach for Mixed-signal SoC Platforms

    NARCIS (Netherlands)

    Zanikopoulos, A.; Harpe, P.J.A.; Hegt, J.A.; Roermund, van A.H.M.

    2005-01-01

    Time-to-market pressure and increased design complexity created what is called a "design gap" [1] in the design of systems-on-chip (SoC). As a solution to that problem the Platform-Based Design (PBD), based on the design-reuse methodology, has been proposed [2], and successfully applied to digital

  4. Japanese quail performance under different stocking densities

    International Nuclear Information System (INIS)

    Fahmy, M.O.; EL-Faramawy, A.A.

    2004-01-01

    This experiment was conducted with Japanese quails at the poultry production farm (Poultry Research Unite, Nuclear Research Center, AEA, Inshas, Egypt) to determine the effects of stocking density on the growth, carcass composition, feed conversion, feed efficiency, corticosterone level, immune response and profit potential. A total of 924 Japanese quail chicks were brooded at 2 weeks of age in batteries at 44, 88 and 176 birds / m2 each of 3 replicates. Chicks brooded at 44 and 88 bird / m2 were grew significantly (P<0.05) during the experimental period more than those brooded at 176 bird/m2. Increasing birds density was associated with significant (P<0.05) increase in serum corticosterone level, carcass protein percent, live body weight per m2 and monetary returns. Carcass fat percent and immune response were reduced sharply with increasing quail stocking density. On the other hand, feed conversion was reduced, while feed efficiency was improved (P<0.05) by increasing quail stocking density

  5. Structural basis for c-KIT inhibition by the suppressor of cytokine signaling 6 (SOCS6) ubiquitin ligase

    DEFF Research Database (Denmark)

    Zadjali, Fahad; Pike, Ashley C W; Vesterlund, Mattias

    2011-01-01

    to substrate residue position pY+6 and envelopes the c-KIT phosphopeptide with a large BG loop insertion that contributes significantly to substrate interaction. We demonstrate that SOCS6 has ubiquitin ligase activity toward c-KIT and regulates c-KIT protein turnover in cells. Our data support a role of SOCS6...

  6. Measuring Biomass and Carbon Stock in Resprouting Woody Plants

    Science.gov (United States)

    Matula, Radim; Damborská, Lenka; Nečasová, Monika; Geršl, Milan; Šrámek, Martin

    2015-01-01

    Resprouting multi-stemmed woody plants form an important component of the woody vegetation in many ecosystems, but a clear methodology for reliable measurement of their size and quick, non-destructive estimation of their woody biomass and carbon stock is lacking. Our goal was to find a minimum number of sprouts, i.e., the most easily obtainable, and sprout parameters that should be measured for accurate sprout biomass and carbon stock estimates. Using data for 5 common temperate woody species, we modelled carbon stock and sprout biomass as a function of an increasing number of sprouts in an interaction with different sprout parameters. The mean basal diameter of only two to five of the thickest sprouts and the basal diameter and DBH of the thickest sprouts per stump proved to be accurate estimators for the total sprout biomass of the individual resprouters and the populations of resprouters, respectively. Carbon stock estimates were strongly correlated with biomass estimates, but relative carbon content varied among species. Our study demonstrated that the size of the resprouters can be easily measured, and their biomass and carbon stock estimated; therefore, resprouters can be simply incorporated into studies of woody vegetation. PMID:25719601

  7. Stock Status

    Data.gov (United States)

    National Oceanic and Atmospheric Administration, Department of Commerce — These data inform the public of the most recent stock status for all stocks (FSSI and non-FSSI) in the fishery management unit contained in a fishery managment plan....

  8. Trading network predicts stock price.

    Science.gov (United States)

    Sun, Xiao-Qian; Shen, Hua-Wei; Cheng, Xue-Qi

    2014-01-16

    Stock price prediction is an important and challenging problem for studying financial markets. Existing studies are mainly based on the time series of stock price or the operation performance of listed company. In this paper, we propose to predict stock price based on investors' trading behavior. For each stock, we characterize the daily trading relationship among its investors using a trading network. We then classify the nodes of trading network into three roles according to their connectivity pattern. Strong Granger causality is found between stock price and trading relationship indices, i.e., the fraction of trading relationship among nodes with different roles. We further predict stock price by incorporating these trading relationship indices into a neural network based on time series of stock price. Experimental results on 51 stocks in two Chinese Stock Exchanges demonstrate the accuracy of stock price prediction is significantly improved by the inclusion of trading relationship indices.

  9. The synchronicity between the stock and the stock index via information in market

    Science.gov (United States)

    Gao, Hai-Ling; Li, Jiang-Cheng; Guo, Wei; Mei, Dong-Cheng

    2018-02-01

    The synchronicity between the stock and the stock-index in a market system is investigated. The results show that: (i) the synchronicity between the stock and the stock-index increases with the rising degree of market information capitalized into stock prices in certain range; (ii) the synchronicity decreases for large firm-specific information; (iii) the stock return synchronicity is small compared to the big noise trading, however the variance noise facilitates the synchronization within the tailored realms. These findings may be helpful in understanding the effect of market information on synchronicity, especially for the response of firm-specific information and noise trading to synchronicity.

  10. [Characteristics of Soil Respiration along Eroded Sloping Land with Different SOC Background on the Hilly Loess Plateau].

    Science.gov (United States)

    Chen, Gai; Xu, Ming-xiang; Zhang, Ya-feng; Wang, Chao-hua; Fan, Hui-min; Wang, Shan-shan

    2015-09-01

    This study aimed to characterize soil respiration along eroded sloping land at erosion and deposition area under different soil organic carbon(SOC) levels, and linked the relationship between soil respiration and soil temperature, soil moisture, SOC and slope position. Experiments were carried out in the plots of S type slopes include five different soil organic carbon levels in the Loess Hilly Region. The S type slopes were divided into control area at the top of the slope, erosion area at the middle of the slope and deposition area at the toe of the slope. We found that soil temperature had a greater impact on soil respiration in the deposition area, whereas soil moisture had a greater impact on soil respiration in the erosion area compared among control area, erosion area and deposition area. In addition, SOC was the most important factor affecting soil respiration, which can explain soil respiration variation 54. 72%, followed by soil moisture, slope position and soil temperature, which explain soil respiration variation 18. 86% , 16. 13% and 10. 29%, respectively. Soil respiration response to erosion showed obvious on-site and off-site effects along the eroded sloping land. Soil respiration in the erosion area was reduced by 21. 14% compared with control area, and soil respiration in the deposition area was increased by 21. 93% compared with control area. Erosion effect on source and sink of carbon emission was correlated with SOC content of the eroded sloping land. When SOC content was higher than 6. 82 g.kg-1, the slope. erosion tended to be a carbon sequestration process, and when SOC content was lower than 3.03 g.kg-1, the slope erosion tended to be a process of the carbon emission source. The model could reflect the relationship between soil respiration and independent variables of soil organic carbon content, soil temperature and moisture.

  11. Assessment of the relationship between oil prices and US oil stocks

    International Nuclear Information System (INIS)

    Saif Ghouri, Salman

    2006-01-01

    This paper qualitatively and quantitatively analyzes the relationship between US monthly ending oil stocks position with that of West Texas Intermediate (WTI) oil prices from February 1995 to July 2004. The paper concludes if other things are held constant, WTI is inversely related to the petroleum products (PPP), combined petroleum products and crude oil (CPPP), crude oil alone (Crude), total oil stocks including petroleum products, crude oil and strategic petroleum reserves SPR (Total), total gasoline (TGO), total distillate (TDO). It could not establish a statistically significant and negative relationship with SPR when run alone. One percent increase (decrease) in CPPP, PPP, Crude, Total, TGO and TDO leads to decrease (increase) in WTI, respectively, by 0.70, 0.43, 0.37, 0.97, 0.26 and 0.21 percent. Oil prices are largely influenced by total crude and Crude and PPP inventories levels while modestly with variations in gasoline and distillate stocks levels. Despite a healthy increase of over 22 percent in SPR from January 2001 to April 2004, it did not result in easing of oil prices. Primarily because SPR are meant for security of supply concern and are only released under extreme conditions by the President of United States, they are neither meant for the purposes of balancing supply-demand gap nor for the stability of oil prices. The aggressive SPR buildup in recent years is related to international terrorism, geopolitical situation in the Middle East, particularly in Iraq, that encourages US government to enhance its SPR to meet any short-term eventuality. The analyst must keep a close eye on CPPP and the total oil stocks variation to forecast WTI in the short run whilst gasoline and distillate influence oil prices modestly in the short run. SPR, on the other hand, are expected to play a pivotal role in balancing oil prices and in providing a critical resource for the economy in case of any major shortfall in the long run

  12. Assessment of the relationship between oil prices and US oil stocks

    Energy Technology Data Exchange (ETDEWEB)

    Saif Ghouri, Salman [Business Environment Section, Corporate Planning Department, Qatar Petroleum, Doha (Qatar)]. E-mail: ghouri@qp.com.qa

    2006-11-15

    This paper qualitatively and quantitatively analyzes the relationship between US monthly ending oil stocks position with that of West Texas Intermediate (WTI) oil prices from February 1995 to July 2004. The paper concludes if other things are held constant, WTI is inversely related to the petroleum products (PPP), combined petroleum products and crude oil (CPPP), crude oil alone (Crude), total oil stocks including petroleum products, crude oil and strategic petroleum reserves SPR (Total), total gasoline (TGO), total distillate (TDO). It could not establish a statistically significant and negative relationship with SPR when run alone. One percent increase (decrease) in CPPP, PPP, Crude, Total, TGO and TDO leads to decrease (increase) in WTI, respectively, by 0.70, 0.43, 0.37, 0.97, 0.26 and 0.21 percent. Oil prices are largely influenced by total crude and Crude and PPP inventories levels while modestly with variations in gasoline and distillate stocks levels. Despite a healthy increase of over 22 percent in SPR from January 2001 to April 2004, it did not result in easing of oil prices. Primarily because SPR are meant for security of supply concern and are only released under extreme conditions by the President of United States, they are neither meant for the purposes of balancing supply-demand gap nor for the stability of oil prices. The aggressive SPR buildup in recent years is related to international terrorism, geopolitical situation in the Middle East, particularly in Iraq, that encourages US government to enhance its SPR to meet any short-term eventuality. The analyst must keep a close eye on CPPP and the total oil stocks variation to forecast WTI in the short run whilst gasoline and distillate influence oil prices modestly in the short run. SPR, on the other hand, are expected to play a pivotal role in balancing oil prices and in providing a critical resource for the economy in case of any major shortfall in the long run.

  13. IL-6 modulates hepatocyte proliferation via induction of HGF/p21cip1: Regulation by SOCS3

    International Nuclear Information System (INIS)

    Sun Rui; Jaruga, Barbara; Kulkarni, Shailin; Sun Haoyu; Gao Bin

    2005-01-01

    The precise role of IL-6 in liver regeneration and hepatocyte proliferation is controversial and the role of SOCS3 in liver regeneration remains unknown. Here we show that in vitro treatment with IL-6 inhibited primary mouse hepatocyte proliferation. IL-6 induced p21 cip1 protein expression in primary mouse hepatocytes. Disruption of the p21 cip1 gene abolished the inhibitory effect of IL-6 on cell proliferation. Co-culture with nonparenchymal liver cells diminished IL-6 inhibition of hepatocyte proliferation, which was likely due to IL-6 stimulation of nonparenchymal cells to produce HGF. Finally, IL-6 induced higher levels of p21 cip1 protein expression and a slightly stronger inhibition of cell proliferation in SOCS3 +/- mouse hepatocytes compared to wild-type hepatocytes, while liver regeneration was enhanced and prolonged in SOCS3 +/- mice. Our findings suggest that IL-6 directly inhibits hepatocyte proliferation via a p21 cip1 -dependent mechanism and indirectly enhances hepatocyte proliferation via stimulating nonparenchymal cells to produce HGF. SOCS3 negatively regulates liver regeneration

  14. Improved OCV Model of a Li-Ion NMC Battery for Online SOC Estimation Using the Extended Kalman Filter

    Directory of Open Access Journals (Sweden)

    Ines Baccouche

    2017-05-01

    Full Text Available Accurate modeling of the nonlinear relationship between the open circuit voltage (OCV and the state of charge (SOC is required for adaptive SOC estimation during the lithium-ion (Li-ion battery operation. Online SOC estimation should meet several constraints, such as the computational cost, the number of parameters, as well as the accuracy of the model. In this paper, these challenges are considered by proposing an improved simplified and accurate OCV model of a nickel manganese cobalt (NMC Li-ion battery, based on an empirical analytical characterization approach. In fact, composed of double exponential and simple quadratic functions containing only five parameters, the proposed model accurately follows the experimental curve with a minor fitting error of 1 mV. The model is also valid at a wide temperature range and takes into account the voltage hysteresis of the OCV. Using this model in SOC estimation by the extended Kalman filter (EKF contributes to minimizing the execution time and to reducing the SOC estimation error to only 3% compared to other existing models where the estimation error is about 5%. Experiments are also performed to prove that the proposed OCV model incorporated in the EKF estimator exhibits good reliability and precision under various loading profiles and temperatures.

  15. Long rotation swidden systems maintain higher carbon stocks than rubber plantations

    DEFF Research Database (Denmark)

    Bruun, Thilde Bech; Berry, Nicholas; De Neergaard, Andreas

    2018-01-01

    in fallows were 1.5 ± 0.12 Mg C ha−1 yr−1 and 1.9 ± 0.14 Mg C ha−1 yr−1 in rubber plantations. When comparing time-averaged carbon stocks of swidden systems to rubber plantations with 30 year rotation periods, the stocks of swidden systems with rotation times of 5 and 10 years were 19% and 13% lower......Conversion of shifting cultivation to rubber (Hevea brasiliensis) plantations is one of the dominant land use changes in montane mainland areas of Southeast Asia, with the area of rubber expected to quadruple by 2050. However, the impacts of this transition on total ecosystem carbon stocks...... are poorly quantified. We undertook a chronosequence study to quantify changes in ecosystem carbon stocks following conversion from swidden agriculture to rubber plantations in Northern Laos. We measured above-ground biomass stocks and collected volume specific soil samples across rubber plantations...

  16. Compression stockings

    Science.gov (United States)

    Call your health insurance or prescription plan: Find out if they pay for compression stockings. Ask if your durable medical equipment benefit pays for compression stockings. Get a prescription from your doctor. Find a medical equipment store where they can ...

  17. Analysis of Suppressor of Cytokine Signaling 2 Gene (SOCS2 Polymorphism in Different Dog Breeds

    Directory of Open Access Journals (Sweden)

    Martina Miluchová

    2011-05-01

    Full Text Available SOCS2 is a negative regulator of growth hormone signaling. The deletion of SOCS2 in mice results in a 30-50% increase in post-natal growth. The aim of the paper was to identify of suppressor of cytokine signaling 2 gene (SOCS2 polymorphism in different dog breeds. The material involved 77 dogs from 14 different breeds. Canine genomic DNA was isolated from saliva by modified method with using DNAzol® (Molecular Research Center and linear polyacrylamide (LPA carrier and from blood by using NucleospinBlood (Macherey-Nagel and used in order to estimate SOCS2 genotypes by PCR-RFLP method. The PCR products were digested with TaqI restriction enzyme. The T allele was distributed among large dog breeds (Czech pointer, Golden retriever, Rottweiler with an allele frequency ranging from 0.2857 to 1.00. In the population of Czech pointer we detected all genotypes. There were detected homozygote genotype GG with frequency 0.5476, heterozygote genotype GT with frequency 0.3333 and homozygote genotype TT with frequency 0.1191. Results point out that frequency of G allele was high and was represented 0.7143. Frequency of T allele was 0.2857. In Rottweiler was detected homozygote genotype TT. Genotypes GG and GT has not been observed. In Golden retriever we detected only heterozygote genotype GT.

  18. HABITAT USE BY NATIVE AND STOCKED TROUT (SALMO TRUTTA L. IN TWO NORTHEAST STREAMS, PORTUGAL

    Directory of Open Access Journals (Sweden)

    TEIXEIRA A.

    2006-04-01

    Full Text Available Habitat use by stocked and native brown trout (Salmo trutta L. was assessed in two headwater streams of North-eastern Portugal. Underwater observations were made during the summer season in three successive years to evaluate the effect of supplemental trout stocking. Multivariate analysis techniques applied to data sets on microhabitat use were exploited to identify the focal elevation (distance of fish from the bottom, total depth and cover as the variables that contribute most to the discrimination between stocked and native trout. Preference curves computed for native and stocked trout of the same age (1+, showed a distinct pattern in their ability to explore the available microhabitat resources. Stocked trout tended to occupy deeper pools (total depth > 100 cm vs. 60-100 cm for native trout, holding higher focal elevations (140-160 cm vs. 22.5 cm and cover (combination of boulders and overhanging vegetation or undercut banks. Furthermore, a high poststocking movement of 80% hatchery-reared fish was verified just one month after their release, suggesting that stocking did not contribute to the sustainable populations in either stream, and is far from being an adequate management technique.

  19. THE EFFECT OF MACROECONOMIC VARIABLES ON STOCK RETURNS ON DHAKA STOCK EXCHANGE

    Directory of Open Access Journals (Sweden)

    Muhammed Monjurul Quadir

    2012-01-01

    Full Text Available This article investigates the effects of macroeconomic variables of treasury bill interest rate and industrial production on stock returns on Dhaka Stock Exchange for the period between January 2000 and February 2007 on the basis of monthly time series data using Autoregressive Integrated Moving Average (ARIMA model. The paper has taken the overall market stock returns as an independent variable. It does not consider the stock returns of different companies separately. Though the ARIMA model finds a positive relationship between Treasury bill interest rate and industrial production with market stock returns but the coefficients have turned out to be statistically insignificant.

  20. Daily Socs1 rhythms alter with aging differentially in peripheral clocks in male Wistar rats: therapeutic effects of melatonin.

    Science.gov (United States)

    Vinod, Ch; Jagota, Anita

    2017-06-01

    Suprachiasmatic nucleus (SCN) in synchronization with the peripheral clocks regulates the temporal oscillations leading to overt rhythms. Aging leads to attenuation of such circadian regulation, accompanied by increased inflammatory mediators prevalently the cytokines. Suppressors of cytokine signaling (SOCS) family of proteins such as SOCS 1, 3 and cytokine-inducible SH2-containing protein (CIS) negatively regulate the cytokine signaling pathway. The role of SOCS1 in aging and circadian system is obscure. We therefore studied the daily rhythms of rSocs1 mRNA expression at Zeitgeber time (ZT) -0, 6, 12 and 18 in peripheral clocks such as liver, kidney, intestine and heart of 3, 12 and 24 months (m) old male Wistar rats. Interestingly the peripheral clocks studied displayed a rhythmic rSocs1 gene expression in 3 months. In 12 months group, 12 h phase advance in liver and 12 h phase delay in kidney and heart was observed with abolition of rhythms in intestine. Aging (24 months group) resulted in a phase advance by 6 h in liver and heart with abolition of rhythms in intestine in 24 months group. Kidney was also significantly affected upon aging with significant decrease in the rSocs1 levels and abolition of rhythms. The decrease in melatonin levels with aging is associated with decreased immunity and increased oxidative stress. The exogenous administration of melatonin has been linked to play a role in re-synchronization of circadian rhythms, reducing oxidative stress and enhancing immune properties. We therefore had studied the effect of exogenous melatonin upon age induced changes in daily rSocs1 gene expression patterns. Melatonin treatment partially restored the rhythms and daily pulse (ratio of maximum:minimum levels) in liver and intestine in 12 months group. Melatonin administration resulted in a significant increase in mean 24 h rSocs1 expression in intestine and heart of 24 months group compared to that of 3 months. The melatonin administration

  1. Parametric Dense Stereovision Implementation on a System-on Chip (SoC

    Directory of Open Access Journals (Sweden)

    Pablo Montejo

    2012-02-01

    Full Text Available This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  2. Parametric dense stereovision implementation on a system-on chip (SoC).

    Science.gov (United States)

    Gardel, Alfredo; Montejo, Pablo; García, Jorge; Bravo, Ignacio; Lázaro, José L

    2012-01-01

    This paper proposes a novel hardware implementation of a dense recovery of stereovision 3D measurements. Traditionally 3D stereo systems have imposed the maximum number of stereo correspondences, introducing a large restriction on artificial vision algorithms. The proposed system-on-chip (SoC) provides great performance and efficiency, with a scalable architecture available for many different situations, addressing real time processing of stereo image flow. Using double buffering techniques properly combined with pipelined processing, the use of reconfigurable hardware achieves a parametrisable SoC which gives the designer the opportunity to decide its right dimension and features. The proposed architecture does not need any external memory because the processing is done as image flow arrives. Our SoC provides 3D data directly without the storage of whole stereo images. Our goal is to obtain high processing speed while maintaining the accuracy of 3D data using minimum resources. Configurable parameters may be controlled by later/parallel stages of the vision algorithm executed on an embedded processor. Considering hardware FPGA clock of 100 MHz, image flows up to 50 frames per second (fps) of dense stereo maps of more than 30,000 depth points could be obtained considering 2 Mpix images, with a minimum initial latency. The implementation of computer vision algorithms on reconfigurable hardware, explicitly low level processing, opens up the prospect of its use in autonomous systems, and they can act as a coprocessor to reconstruct 3D images with high density information in real time.

  3. 0.45 v and 18 μA/MHz MCU SOC with Advanced Adaptive Dynamic Voltage Control (ADVC

    Directory of Open Access Journals (Sweden)

    Uzi Zangi

    2018-05-01

    Full Text Available An ultra-low-power MicroController Unit System-on-Chip (MCU SOC is described with integrated DC to DC power management and Adaptive Dynamic Voltage Control (ADVC mechanism. The SOC, designed and fabricated in a 40 nm ULP standard CMOS technology, includes the complete Synopsys ARC EM5D core MCU, featuring a full set of DSP instructions and minimizing energy consumption at a wide range of frequencies: 312 K–80 MHz. A number of unique low voltage digital libraries, comprising of approximately 300 logic cells and sequential elements, were used for the MCU SOC design. On-die silicon sensors were utilized to continuously change the operating voltage to optimize power/performance for a given frequency and environmental conditions, and also to resolve yield and life time problems, while operating at low voltages. A First Fail (FFail mechanism, which can be digitally and linearly controlled with up to 8 bits, detects the failing SOC voltage at a given frequency. The core operates between 0.45–1.1 V volts with a direct battery connection for an input voltage of 1.6–3.6 V. Measurement results show that the peak energy efficiency is 18μW/MHz. A comparison to state-of-the-art commercial SOCs is presented, showing a 3–5× improved current/DMIPS (Dhrystone Million Instructions per second compared to the next best chip.

  4. Research on the Dividend Policy of Listed Company and Fluctuation Effect of Stock Market

    Directory of Open Access Journals (Sweden)

    Wang Hua

    2015-01-01

    Full Text Available Based on the dividend policy and theory, this article takes the events that A stock of all the listed banking companies (16 in total issued dividend in the CSI stock market for 5 years from 2008 to 2012 as the investigation samples to inspect the relevance of dividend policy with the fluctuation of stock price and transaction volume, thus to prove the dividend policy is an efficient mechanism for information transmission.

  5. A new circuit for at-speed scan SoC testing

    International Nuclear Information System (INIS)

    Lin Wei; Shi Wenlong

    2013-01-01

    It is very important to detect transition-delay faults and stuck-at faults in system on chip (SoC) under 90 nm processing technology, and the transition-delay faults can only be detected by using an at-speed testing method. In this paper, an on-chip clock (OCC) controller with a bypass function based on an internal phase-locked loop is designed to test faults in SoC. Furthermore, a clock chain logic which can eliminate the metastable state is realized to generate an enable signal for the OCC controller, and then, the test pattern is generated by automatic test pattern generation (ATPG) tools. Next, the scan test pattern is simulated by using the Synopsys tool and the correctness of the design is verified. The result shows that the design of an at-speed scan test in this paper is highly efficient for detecting timing-related defects. Finally, the 89.29% transition-delay fault coverage and the 94.50% stuck-at fault coverage are achieved, and it is successfully applied to an integrated circuit design. (semiconductor integrated circuits)

  6. Stock Market Project.

    Science.gov (United States)

    Distel, Brenda D.

    This project is designed to teach students the process of buying stocks and to tracking their investments over the course of a semester. The goals of the course are to teach students about the relationships between conditions in the economy and the stock market; to predict the effect of an economic event on a specific stock or industry; to relate…

  7. Corporate campaign contributions and abnormal stock returns after presidential elections

    OpenAIRE

    Juergen Huber; Michael Kirchler

    2008-01-01

    In the U.S. campaign contributions by companies play a major role in financing election campaigns. We analyze contributions by companies before an election and stock market performance after the election for the presidential elections from 1992 until 2004. We find that (i) the percentage of contributions given to the winner in a presidential election and (ii) the total contribution (divided by market capitalization) have a significant positive impact on a company's stock market performance af...

  8. Implementation of QoSS (Quality-of-Security Service) for NoC-Based SoC Protection

    Science.gov (United States)

    Sepúlveda, Johanna; Pires, Ricardo; Strum, Marius; Chau, Wang Jiang

    Many of the current electronic systems embedded in a SoC (System-on-Chip) are used to capture, store, manipulate and access critical data, as well as to perform other key functions. In such a scenario, security is considered as an important issue. The Network-on-chip (NoC), as the foreseen communication structure of next-generation SoC devices, can be used to efficiently incorporate security. Our work proposes the implementation of QoSS (Quality of Security Service) to overcome present SoC vulnerabilities. QoSS is a novel concept for data protection that introduces security as a dimension of QoS. In this paper, we present the implementation of two security services (access control and authentication), that may be configured to assume one from several possible levels, the implementation of a technique to avoid denial-of-service (DoS) attacks, evaluate their effectiveness and estimate their impact on NoC performance.

  9. Global socioeconomic carbon stocks in long-lived products 1900-2008

    Science.gov (United States)

    Lauk, Christian; Haberl, Helmut; Erb, Karl-Heinz; Gingrich, Simone; Krausmann, Fridolin

    2012-09-01

    A better understanding of the global carbon cycle as well as of climate change mitigation options such as carbon sequestration requires the quantification of natural and socioeconomic stocks and flows of carbon. A so-far under-researched aspect of the global carbon budget is the accumulation of carbon in long-lived products such as buildings and furniture. We present a comprehensive assessment of global socioeconomic carbon stocks and the corresponding in- and outflows during the period 1900-2008. These data allowed calculation of the annual carbon sink in socioeconomic stocks during this period. The study covers the most important socioeconomic carbon fractions, i.e. wood, bitumen, plastic and cereals. Our assessment was mainly based on production and consumption data for plastic, bitumen and wood products and the respective fractions remaining in stocks in any given year. Global socioeconomic carbon stocks were 2.3 GtC in 1900 and increased to 11.5 GtC in 2008. The share of wood in total C stocks fell from 97% in 1900 to 60% in 2008, while the shares of plastic and bitumen increased to 16% and 22%, respectively. The rate of gross carbon sequestration in socioeconomic stocks increased from 17 MtC yr-1 in 1900 to a maximum of 247 MtC yr-1 in 2007, corresponding to 2.2%-3.4% of global fossil-fuel-related carbon emissions. We conclude that while socioeconomic carbon stocks are not negligible, their growth over time is not a major climate change mitigation option and there is an only modest potential to mitigate climate change by the increase of socioeconomic carbon stocks.

  10. Increasing SoC Dependability via Known Good Tile NoC Testing

    NARCIS (Netherlands)

    Kerkhoff, Hans G.; Kuiken, O.J.; Zhang, X.

    2008-01-01

    Advanced CMOS technology possibilities, power, communication and flexibility issues as well as the design gap are directing System-on-Chip (SoC) platforms towards Network-on-Chip (NoC) interconnected identical processing tiles (PT) such as the Montium processor [1]. It is broadly acknowledged that

  11. ORGANIC ACIDS CONCENTRATION IN WINE STOCKS AFTER Saccharomyces cerevisiae FERMENTATION

    Directory of Open Access Journals (Sweden)

    V. N. Bayraktar

    2013-04-01

    Full Text Available The biochemical constituents in wine stocks that influence the flavor and quality of wine are investigated in the paper. The tested parameters consist of volume fraction of ethanol, residual sugar, phenolic compounds, tartaric, malic, citric, lactic, acetic acids, titratable acidity and volatile acids. The wine stocks that were received from white and red grape varieties Tairov`s selection were tested. There was a correlation between titratable acidity and volatile acids in the wine stocks from white and red grape varieties. High correlation was also found between lactic and acetic acids, between volatile acids, acetic acid and sugar. It was determined that wine stocks with a high concentration of ethanol originated from those yeast strains of Saccharomyces cerevisiae, in a fermented grape must of high speed of enzyme activity. The taste of wine stocks correlated with the ratio of tartaric to malic acid. Analysis showed significant differences between the varieties of white and red wine stocks in concentrations of organic acids, phenolic compounds, residual sugar, and volume fraction of ethanol. Positive correlation was indicated for both studied groups for volatile acids and acetic acid, tartaric, malic, lactic acids and total sugar. Prospective yeast cultures with high productivity of alcohol (ethanol were selected for winemaking biotechnology.

  12. Effect of compression therapy on knee swelling and pain after total knee arthroplasty

    DEFF Research Database (Denmark)

    Munk, Stig; Jensen, Niels J. F.; Andersen, Ida Bøgh

    2013-01-01

    PURPOSE: Knee swelling after total knee arthroplasty may impair postoperative mobilisation and training, and as medical elastic compression stockings are well tolerated and effective to prevent oedema, haematoma and postoperative pain after venous surgery, we wanted to study whether this effect...... could be transferred to total knee arthroplasty surgery reducing postoperative swelling and pain and thereby facilitating mobilisation and improving patient-reported knee function. METHODS: In a randomised controlled study, 88 patients were randomised to use either a medical elastic compression stocking...... or no stocking from the first postoperative day and the following 4 weeks after total knee arthroplasty. Outcome measures were knee, calf and ankle swelling, knee flexion, pain and patient-reported knee function. RESULTS: Seventy per cent of the swelling had occurred before application of the stocking the day...

  13. Using SoC Online Correction Method Based on Parameter Identification to Optimize the Operation Range of NI-MH Battery for Electric Boat

    Directory of Open Access Journals (Sweden)

    Bumin Meng

    2018-03-01

    Full Text Available This paper discusses a design of a Battery Management System (BMS solution for extending the life of Nickel-Metal Hydride (NI-MH battery. Combined with application of electric boat, a State of Charge (SoC optimal operation range control method based on high precision energy metering and online SoC correction is proposed. Firstly, a power metering scheme is introduced to reduce the original energy measurement error. Secondly, by establishing a model based parameter identification method and combining with Extended Kalman Filter (EKF method, the estimation accuracy of SoC is guaranteed. Finally, SoC optimal operation range control method is presented to make battery running in the optimal range. After two years of operation, the battery managed by proposed method has much better status, compared to batteries that use AH integral method and fixed SoC operating range. Considering the SoC estimation of NI-MH battery is more difficult becausing special electrical characteristics, proposed method also would have a very good reference value for other types of battery management.

  14. Stock-market efficiency in thin-trading markets : the case of the Vietnamese stock market

    NARCIS (Netherlands)

    Truong Dong Loc, [No Value; Lanjouw, Ger; Lensink, Robert

    2010-01-01

    This article reviews developments in the Stock Trading Centre (STC) in Ho Chi Minh City, Vietnam, the main stock market in the country, since its start in 2000. It presents information about developments in the number of stocks traded, trading activity and stock-price developments. This article

  15. Stock Market Efficiency in Thin Trading Markets: The Case of the Vietnamese Stock Market

    NARCIS (Netherlands)

    Dong Loc, T.; Lanjouw, G.; Lensink, B.W.

    2010-01-01

    This article reviews developments in the Stock Trading Centre (STC) in Ho Chi Minh City, Vietnam, the main stock market in the country, since its start in 2000. It presents information about developments in the number of stocks traded, trading activity and stock-price developments. This article

  16. Proving the Relation between Stock and Interbank Markets: The Bahrain Stock Exchange

    OpenAIRE

    Matveev, Aleksandr

    2014-01-01

    The present paper deals with further analysis of the relationship between the interbank loan rateon the one hand and the volume of investment and the amount of stocks tradable on the stock exchange on the other hand, as corroborated by calculations performed on Bahrain Stock Exchange data.

  17. Growing stock and woody biomass assessment in Asola-Bhatti Wildlife Sanctuary, Delhi, India.

    Science.gov (United States)

    Kushwaha, S P S; Nandy, S; Gupta, Mohini

    2014-09-01

    Biomass is an important entity to understand the capacity of an ecosystem to sequester and accumulate carbon over time. The present study, done in collaboration with the Delhi Forest Department, focused on the estimation of growing stock and the woody biomass in the so-called lungs of Delhi--the Asola-Bhatti Wildlife Sanctuary in northern Aravalli hills. The satellite-derived vegetation strata were field-inventoried using stratified random sampling procedure. Growing stock was calculated for the individual sample plots using field data and species-specific volume equations. Biomass was estimated from the growing stock and the specific gravity of the wood. Among the four vegetation types, viz. Prosopis juliflora, Anogeissus pendula, forest plantation and the scrub, the P. juliflora was found to be the dominant vegetation in the area, covering 23.43 km(2) of the total area. The study revealed that P. juliflora forest with moderate density had the highest (10.7 m(3)/ha) while A. pendula forest with moderate density had the lowest (3.6 m(3)/ha) mean volume. The mean woody biomass was also found to be maximum in P. juliflora forest with moderate density (10.3 t/ha) and lowest in A. pendula forest with moderate density (3.48 t/ha). The total growing stock was estimated to be 20,772.95 m(3) while total biomass worked out to be 19,366.83 t. A strong correlation was noticed between the normalized difference vegetation index (NDVI) and the growing stock (R(2) = 0.84)/biomass (R(2) = 0.88). The study demonstrated that growing stock and the biomass of the woody vegetation in Asola-Bhatti Wildlife Sanctuary could be estimated with high accuracy using optical remote sensing data.

  18. Hot money and China's stock market volatility: Further evidence using the GARCH-MIDAS model

    Science.gov (United States)

    Wei, Yu; Yu, Qianwen; Liu, Jing; Cao, Yang

    2018-02-01

    This paper investigates the influence of hot money on the return and volatility of the Chinese stock market using a nonlinear Granger causality test and a new GARCH-class model based on mixed data sampling regression (GARCH-MIDAS). The empirical results suggest that no linear or nonlinear causality exists between the growth rate of hot money and the Chinese stock market return, implying that the Chinese stock market is not driven by hot money and vice versa. However, hot money has a significant positive impact on the long-term volatility of the Chinese stock market. Furthermore, the dependence between the long-term volatility caused by hot money and the total volatility of the Chinese stock market is time-variant, indicating that huge volatilities in the stock market are not always triggered by international speculation capital flow and that Chinese authorities should further focus on more systemic reforms in the trading rules and on effectively regulating the stock market.

  19. Influence of stocking, site quality, stand age, low-severity canopy disturbance, and forest composition on sub-boreal aspen mixedwood carbon stocks

    Science.gov (United States)

    Reinikainen, Michael; D’Amato, Anthony W.; Bradford, John B.; Fraver, Shawn

    2014-01-01

    Low-severity canopy disturbance presumably influences forest carbon dynamics during the course of stand development, yet the topic has received relatively little attention. This is surprising because of the frequent occurrence of such events and the potential for both the severity and frequency of disturbances to increase as a result of climate change. We investigated the impacts of low-severity canopy disturbance and average insect defoliation on forest carbon stocks and rates of carbon sequestration in mature aspen mixedwood forests of varying stand age (ranging from 61 to 85 years), overstory composition, stocking level, and site quality. Stocking level and site quality positively affected the average annual aboveground tree carbon increment (CAAI), while stocking level, site quality, and stand age positively affected tree carbon stocks (CTREE) and total ecosystem carbon stocks (CTOTAL). Cumulative canopy disturbance (DIST) was reconstructed using dendroecological methods over a 29-year period. DIST was negatively and significantly related to soil carbon (CSOIL), and it was negatively, albeit marginally, related to CTOTAL. Minima in the annual aboveground carbon increment of trees (CAI) occurred at sites during defoliation of aspen (Populus tremuloides Michx.) by forest tent caterpillar (Malacosoma disstria Hubner), and minima were more extreme at sites dominated by trembling aspen than sites mixed with conifers. At sites defoliated by forest tent caterpillar in the early 2000s, increased sequestration by the softwood component (Abies balsamea (L.) Mill. and Picea glauca (Moench) Voss) compensated for overall decreases in CAI by 17% on average. These results underscore the importance of accounting for low-severity canopy disturbance events when developing regional forest carbon models and argue for the restoration and maintenance of historically important conifer species within aspen mixedwoods to enhance stand-level resilience to disturbance agents and maintain

  20. Stock Indices as Generalizing Indicators of the Stock Markets Condition in the European Union Countries

    Directory of Open Access Journals (Sweden)

    Shuba M. V.

    2015-03-01

    Full Text Available The aim of the article is to determine the degree of interdependence of stock markets in separate countries of the European Union, namely: France, Germany, Great Britain, Poland, the Czech Republic and Hungary on the basis of studying the changes in stock indexes, as well as determining the existence of tendencies of approximating the dynamics of the national stock index «PFTS Index» to the corresponding dynamics of stock indexes in surveyed countries. The article analyzes the dynamics of changes in stock indices in the UK (FTSE, Germany (DAX 30, France (CAC 40 and pan-European ones (EURO STOXX 50, as well as changes in stock indices in Poland (WIG 20, Czech Republic (PX, Hungary (BUX. Calculations of the coefficients of pair correlation between changes in stock indices in the studied countries have been performed. The calculation results show a substantial connection between the indicators of changes in stock indices and allow to make a conclusion that in the dynamics of stock indices of national stock markets of the studied EU countries some common trends are observed, moreover, in the behavior of the considered indices common local trends are noticed as well. The author calculated the coefficient of pair correlation between the indicators of changes in the national stock index «PFTS Index» and the stock indices of the «old» and «new» EU countries. The calculations showed that the PFTS Index does not demonstrate a high level of correlation with stock indices of the «old» EU countries and has a tendency of approaching the corresponding dynamics of stock indices of the «new» EU countries.

  1. Dynamic Model for the Stocks and Release Flows of Engineered Nanomaterials.

    Science.gov (United States)

    Song, Runsheng; Qin, Yuwei; Suh, Sangwon; Keller, Arturo A

    2017-11-07

    Most existing life-cycle release models for engineered nanomaterials (ENM) are static, ignoring the dynamics of stock and flows of ENMs. Our model, nanoRelease, estimates the annual releases of ENMs from manufacturing, use, and disposal of a product explicitly taking stock and flow dynamics into account. Given the variabilities in key parameters (e.g., service life of products and annual release rate during use) nanoRelease is designed as a stochastic model. We apply nanoRelease to three ENMs (TiO 2 , SiO 2 and FeO x ) used in paints and coatings through seven product applications, including construction and building, household and furniture, and automotive for the period from 2000 to 2020 using production volume and market projection information. We also consider model uncertainties using Monte Carlo simulation. Compared with 2016, the total annual releases of ENMs in 2020 will increase by 34-40%, and the stock will increase by 28-34%. The fraction of the end-of-life release among total release flows will increase from 11% in 2002 to 43% in 2020. As compared to static models, our dynamic model predicts about an order of magnitude lower values for the amount of ENM released from this sector in the near-term while stock continues to build up in the system.

  2. Stock Photographs Do Not Comply With Infant Safe Sleep Guidelines.

    Science.gov (United States)

    Goodstein, Michael H; Lagon, Elena; Bell, Theodore; Joyner, Brandi L; Moon, Rachel Y

    2018-04-01

    We evaluated images in popular stock photography websites for adherence with American Academy of Pediatrics (AAP) guidelines for safe infant sleep practices. Three top stock photo websites were used to collect photographs generated from key phrases. All images depicting an infant sleep environment were analyzed for consistency with AAP guidelines. Descriptive statistics, chi-square and z test of proportions, were conducted. A total of 1233 of 1947 stock photographs showed sleeping infants on a flat surface. In all, 627 (50.8%) photographs showed the infant in the supine position and 79 (5%) of all infant sleep environments were adherent with AAP recommendations. Bedding inconsistent with safe sleep recommendations was identified in 1133 images (71.3%), with blankets noted in 49.5%. Images depicting sleeping infants on stock photography sites do not routinely adhere to AAP recommendations. Media messages inconsistent with health care messages create confusion and misinformation about infant sleep safety and may lead inadvertently to unsafe practices.

  3. A Network-Based Dynamic Analysis in an Equity Stock Market

    Directory of Open Access Journals (Sweden)

    Juan Eberhard

    2017-01-01

    Full Text Available We study how changes in the structure of a brokers’ transaction network affect the probability with which the returns and volume of the traded financial assets change significantly. We analyze how the dynamics of the brokers’ transaction network are associated with the returns and volume observed in the Chilean stock market. To do this, we construct and validate an index that synthesizes the daily changes of the brokers’ transaction network structure of equity market transactions. We find that the changes of this structure are significantly correlated with variables that describe the local and international economic-financial environments. In addition, changes in the brokers’ transaction network structure are associated with a greater probability of positive shocks of more than two standard deviations in the stock exchange index return and total traded stock volume. These results suggest that the structure of the brokers’ trading relations plays a role in determining the returns and volume of transactions in the Chilean stock market.

  4. Optimising stocking rate and grazing management to enhance environmental and production outcomes for native temperate grasslands

    Science.gov (United States)

    Badgery, Warwick; Zhang, Yingjun; Huang, Ding; Broadfoot, Kim; Kemp, David; Mitchell, David

    2015-04-01

    lamb/ha), because individual animal performance was greater for continuous grazing than higher intensity grazing systems (4-Paddock and 20-Paddock). Differences in SOC, CO2 flux and erosion were determined by landscape position rather than grazing treatment. To remove the confounding influences of stocking rate and grazing management, the Ausfarm biophysical model, calibrated to the experimental treatments, examined the interaction between grazing management and stocking rates. Ground cover and profitability were similar between grazing systems at lower stocking rates (3 ewes per ha), but continuous grazing had higher profitability and lower ground cover above the optimum stocking rate of 4 ewes per ha. The findings of these two studies suggest that optimising stocking rate is more important than grazing management for a sustainable and profitable grazing system. Grazing management can further enhance environmental outcomes for an optimal stocking rate, but the findings from the Chinese study particularly highlight the need to look at multiple ecosystem services, when optimising systems. The Australian study also suggests the optimum stocking rate is dependent on the intensity of grazing management. Further work is required to understand the influence of landscape on grassland production and how stocking rates and grazing management can be sustainably optimised for different landscape areas to utilise this variation more effectively.

  5. Long-term no-till and stover retention each decrease the global warming potential of irrigated continuous corn.

    Science.gov (United States)

    Jin, Virginia L; Schmer, Marty R; Stewart, Catherine E; Sindelar, Aaron J; Varvel, Gary E; Wienhold, Brian J

    2017-07-01

    Over the last 50 years, the most increase in cultivated land area globally has been due to a doubling of irrigated land. Long-term agronomic management impacts on soil organic carbon (SOC) stocks, soil greenhouse gas (GHG) emissions, and global warming potential (GWP) in irrigated systems, however, remain relatively unknown. Here, residue and tillage management effects were quantified by measuring soil nitrous oxide (N 2 O) and methane (CH 4 ) fluxes and SOC changes (ΔSOC) at a long-term, irrigated continuous corn (Zea mays L.) system in eastern Nebraska, United States. Management treatments began in 2002, and measured treatments included no or high stover removal (0 or 6.8 Mg DM ha -1  yr -1 , respectively) under no-till (NT) or conventional disk tillage (CT) with full irrigation (n = 4). Soil N 2 O and CH 4 fluxes were measured for five crop-years (2011-2015), and ΔSOC was determined on an equivalent mass basis to ~30 cm soil depth. Both area- and yield-scaled soil N 2 O emissions were greater with stover retention compared to removal and for CT compared to NT, with no interaction between stover and tillage practices. Methane comprised <1% of total emissions, with NT being CH 4 neutral and CT a CH 4 source. Surface SOC decreased with stover removal and with CT after 14 years of management. When ΔSOC, soil GHG emissions, and agronomic energy usage were used to calculate system GWP, all management systems were net GHG sources. Conservation practices (NT, stover retention) each decreased system GWP compared to conventional practices (CT, stover removal), but pairing conservation practices conferred no additional mitigation benefit. Although cropping system, management equipment/timing/history, soil type, location, weather, and the depth to which ΔSOC is measured affect the GWP outcomes of irrigated systems at large, this long-term irrigated study provides valuable empirical evidence of how management decisions can impact soil GHG emissions and surface

  6. Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Daqing [Department of Respiration, Xi’an Children’s Hospital, Xi’an 710003 (China); Wang, Jing [Department of Neonatology, Xi’an Children’s Hospital, Xi’an 710003 (China); Yang, Niandi [Outpatient Department, School of Aerospace Engineering, Air Force Engineering University, Xi’an 710038 (China); Ma, Haixin, E-mail: drhaixinma@163.com [Department of Quality Control, Xi’an Children’s Hospital, Xi’an 710003 (China)

    2016-08-12

    Matrine has been demonstrated to attenuate allergic airway inflammation. Elevated suppressor of cytokine signaling 3 (SOCS3) was correlated with the severity of asthma. The aim of this study was to investigate the effect of matrine on SOCS3 expression in airway inflammation. In this study, we found that matrine significantly inhibited OVA-induced AHR, inflammatory cell infiltration, goblet cell differentiation, and mucous production in a dose-dependent manner in mice. Matrine also abrogated the level of interleukin (IL)-4 and IL-13, but enhanced interferon (IFN)-γ expression, both in BALF and in lung homogenates. Furthermore, matrine impeded TNF-α-induced the expression of IL-6 and adhesion molecules in airway epithelial cells (BEAS-2B and MLE-12). Additionally, we found that matrine inhibited SOCS3 expression, both in asthmatic mice and TNF-α-stimulated epithelial cells via suppression of the NF-κB signaling pathway by using pcDNA3.1-SOCS3 plasmid, SOCS3 siRNA, or nuclear factor kappa-B (NF-κB) inhibitor PDTC. Conclusions: Matrine suppresses airway inflammation by downregulating SOCS3 expression via inhibition of NF-κB signaling in airway epithelial cells and asthmatic mice. - Highlights: • Matrine attenuates asthmatic symptoms and regulates Th1/Th2 balance in vivo. • Matrine suppresses inflammation responses in vitro. • Matrine decreases SOCS3 expression both in vivo and in vitro. • Matrine inhibits SOCS3 expression by suppressing NF-κB signaling.

  7. Modeling of SOC-700 Hyperspectral Imagery with the CAMEO-SIM Code

    Science.gov (United States)

    2007-10-26

    Yannick, 2001, “SOC-700 and HS-Analysis 2 User’s Manual”, Surface Optics, San Diego [2] Cohen, Michael F. and Wallace, John R., 1993, “ Radiosity ...and Realistic Image Synthesis”, Academic Press, San Francisco [3] Sillion, Francois X. and Puech, Claude, 1994, “ Radiosity and Global Illumination

  8. Methylation of SOCS3 is inversely associated with metabolic syndrome in an epigenome-wide association study of obesity.

    Science.gov (United States)

    Ali, Omar; Cerjak, Diana; Kent, Jack W; James, Roland; Blangero, John; Carless, Melanie A; Zhang, Yi

    2016-09-01

    Epigenetic mechanisms, including DNA methylation, mediate the interaction between gene and environment and may play an important role in the obesity epidemic. We assessed the relationship between DNA methylation and obesity in peripheral blood mononuclear cells (PBMCs) at 485,000 CpG sites across the genome in family members (8-90 y of age) using a discovery cohort (192 individuals) and a validation cohort (1,052 individuals) of Northern European ancestry. After Bonferroni-correction (P α=0.05 = 1.31 × 10 -7 ) for genome-wide significance, we identified 3 loci, cg18181703 (SOCS3), cg04502490 (ZNF771), and cg02988947 (LIMD2), where methylation status was associated with body mass index percentile (BMI%), a clinical index for obesity in children, adolescents, and adults. These sites were also associated with multiple metabolic syndrome (MetS) traits, including central obesity, fat depots, insulin responsiveness, and plasma lipids. The SOCS3 methylation locus was also associated with the clinical definition of MetS. In the validation cohort, SOCS3 methylation status was found to be inversely associated with BMI% (P = 1.75 × 10 -6 ), waist to height ratio (P = 4.18 × 10 -7 ), triglycerides (P = 4.01 × 10 -4 ), and MetS (P = 4.01 × 10 -7 ), and positively correlated with HDL-c (P = 4.57 × 10 -8 ). Functional analysis in a sub cohort (333 individuals) demonstrated SOCS3 methylation and gene expression in PBMCs were inversely correlated (P = 2.93 × 10 -4 ) and expression of SOCS3 was positively correlated with status of MetS (P = 0.012). We conclude that epigenetic modulation of SOCS3, a gene involved in leptin and insulin signaling, may play an important role in obesity and MetS.

  9. Macroaggregation and soil organic carbon restoration in a highly weathered Brazilian Oxisol after two decades under no-till.

    Science.gov (United States)

    de Oliveira Ferreira, Ademir; de Moraes Sá, João Carlos; Lal, Rattan; Tivet, Florent; Briedis, Clever; Inagaki, Thiago Massao; Gonçalves, Daniel Ruiz Potma; Romaniw, Jucimare

    2018-04-15

    Conclusions based on studies of the impacts of soil organic carbon (SOC) fractions and soil texture on macroaggregation and SOC stabilization in long-term (>20years) no-till (NT) fields remain debatable. This study was based on the hypothesis that the amount and frequency of biomass-C input associated with NT can be a pathway to formation of macroaggregates and to SOC buildup. The objectives were to: 1) assess the macroaggregate distribution (proportional mass, class mass) and the SOC and particulate organic carbon (POC) stocks of extra-large (8-19mm), large (2-8mm) and small (0.25-2mm) macroaggregate size classes managed for two decades by NT, and 2) assess the recovery of SOC stocks in extra-large macroaggregates compared to adjacent native vegetation (Andropogon sp., Aristida sp., Paspalum sp., and Panicum sp.). The crop rotation systems were: soybean (Glycine max L.), maize (Zea mays L.) and beans (Phaseolus vulgaris L.) in summer; and black oat (Avena strigosa Schreb), white oat (Avena sativa), vetch (Vicia sativa L.), black oat.+vetch (Avena strigosa Schreb+vetch) and wheat (Triticum aestivum L.) in winter. The experimental was laid out as 2×2 randomized block factorial with 12 replicates of a NT experiment established in 1997 on two highly weathered Oxisols. The factors comprised of: (a) two soil textural types: clay loam and sandy clay, and (b) two sampling depths: 0-5 and 5-20cm. The three classes of macroaggregates were obtained by wet sieving, and the SOC content was determined by the dry combustion method. The extra-large macroaggregate classes in 0-20cm depth for sandy clay (SdC) and clay loam (CL) Oxisol represented 75.2 and 72.4% of proportional mass, respectively. The SOC and POC stocks among macroaggregate classes in 0-5 and 5-20cm depths decreased in the order: 8-19mm>2-8mm ≈ 0.25-2mm. The SdC plots under soybean/maize at 3:1 ratio recovered 58.3%, while those at 1:1 ratio (high maize frequency) in CL recovered 73.1% of SOC stock in the extra

  10. A configurable and low-power mixed signal SoC for portable ECG monitoring applications.

    Science.gov (United States)

    Kim, Hyejung; Kim, Sunyoung; Van Helleputte, Nick; Artes, Antonio; Konijnenburg, Mario; Huisken, Jos; Van Hoof, Chris; Yazicioglu, Refet Firat

    2014-04-01

    This paper describes a mixed-signal ECG System-on-Chip (SoC) that is capable of implementing configurable functionality with low-power consumption for portable ECG monitoring applications. A low-voltage and high performance analog front-end extracts 3-channel ECG signals and single channel electrode-tissue-impedance (ETI) measurement with high signal quality. This can be used to evaluate the quality of the ECG measurement and to filter motion artifacts. A custom digital signal processor consisting of 4-way SIMD processor provides the configurability and advanced functionality like motion artifact removal and R peak detection. A built-in 12-bit analog-to-digital converter (ADC) is capable of adaptive sampling achieving a compression ratio of up to 7, and loop buffer integration reduces the power consumption for on-chip memory access. The SoC is implemented in 0.18 μm CMOS process and consumes 32 μ W from a 1.2 V while heart beat detection application is running, and integrated in a wireless ECG monitoring system with Bluetooth protocol. Thanks to the ECG SoC, the overall system power consumption can be reduced significantly.

  11. Stocks as Money: Convenience Yield and the Tech-Stock Bubble

    OpenAIRE

    John H. Cochrane

    2002-01-01

    What caused the rise and fall of tech stocks? I argue that a mechanism much like the transactions demand for money drove many stock prices above the 'fundamental value' they would have had in a frictionless market. I start with the Palm/3Com microcosm and then look at tech stocks in general. High prices are associated with high volume, high volatility, low supply of shares, wide dispersion of opinion, and restrictions on long-term short selling. I review competing theories, and only the conve...

  12. Multifractal in Volatility of Family Business Stocks Listed on Casablanca STOCK Exchange

    Science.gov (United States)

    Lahmiri, Salim

    In this paper, we check for existence of multifractal in volatility of Moroccan family business stock returns and in volatility of Casablanca market index returns based on multifractal detrended fluctuation analysis (MF-DFA) technique. Empirical results show strong evidence of multifractal characteristics in volatility series of both family business stocks and market index. In addition, it is found that small variations in volatility of family business stocks are persistent, whilst small variations in volatility of market index are anti-persistent. However, large variations in family business volatility and market index volatility are both anti-persistent. Furthermore, multifractal spectral analysis based results show strong evidence that volatility in Moroccan family business companies exhibits more multifractality than volatility in the main stock market. These results may provide insightful information for risk managers concerned with family business stocks.

  13. Variation in soil carbon stocks and their determinants across a precipitation gradient in West Africa

    NARCIS (Netherlands)

    Saiz, G.; Bird, M.I.; Domingues, T.F.; Schrodt, F.; Schwartz, M.; Veenendaal, E.M.

    2012-01-01

    We examine the influence of climate, soil properties and vegetation characteristics on soil organic carbon (SOC) along a transect of West African ecosystems sampled across a precipitation gradient on contrasting soil types stretching from Ghana (15°N) to Mali (7°N). Our findings derive from a total

  14. Parametrization consequences of constraining soil organic matter models by total carbon and radiocarbon using long-term field data

    Science.gov (United States)

    Menichetti, Lorenzo; Kätterer, Thomas; Leifeld, Jens

    2016-05-01

    Soil organic carbon (SOC) dynamics result from different interacting processes and controls on spatial scales from sub-aggregate to pedon to the whole ecosystem. These complex dynamics are translated into models as abundant degrees of freedom. This high number of not directly measurable variables and, on the other hand, very limited data at disposal result in equifinality and parameter uncertainty. Carbon radioisotope measurements are a proxy for SOC age both at annual to decadal (bomb peak based) and centennial to millennial timescales (radio decay based), and thus can be used in addition to total organic C for constraining SOC models. By considering this additional information, uncertainties in model structure and parameters may be reduced. To test this hypothesis we studied SOC dynamics and their defining kinetic parameters in the Zürich Organic Fertilization Experiment (ZOFE) experiment, a > 60-year-old controlled cropland experiment in Switzerland, by utilizing SOC and SO14C time series. To represent different processes we applied five model structures, all stemming from a simple mother model (Introductory Carbon Balance Model - ICBM): (I) two decomposing pools, (II) an inert pool added, (III) three decomposing pools, (IV) two decomposing pools with a substrate control feedback on decomposition, (V) as IV but with also an inert pool. These structures were extended to explicitly represent total SOC and 14C pools. The use of different model structures allowed us to explore model structural uncertainty and the impact of 14C on kinetic parameters. We considered parameter uncertainty by calibrating in a formal Bayesian framework. By varying the relative importance of total SOC and SO14C data in the calibration, we could quantify the effect of the information from these two data streams on estimated model parameters. The weighing of the two data streams was crucial for determining model outcomes, and we suggest including it in future modeling efforts whenever SO14C

  15. Standardizing commercial CPUE data in monitoring stock dynamics: Accounting for targeting behaviour in mixed fisheries

    NARCIS (Netherlands)

    Quirijns, F.J.; Poos, J.J.; Rijnsdorp, A.D.

    2008-01-01

    Catch per unit effort (CPUE) is commonly used as an indicator for monitoring developments in stock size. To ensure proportionality between average CPUE and total stock size, two processes that should be accounted for are the degree of targeting behaviour of the fleet and the management-induced

  16. Pengaruh Variasi Jumlah Tembakan Nanosecond Pulsed Electric Fields (Nspefs Terhadap Ekspresi Gen Socs3 pada Sel Kanker Serviks Hela S3

    Directory of Open Access Journals (Sweden)

    Martina Kurnia Rohmah

    2017-12-01

    Abstract Nanosecond Pulsed Electric Fields (NsPEFs is bioelectric that was developed by electroporation technology. NsPEFs use high intensity in short time exposure (1 – 300 nanosecond. NsPEFs have biological effect and was developed in cancer therapy. In cervical cancer, viral protein of HPV depresses some tumor suppressors like Socs3 gene. This research aims to investigate the effect of short variation in Socs3 gene expression. HeLa S3 cells were cultured in α-MEM with FBS 10%. NsPEFs as much as 20 kV/cm and 80 nano seconds was exposure over HeLa S3 cell in 4 mm cuvette. Wave of NsPEFs was detected by high voltage probe in oscilloscope. NsPEFs was exposure at 0 (control, 5, 10, 20, 30, 40, 50, and 60 shots. Socs3 gene expression was analyzed using real time PCR and RT-PCR. Quantitative data was analyzed by Kolmogorov-Smirnov, Anova, and HSD Tuker (p<0.05. This research show that NsPEFs is significantly increase Socs3 gene expression (p=0.000. The optimal shot 20 and 30 shots increase Socs3 gene expression subsequently = 2.779 and = 3.105 times. This expression decrease in higher than 30 shots of NsPEFs exposure.   Keywords: NsPEFs, shot, expression, Socs3

  17. Spatial pattern of soil organic carbon and total nitrogen, and analysis of related factors in an agro-pastoral zone in Northern China

    Science.gov (United States)

    Wang, Xuyang; Chen, Yinping; Lian, Jie; Luo, Yongqing; Niu, Yayi; Gong, Xiangwen

    2018-01-01

    The spatial pattern of soil organic carbon (SOC) and total nitrogen (TN) densities plays a profound important role in estimating carbon and nitrogen budgets. Naiman Banner located in northern China was chosen as research site, a total of 332 soil samples were taken in a depth of 100 cm from the low hilly land in the southern part, sandy land in the middle part and an alluvial plain in the northern part of the county. The results showed that SOC and TN density initially decreased and then increased from the north to the south, The highest densities, were generally in the south, with the lowest generally in the middle part. The SOC and TN densities in cropland were significantly greater than those in woodland and grassland in the alluvial plains and for Naiman as a whole. The woodland SOC and TN density were higher than those of grassland in the low hilly land, and higher densities of SOC and TN in grassland than woodland in the sandy land and low hilly land. There were significant differences in SOC and TN densities among the five soil types of Cambisols, Arenosols, Gleysols, Argosols, and Kastanozems. In addition, SOC and TN contents generally decreased with increasing soil depth, but increased below a depth of 40 cm in the Cambisols and became roughly constant at this depth in the Kastanozems. There is considerable potential to sequester carbon and nitrogen in the soil via the conversion of degraded sandy land into woodland and grassland in alluvial plain, and more grassland should be established in sandy land and low hilly land. PMID:29771979

  18. Global socioeconomic carbon stocks in long-lived products 1900–2008

    International Nuclear Information System (INIS)

    Lauk, Christian; Haberl, Helmut; Erb, Karl-Heinz; Gingrich, Simone; Krausmann, Fridolin

    2012-01-01

    A better understanding of the global carbon cycle as well as of climate change mitigation options such as carbon sequestration requires the quantification of natural and socioeconomic stocks and flows of carbon. A so-far under-researched aspect of the global carbon budget is the accumulation of carbon in long-lived products such as buildings and furniture. We present a comprehensive assessment of global socioeconomic carbon stocks and the corresponding in- and outflows during the period 1900–2008. These data allowed calculation of the annual carbon sink in socioeconomic stocks during this period. The study covers the most important socioeconomic carbon fractions, i.e. wood, bitumen, plastic and cereals. Our assessment was mainly based on production and consumption data for plastic, bitumen and wood products and the respective fractions remaining in stocks in any given year. Global socioeconomic carbon stocks were 2.3 GtC in 1900 and increased to 11.5 GtC in 2008. The share of wood in total C stocks fell from 97% in 1900 to 60% in 2008, while the shares of plastic and bitumen increased to 16% and 22%, respectively. The rate of gross carbon sequestration in socioeconomic stocks increased from 17 MtC yr −1 in 1900 to a maximum of 247 MtC yr −1 in 2007, corresponding to 2.2%–3.4% of global fossil-fuel-related carbon emissions. We conclude that while socioeconomic carbon stocks are not negligible, their growth over time is not a major climate change mitigation option and there is an only modest potential to mitigate climate change by the increase of socioeconomic carbon stocks. (letter)

  19. Distribution characteristics of stock market liquidity

    Science.gov (United States)

    Luo, Jiawen; Chen, Langnan; Liu, Hao

    2013-12-01

    We examine the distribution characteristics of stock market liquidity by employing the generalized additive models for location, scale and shape (GAMLSS) model and three-minute frequency data from Chinese stock markets. We find that the BCPE distribution within the GAMLSS framework fits the distributions of stock market liquidity well with the diagnosis test. We also find that the stock market index exhibits a significant impact on the distributions of stock market liquidity. The stock market liquidity usually exhibits a positive skewness, but a normal distribution at a low level of stock market index and a high-peak and fat-tail shape at a high level of stock market index.

  20. Portfolio volatility of Islamic and conventional stock: The case of Indonesia stock market

    Directory of Open Access Journals (Sweden)

    Aldrin Herwany

    2013-12-01

    Full Text Available Conventional finance suggests that the higher the risk of an investment, the higher the return it should give. Nevertheless, whether Islamic stocks that offer alternative investment in the stock market suggest different risk-return relationship still needs to be investigated. This empirical study is aimed at assessing risk-return behavior of Islamic stocks. This study employs cross sectional data of portfolio developed using beta-rank and market capitalization, in which daily data will better reflect the real volatility. This study also measures volatility of both conventional and Islamic stocks using Value-at-Risk (VaR. To check whether Islamic stocks are immune from any impact of financial crisis, this study utilizes three periods of observation, i.e., before, during and after the 2008 crisis. This study assesses risk and return using Multi-index model, in which variables tested are the respective fundamental factors. Results of this study will provide more accurate approach in Islamic stocks analysis.

  1. An overview of online implementable SOC estimation methods for Lithium-ion batteries

    DEFF Research Database (Denmark)

    Jinhao, Meng; Ricco, Mattia; Guangzhao, Luo

    2017-01-01

    With the popularity of Electrical Vehicles (EVs), Lithium-ion battery industry is also developing rapidly. To ensure the battery safety usage and reduce the average lifecycle cost, accurate State Of Charge (SOC) tracking algorithms for real-time implementation are required in different applications...

  2. effect of stocking density on production of clarias gariepinus

    African Journals Online (AJOL)

    DR. AMINU

    Three hundred (300) fish with a total weight of 1.8Kg were stocked at 25, ... Northern part of Nigeria as compared to the Southern ..... North. American Journal of. Aquaculture 63: 201-207. Eroudo, E. S., Nnubai, C. ... functioning of freshwater.

  3. Predicting Soil Organic Carbon and Total Nitrogen in the Russian Chernozem from Depth and Wireless Color Sensor Measurements

    Science.gov (United States)

    Mikhailova, E. A.; Stiglitz, R. Y.; Post, C. J.; Schlautman, M. A.; Sharp, J. L.; Gerard, P. D.

    2017-12-01

    Color sensor technologies offer opportunities for affordable and rapid assessment of soil organic carbon (SOC) and total nitrogen (TN) in the field, but the applicability of these technologies may vary by soil type. The objective of this study was to use an inexpensive color sensor to develop SOC and TN prediction models for the Russian Chernozem (Haplic Chernozem) in the Kursk region of Russia. Twenty-one dried soil samples were analyzed using a Nix Pro™ color sensor that is controlled through a mobile application and Bluetooth to collect CIEL*a*b* (darkness to lightness, green to red, and blue to yellow) color data. Eleven samples were randomly selected to be used to construct prediction models and the remaining ten samples were set aside for cross validation. The root mean squared error (RMSE) was calculated to determine each model's prediction error. The data from the eleven soil samples were used to develop the natural log of SOC (lnSOC) and TN (lnTN) prediction models using depth, L*, a*, and b* for each sample as predictor variables in regression analyses. Resulting residual plots, root mean square errors (RMSE), mean squared prediction error (MSPE) and coefficients of determination ( R 2, adjusted R 2) were used to assess model fit for each of the SOC and total N prediction models. Final models were fit using all soil samples, which included depth and color variables, for lnSOC ( R 2 = 0.987, Adj. R 2 = 0.981, RMSE = 0.003, p-value < 0.001, MSPE = 0.182) and lnTN ( R 2 = 0.980 Adj. R 2 = 0.972, RMSE = 0.004, p-value < 0.001, MSPE = 0.001). Additionally, final models were fit for all soil samples, which included only color variables, for lnSOC ( R 2 = 0.959 Adj. R 2 = 0.949, RMSE = 0.007, p-value < 0.001, MSPE = 0.536) and lnTN ( R 2 = 0.912 Adj. R 2 = 0.890, RMSE = 0.015, p-value < 0.001, MSPE = 0.001). The results suggest that soil color may be used for rapid assessment of SOC and TN in these agriculturally important soils.

  4. STOCK AND STOCK EXCHANGE AS A PART OF FINANCIAL INSTITUTIONS IN DEVELOPED COUNTRIES

    Directory of Open Access Journals (Sweden)

    Vesna Petrović

    2018-01-01

    Full Text Available The authors have tried to present the term, meaning and importance of stocks and stock exchange as a part of the financial system of developed countries. By observing the financial system growth, especially in financial institutions, it can be noticed that there are changes in relative positions of various types of financial agents in developed market industries. What determines financial markets, and by that the stocks and stock exchange is the permanent movement of financial instruments and neglecting the national market boundaries.

  5. CSR and Company's Stock Price. A Comparative Evidence from Bucharest Stock Exchange

    Directory of Open Access Journals (Sweden)

    Adina Dornean

    2017-05-01

    Full Text Available This paper aims at analysing the relationship between Corporate Social Responsibility (CSR and stock price for the companies listed on Bucharest Stock Exchange (BSE in 2015, comparing with the results obtained for 2014. This study investigates the differences in the market stock price (and other market variables, such as dividends and stock return of companies that show CSR compared with those that do not. For this purpose we will use three statistical techniques: discriminant analysis, probit analysis model and logistic regression. There is no significant difference between the prediction ability of the models, in the context in which probit model and logistic regression have and average correct classification of 70.29%, while discriminant analysis records 71.62%. Our analysis highlighted that stock return has a significant impact on CSR activities of a company. Moreover, all discriminants have a positive impact on CSR.

  6. The Stock Market Game: A Simulation of Stock Market Trading. Grades 5-8.

    Science.gov (United States)

    Draze, Dianne

    This guide to a unit on a simulation game about the stock market contains an instructional text and two separate simulations. Through directed lessons and reproducible worksheets, the unit teaches students about business ownership, stock exchanges, benchmarks, commissions, why prices change, the logistics of buying and selling stocks, and how to…

  7. Comparison Study on Two Model-Based Adaptive Algorithms for SOC Estimation of Lithium-Ion Batteries in Electric Vehicles

    Directory of Open Access Journals (Sweden)

    Yong Tian

    2014-12-01

    Full Text Available State of charge (SOC estimation is essential to battery management systems in electric vehicles (EVs to ensure the safe operations of batteries and providing drivers with the remaining range of the EVs. A number of estimation algorithms have been developed to get an accurate SOC value because the SOC cannot be directly measured with sensors and is closely related to various factors, such as ambient temperature, current rate and battery aging. In this paper, two model-based adaptive algorithms, including the adaptive unscented Kalman filter (AUKF and adaptive slide mode observer (ASMO are applied and compared in terms of convergence behavior, tracking accuracy, computational cost and estimation robustness against parameter uncertainties of the battery model in SOC estimation. Two typical driving cycles, including the Dynamic Stress Test (DST and New European Driving Cycle (NEDC are applied to evaluate the performance of the two algorithms. Comparison results show that the AUKF has merits in convergence ability and tracking accuracy with an accurate battery model, while the ASMO has lower computational cost and better estimation robustness against parameter uncertainties of the battery model.

  8. EtherBone - A Network Layer for the Wishbone SoC Bus

    CERN Document Server

    Kreider, M; Lewis, J; Włostowski, T; Serrano, J

    2011-01-01

    Today, there are several System on a Chip (SoC) bus systems. Typically, these buses are confined on-chip and rely on higher level components to communicate with the outside world. Taking these systems a step further, we see the possibility of extending the reach of the SoC bus to remote FPGAs or processors. This leads to the idea of the EtherBone (EB) core, which connects a Wishbone (WB) Ver. 4 Bus via a Gigabit Ethernet based network link to remote peripheral devices. EB acts as a transparent interconnect module towards attached WB Bus devices. Address information and data from one or more WB bus cycles is preceded with a descriptive header and encapsulated in a UDP/IP packet. Because of this standard compliance, EB is able to traverse Wide Area Networks and is therefore not bound to a geographic location. Due to the low level nature of the WB bus, EB provides a sound basis for remote hardware tools like a JTAG debugger, In-System-Programmer (ISP), boundary scan interface or logic analyser module. EB was de...

  9. The ubiquitin ligase Cullin5SOCS2 regulates NDR1/STK38 stability and NF-κB transactivation

    DEFF Research Database (Denmark)

    Paul, Indranil; Batth, Tanveer S; Iglesias-Gato, Diego

    2017-01-01

    SOCS2 is a pleiotropic E3 ligase. Its deficiency is associated with gigantism and organismal lethality upon inflammatory challenge. However, mechanistic understanding of SOCS2 function is dismal due to our unawareness of its protein substrates. We performed a mass spectrometry based proteomic pro...

  10. A Canadian upland forest soil profile and carbon stocks database.

    Science.gov (United States)

    Shaw, Cindy; Hilger, Arlene; Filiatrault, Michelle; Kurz, Werner

    2018-04-01

    "A Canadian upland forest soil profile and carbon stocks database" was compiled in phases over a period of 10 years to address various questions related to modeling upland forest soil carbon in a national forest carbon accounting model. For 3,253 pedons, the SITES table contains estimates for soil organic carbon stocks (Mg/ha) in organic horizons and mineral horizons to a 100-cm depth, soil taxonomy, leading tree species, mean annual temperature, annual precipitation, province or territory, terrestrial ecozone, and latitude and longitude, with an assessment of the quality of information about location. The PROFILES table contains profile data (16,167 records by horizon) used to estimate the carbon stocks that appear in the SITES table, plus additional soil chemical and physical data, where provided by the data source. The exceptions to this are estimates for soil carbon stocks based on Canadian National Forest Inventory data (NFI [2006] in REFERENCES table), where data were collected by depth increment rather than horizon and, therefore, total soil carbon stocks were calculated separately before being entered into the SITES table. Data in the PROFILES table include the carbon stock estimate for each horizon (corrected for coarse fragment content), and the data used to calculate the carbon stock estimate, such as horizon thickness, bulk density, and percent organic carbon. The PROFILES table also contains data, when reported by the source, for percent carbonate carbon, pH, percent total nitrogen, particle size distribution (percent sand, silt, clay), texture class, exchangeable cations, cation and total exchange capacity, and percent Fe and Al. An additional table provides references (REFERENCES table) for the source data. Earlier versions of the database were used to develop national soil carbon modeling categories based on differences in carbon stocks linked to soil taxonomy and to examine the potential of using soil taxonomy and leading tree species to improve

  11. Soil organic carbon dynamics jointly controlled by climate, carbon inputs, soil properties and soil carbon fractions.

    Science.gov (United States)

    Luo, Zhongkui; Feng, Wenting; Luo, Yiqi; Baldock, Jeff; Wang, Enli

    2017-10-01

    Soil organic carbon (SOC) dynamics are regulated by the complex interplay of climatic, edaphic and biotic conditions. However, the interrelation of SOC and these drivers and their potential connection networks are rarely assessed quantitatively. Using observations of SOC dynamics with detailed soil properties from 90 field trials at 28 sites under different agroecosystems across the Australian cropping regions, we investigated the direct and indirect effects of climate, soil properties, carbon (C) inputs and soil C pools (a total of 17 variables) on SOC change rate (r C , Mg C ha -1  yr -1 ). Among these variables, we found that the most influential variables on r C were the average C input amount and annual precipitation, and the total SOC stock at the beginning of the trials. Overall, C inputs (including C input amount and pasture frequency in the crop rotation system) accounted for 27% of the relative influence on r C , followed by climate 25% (including precipitation and temperature), soil C pools 24% (including pool size and composition) and soil properties (such as cation exchange capacity, clay content, bulk density) 24%. Path analysis identified a network of intercorrelations of climate, soil properties, C inputs and soil C pools in determining r C . The direct correlation of r C with climate was significantly weakened if removing the effects of soil properties and C pools, and vice versa. These results reveal the relative importance of climate, soil properties, C inputs and C pools and their complex interconnections in regulating SOC dynamics. Ignorance of the impact of changes in soil properties, C pool composition and C input (quantity and quality) on SOC dynamics is likely one of the main sources of uncertainty in SOC predictions from the process-based SOC models. © 2017 John Wiley & Sons Ltd.

  12. Spatiotemporal models of global soil organic carbon stock to support land degradation assessments at regional and global scales: limitations, challenges and opportunities

    Science.gov (United States)

    Hengl, Tomislav; Heuvelink, Gerard; Sanderman, Jonathan; MacMillan, Robert

    2017-04-01

    There is an increasing interest in fitting and applying spatiotemporal models that can be used to assess and monitor soil organic carbon stocks (SOCS), for example, in support of the '4 pourmille' initiative aiming at soil carbon sequestration towards climate change adaptation and mitigation and UN's Land Degradation Neutrality indicators and similar degradation assessment projects at regional and global scales. The land cover mapping community has already produced several spatiotemporal data sets with global coverage and at relatively fine resolution e.g. USGS MODIS land cover annual maps for period 2000-2014; European Space Agency land cover maps at 300 m resolution for the year 2000, 2005 and 2010; Chinese GlobeLand30 dataset available for years 2000 and 2010; Columbia University's WRI GlobalForestWatch with deforestation maps at 30 m resolution for the period 2000-2016 (Hansen et al. 2013). These data sets can be used for land degradation assessment and scenario testing at global and regional scales (Wei et al 2014). Currently, however, no compatible global spatiotemporal data sets exist on status of soil quality and/or soil health (Powlson et al. 2013). This paper describes an initial effort to devise and evaluate a procedure for mapping spatio-temporal changes in SOC stocks using a complete stack of soil forming factors (climate, relief, land cover, land use, lithology and living organisms) represented mainly through remote sensing based time series of Earth images. For model building we used some 75,000 geo-referenced soil profiles and a stacks space-time covariates (land cover, land use, biomass, climate) at two standard resolutions: (1) 10 km resolution with data available for period 1920-2014 and (2) 1000 m resolution with data available for period 2000-2014. The initial results show that, although it is technically feasible to produce space time estimates of SOCS that demonstrate the procedure, the estimates are relatively uncertain (<45% of variation

  13. Comparative study of total shoulder arthroplasty versus total shoulder surface replacement for glenohumeral osteoarthritis with minimum 2-year follow-up

    NARCIS (Netherlands)

    Kooistra, B.W.; Willems, W.J.H.; Lemmens, E.; Hartel, B.P.; Bekerom, M.P. van den; Deurzen, D.F.P. van

    2017-01-01

    BACKGROUND: Compared with total shoulder arthroplasty (TSA), total shoulder surface replacement (TSSR) may offer the advantage of preservation of bone stock and shorter surgical time, possibly at the expense of glenoid component positioning and increasing lateral glenohumeral offset. We hypothesized

  14. Delayed cementless total hip arthroplasty for neglected dislocation of hip combined with complex acetabular fracture and deficient bone stock

    Directory of Open Access Journals (Sweden)

    Gavaskar Ashok S

    2012-12-01

    Full Text Available 【Abstract】Total hip arthroplasty (THA for an un-treated acetabular fracture is technically challenging and the long-term result is not so favorable. A 45-year-old fe-male patient with untreated column and comminuted poste-rior wall fracture of the acetabulum was treated in our insti-tution by reconstruction of the posterior wall using iliac strut autograft and plate stabilization of the posterior col-umn with cancellous grafting and cementless THA in a single stage. At 3 years’ follow-up, the patient was independently mobile without limb length discrepancy. Radiological evalu-ation showed well integrated components and bone grafts. No evidence of aseptic loosening or osteolysis was found. This report aims to emphasize that bony acetabular recon-struction allows the use of primary hip components, which improves prosthesis longevity and preserves bone stock for a future revision. Key words: Acetabulum; Fractures, bone; Hip dislocation; Arthroplasty, replacement, hip

  15. Soil carbon stocks in Sarawak, Malaysia

    Energy Technology Data Exchange (ETDEWEB)

    Padmanabhan, E., E-mail: Eswaran_padmanabhan@petronas.com.my [Department of Geosciences, Faculty of Geosciences and Petroleum Engineering, Universiti Teknologi PETRONAS, Tronoh, 31750, Perak (Malaysia); Eswaran, H.; Reich, P.F. [USDA-Natural Resources Conservation Service, Washington, DC 20250 (United States)

    2013-11-01

    The relationship between greenhouse gas emission and climate change has led to research to identify and manage the natural sources and sinks of the gases. CO{sub 2}, CH{sub 4}, and N{sub 2}O have an anthropic source and of these CO{sub 2} is the least effective in trapping long wave radiation. Soil carbon sequestration can best be described as a process of removing carbon dioxide from the atmosphere and relocating into soils in a form that is not readily released back into the atmosphere. The purpose of this study is to estimate carbon stocks available under current conditions in Sarawak, Malaysia. SOC estimates are made for a standard depth of 100 cm unless the soil by definition is less than this depth, as in the case of lithic subgroups. Among the mineral soils, Inceptisols tend to generally have the highest carbon contents (about 25 kg m{sup −2} m{sup −1}), while Oxisols and Ultisols rate second (about 10–15 kg m{sup −2} m{sup −1}). The Oxisols store a good amount of carbon because of an appreciable time-frame to sequester carbon and possibly lower decomposition rates for the organic carbon that is found at 1 m depths. Wet soils such as peatlands tend to store significant amounts of carbon. The highest values estimated for such soils are about 114 kg m{sup −2} m{sup −1}. Such appreciable amounts can also be found in the Aquepts. In conclusion, it is pertinent to recognize that degradation of the carbon pool, just like desertification, is a real process and that this irreversible process must be addressed immediately. Therefore, appropriate soil management practices should be instituted to sequester large masses of soil carbon on an annual basis. This knowledge can be used effectively to formulate strategies to prevent forest fires and clearing: two processes that can quickly release sequestered carbon to the atmosphere in an almost irreversible manner. - Highlights: • Soil carbon stocks in different soils in Sarawak • In depth discussion of

  16. Soil carbon stocks in Sarawak, Malaysia

    International Nuclear Information System (INIS)

    Padmanabhan, E.; Eswaran, H.; Reich, P.F.

    2013-01-01

    The relationship between greenhouse gas emission and climate change has led to research to identify and manage the natural sources and sinks of the gases. CO 2 , CH 4 , and N 2 O have an anthropic source and of these CO 2 is the least effective in trapping long wave radiation. Soil carbon sequestration can best be described as a process of removing carbon dioxide from the atmosphere and relocating into soils in a form that is not readily released back into the atmosphere. The purpose of this study is to estimate carbon stocks available under current conditions in Sarawak, Malaysia. SOC estimates are made for a standard depth of 100 cm unless the soil by definition is less than this depth, as in the case of lithic subgroups. Among the mineral soils, Inceptisols tend to generally have the highest carbon contents (about 25 kg m −2 m −1 ), while Oxisols and Ultisols rate second (about 10–15 kg m −2 m −1 ). The Oxisols store a good amount of carbon because of an appreciable time-frame to sequester carbon and possibly lower decomposition rates for the organic carbon that is found at 1 m depths. Wet soils such as peatlands tend to store significant amounts of carbon. The highest values estimated for such soils are about 114 kg m −2 m −1 . Such appreciable amounts can also be found in the Aquepts. In conclusion, it is pertinent to recognize that degradation of the carbon pool, just like desertification, is a real process and that this irreversible process must be addressed immediately. Therefore, appropriate soil management practices should be instituted to sequester large masses of soil carbon on an annual basis. This knowledge can be used effectively to formulate strategies to prevent forest fires and clearing: two processes that can quickly release sequestered carbon to the atmosphere in an almost irreversible manner. - Highlights: • Soil carbon stocks in different soils in Sarawak • In depth discussion of soil carbon pools in Histosols • Strategies

  17. Stock Market Expectations of Dutch Households.

    Science.gov (United States)

    Hurd, Michael; van Rooij, Maarten; Winter, Joachim

    2011-04-01

    Despite its importance for the analysis of life-cycle behavior and, in particular, retirement planning, stock ownership by private households is poorly understood. Among other approaches to investigate this puzzle, recent research has started to elicit private households' expectations of stock market returns. This paper reports findings from a study that collected data over a two-year period both on households' stock market expectations (subjective probabilities of gains or losses) and on whether they own stocks. We document substantial heterogeneity in financial market expectations. Expectations are correlated with stock ownership. Over the two years of our data, stock market prices increased, and expectations of future stock market price changes also increased, lending support to the view that expectations are influenced by recent stock gains or losses.

  18. Exploring Soil Organic Carbon Deposits in a Bavarian Catchment

    Science.gov (United States)

    Kriegs, Stefanie; Hobley, Eleanor; Schwindt, Daniel; Völkel, Jörg; Kögel-Knabner, Ingrid

    2017-04-01

    The distribution of soil organic carbon (SOC) in the landscape is not homogeneous, but shows high variability from the molecular to the landscape scale. The aims of our work are 1.) to detect hot spots of SOC storage within different positions in a landscape; 2.) to outline differences (or similarities) between SOC characteristics of erosional and accumulative landscape positions; and 3.) to determine whether localised SOC deposits are dominated by fresh and labile organic matter (OM) or old and presumably stable OM. These findings are crucial for the evaluation of the landscapés vulnerability towards SOC losses caused by management or natural disturbances such as erosional rainfall events. Sampling sites of our study are located in a catchment at the foothills of the Bavarian Forest in south-east Germany. Within this area three landform positions were chosen for sampling: a) a slope with both erosional depletion and old colluvial deposits, b) a foothill with recent colluvial deposits and c) a floodplain with alluvial deposits. In order to consider both heterogeneity within a single landform position and between landforms several soil profiles were sampled at every position. Samples were taken to a maximal depth of 150 cm, depending on the presence of rocks or ground-water level, and analysed for bulk density, total carbon (TOC), inorganic carbon (IC) and texture. SOC densities and stocks were calculated. A two-step physical density fractionation using Sodium-Polytungstate (1.8 g/cm3 and 2.4 g/cm3) was applied to determine the contribution of the different soil organic matter fractions to the detected SOC deposits. Literature assumes deep buried SOC to be particularly old and stable, so we applied Accelerator Mass Spectrometry Radiocarbon Dating (AMS 14C) to bulk soil samples in order to verify this hypothesis. The results show that the floodplain soils contain higher amounts of SOC compared with slopes and foothills. Heterogeneity within the sites was smaller

  19. Evaluating stocking efficacy in an ecosystem undergoing oligotrophication

    Science.gov (United States)

    Kao, Yu-Chun; Rogers, Mark W.; Bunnell, David B.

    2017-01-01

    Oligotrophication has negatively affected fisheries production in many freshwater ecosystems and could conceivably reduce the efficacy of stockings used to enhance fisheries. In Lake Michigan, offshore oligotrophication has occurred since the 1970s, owing to reductions in total phosphorus (TP) inputs and nearshore sequestration of TP by nonindigenous dreissenid mussels. We evaluated simultaneous effects of stock enhancement and oligotrophication on salmonine species (Chinook salmon Oncorhynchus tshawytscha, lake trout Salvelinus namaycush, and steelhead O. mykiss) that support valuable recreational fisheries. We employed a novel application of an Ecopath with Ecosim model by conducting a full factorial simulation experiment. Our design included multiple levels of salmonine stocking, consumption by invasive quagga mussels (Dreissena bugensis), and TP that were informed by manager interests. Under all levels of TP and quagga mussel consumption, our results showed that stock enhancement could still increase salmonine biomass, but positive responses were stronger for lake trout and steelhead than Chinook salmon. Simulations showed that quagga mussel consumption has deleterious effects on pelagic-oriented prey fishes and Chinook salmon, which feed almost exclusively on the pelagic-oriented alewife (Alosa pseudoharengus). In summary, results from our simulation experiment suggested that lake trout and steelhead are better suited to the current ecosystem than Chinook salmon, and therefore, stock enhancement provides the highest gains for these two species. Furthermore, simulated biomass of all recreational salmonine species increased with increasing TP, indicating the need for managers to consider how potential future oligotrophication will limit the carrying capacity of salmonine biomass in Lake Michigan

  20. Modelling energy demand in the Norwegian building stock

    Energy Technology Data Exchange (ETDEWEB)

    Sartori, Igor

    2008-07-15

    Energy demand in the building stock in Norway represents about 40% of the final energy consumption, of which 22% goes to the residential sector and 18% to the service sector. In Norway there is a strong dependency on electricity for heating purposes, with electricity covering about 80% of the energy demand in buildings. The building sector can play an important role in the achievement of a more sustainable energy system. The work performed in the articles presented in this thesis investigates various aspects related to the energy demand in the building sector, both in singular cases and in the stock as a whole. The work performed in the first part of this thesis on development and survey of case studies provided background knowledge that was then used in the second part, on modelling the entire stock. In the first part, a literature survey of case studies showed that, in a life cycle perspective, the energy used in the operating phase of buildings is the single most important factor. Design of low-energy buildings is then beneficial and should be pursued, even though it implies a somewhat higher embodied energy. A case study was performed on a school building. First, a methodology using a Monte Carlo method in the calibration process was explored. Then, the calibrated model of the school was used to investigate measures for the achievement of high energy efficiency standard through renovation work. In the second part, a model was developed to study the energy demand in a scenario analysis. The results showed the robustness of policies that included conservation measures against the conflicting effects of the other policies. Adopting conservation measures on a large scale showed the potential to reduce both electricity and total energy demand from present day levels while the building stock keeps growing. The results also highlighted the inertia to change of the building stock, due to low activity levels compared to the stock size. It also became clear that a deeper

  1. Persistent collective trend in stock markets

    Science.gov (United States)

    Balogh, Emeric; Simonsen, Ingve; Nagy, Bálint Zs.; Néda, Zoltán

    2010-12-01

    Empirical evidence is given for a significant difference in the collective trend of the share prices during the stock index rising and falling periods. Data on the Dow Jones Industrial Average and its stock components are studied between 1991 and 2008. Pearson-type correlations are computed between the stocks and averaged over stock pairs and time. The results indicate a general trend: whenever the stock index is falling the stock prices are changing in a more correlated manner than in case the stock index is ascending. A thorough statistical analysis of the data shows that the observed difference is significant, suggesting a constant fear factor among stockholders.

  2. Predictability of Stock Returns

    Directory of Open Access Journals (Sweden)

    Ahmet Sekreter

    2017-06-01

    Full Text Available Predictability of stock returns has been shown by empirical studies over time. This article collects the most important theories on forecasting stock returns and investigates the factors that affecting behavior of the stocks’ prices and the market as a whole. Estimation of the factors and the way of estimation are the key issues of predictability of stock returns.

  3. RELATIONSHIP BETWEEN STOCK MARKET RETURNS AND EXCHANGERATES IN EMERGING STOCK MARKETS

    Directory of Open Access Journals (Sweden)

    M.N. Arshad

    2017-04-01

    Full Text Available Abstract-This paper aims to study the relationship between stock market returns and exchange rates in emerging stock markets including Malaysia, Singapore, Thailand, Indonesia and Philippines. The data is taken from January 2003 to December 2012 using weekly closing indices and separated in two periods; before (2003-2007 and second, after (2008-2012 the financial crisis of 2008. Johansen-Juselius (JJ. Granger causality tests show that unidirectional causality exists between the stock market returns and exchange rates for Thailand before the financial crisis, whilst, for Indonesia and Singapore, the unidirectional causality between the two variables is detected in the period after the financial crisis. Error Correction Model (ECM indicates the existence of long run causality between the two variables for Philippines. This study also finds that most of the emerging stock markets are informationally inefficient.

  4. Data-mining analysis of the global distribution of soil carbon in observational databases and Earth system models

    Science.gov (United States)

    Hashimoto, Shoji; Nanko, Kazuki; Ťupek, Boris; Lehtonen, Aleksi

    2017-03-01

    Future climate change will dramatically change the carbon balance in the soil, and this change will affect the terrestrial carbon stock and the climate itself. Earth system models (ESMs) are used to understand the current climate and to project future climate conditions, but the soil organic carbon (SOC) stock simulated by ESMs and those of observational databases are not well correlated when the two are compared at fine grid scales. However, the specific key processes and factors, as well as the relationships among these factors that govern the SOC stock, remain unclear; the inclusion of such missing information would improve the agreement between modeled and observational data. In this study, we sought to identify the influential factors that govern global SOC distribution in observational databases, as well as those simulated by ESMs. We used a data-mining (machine-learning) (boosted regression trees - BRT) scheme to identify the factors affecting the SOC stock. We applied BRT scheme to three observational databases and 15 ESM outputs from the fifth phase of the Coupled Model Intercomparison Project (CMIP5) and examined the effects of 13 variables/factors categorized into five groups (climate, soil property, topography, vegetation, and land-use history). Globally, the contributions of mean annual temperature, clay content, carbon-to-nitrogen (CN) ratio, wetland ratio, and land cover were high in observational databases, whereas the contributions of the mean annual temperature, land cover, and net primary productivity (NPP) were predominant in the SOC distribution in ESMs. A comparison of the influential factors at a global scale revealed that the most distinct differences between the SOCs from the observational databases and ESMs were the low clay content and CN ratio contributions, and the high NPP contribution in the ESMs. The results of this study will aid in identifying the causes of the current mismatches between observational SOC databases and ESM outputs

  5. Induction of CML28-specific cytotoxic T cell responses using co-transfected dendritic cells with CML28 DNA vaccine and SOCS1 small interfering RNA expression vector

    International Nuclear Information System (INIS)

    Zhou Hongsheng; Zhang Donghua; Wang Yaya; Dai Ming; Zhang Lu; Liu Wenli; Liu Dan; Tan Huo; Huang Zhenqian

    2006-01-01

    CML28 is an attractive target for antigen-specific immunotherapy. SOCS1 represents an inhibitory control mechanism for DC antigen presentation and the magnitude of adaptive immunity. In this study, we evaluated the potential for inducing CML28-specific cytotoxic T lymphocytes (CTL) responses by dendritic cells (DCs)-based vaccination. We constructed a CML28 DNA vaccine and a SOCS1 siRNA vector and then cotransfect monocyte-derived DCs. Flow cytometry analysis showed gene silencing of SOCS1 resulted in higher expressions of costimulative moleculars in DCs. Mixed lymphocyte reaction (MLR) indicated downregulation of SOCS1 stronger capability to stimulate proliferation of responder cell in DCs. The CTL assay revealed transfected DCs effectively induced autologous CML28-specific CTL responses and the lytic activities induced by SOCS1-silenced DCs were significantly higher compared with those induced by SOCS1-expressing DCs. These results in our study indicates gene silencing of SOCS1 remarkably enhanced the cytotoxicity efficiency of CML28 DNA vaccine in DCs

  6. SOCS proteins in regulation of receptor tyrosine kinase signaling

    DEFF Research Database (Denmark)

    Kazi, Julhash U.; Kabir, Nuzhat N.; Flores Morales, Amilcar

    2014-01-01

    Receptor tyrosine kinases (RTKs) are a family of cell surface receptors that play critical roles in signal transduction from extracellular stimuli. Many in this family of kinases are overexpressed or mutated in human malignancies and thus became an attractive drug target for cancer treatment....... The signaling mediated by RTKs must be tightly regulated by interacting proteins including protein-tyrosine phosphatases and ubiquitin ligases. The suppressors of cytokine signaling (SOCS) family proteins are well-known negative regulators of cytokine receptors signaling consisting of eight structurally similar...

  7. Forcasting Philippine daily stock exchange index | Urrutia | Journal ...

    African Journals Online (AJOL)

    The purpose of the study is to produce a model that can estimate a three-year forecast of the Philippine Daily Stock Exchange Index. Seasonal Autoregressive Integrated Moving Average (SARIMA) model is applied ona total of 4,927 daily data observations from 1995 to 2014. By then, actual and predicted values was ...

  8. Converting partially-stocked aspen stands to fully-stocked stands in the Lake States: an economic analysis.

    Science.gov (United States)

    Jeffrey T. Olson; Allen L. Lundgren

    1978-01-01

    The 1968 Wisconsin Forest Survey showed large areas of aspen type that are not considered fully stocked. The economic feasibility of converting partially-stocked stands to full stocking is examined, and a rule presented for determining when a partially-stocked stand should be harvested to maximize its present value.

  9. Stock prices and business investment

    OpenAIRE

    Yaron Leitner

    2007-01-01

    Is there a link between the stock market and business investment? Empirical evidence indicates that there is. A firm tends to invest more when its stock price increases, and it tends to invest less when the price falls. In “Stock Prices and Business Investment,” Yaron Leitner discusses existing research that explains this relationship. One question under consideration is whether the stock market actually improves investment decisions.

  10. Who continues to stock oral artemisinin monotherapy? Results of a provider survey in Myanmar.

    Science.gov (United States)

    Thein, Si Thu; Sudhinaraset, May; Khin, Hnin Su Su; McFarland, Willi; Aung, Tin

    2016-06-22

    Artemisinin-based combination therapy (ACT) is a key strategy for global malaria elimination efforts. However, the development of artemisinin-resistant malaria parasites threatens progress and continued usage of oral artemisinin monotherapies (AMT) predisposes the selection of drug resistant strains. This is particularly a problem along the Myanmar/Thailand border. The artemisinin monotherapy replacement programme (AMTR) was established in 2012 to remove oral AMT from stocks in Myanmar, specifically by replacing oral AMT with quality-assured ACT and conducting behavioural change communication activities to the outlets dispensing anti-malarial medications. This study attempts to quantify the characteristics of outlet providers who continue to stock oral AMT despite these concerted efforts. A cross-sectional survey of all types of private sector outlets that were stocking anti-malarial drugs in 13 townships of Eastern Myanmar was implemented from July to August 2014. A total of 573 outlets were included. Bivariate and multivariable logistic regressions were conducted to assess outlet and provider-level characteristics associated with stocking oral AMT. In total, 2939 outlets in Eastern Myanmar were screened for presence of any anti-malarial drugs in August 2014. The study found that 573 (19.5 %) had some kind of oral anti-malarial drug in stock at the time of survey and among them, 96 (16.8 %) stocked oral AMT. In bivariate analyses, compared to health care facilities, itinerant drug vendors, retailers and health workers were less likely to stock oral AMT (33.3 vs 12.9, 10.0, 8.1 %, OR = 0.30, 0.22, 0.18, respectively). Providers who cut blister pack or sell partial courses (40.6 vs 11.7 %, OR 5.18, CI 3.18-8.44) and those who based their stock decision on consumer demand (32.8 vs 12.1 %, OR 3.54, CI 2.21-5.63) were more likely to stock oAMT. Multivariate logistic regressions produced similar significant associations. Private healthcare facilities and drug

  11. Modelling Soil Carbon Content in South Patagonia and Evaluating Changes According to Climate, Vegetation, Desertification and Grazing

    Directory of Open Access Journals (Sweden)

    Pablo Luis Peri

    2018-02-01

    Full Text Available In Southern Patagonia, a long-term monitoring network has been established to assess bio-indicators as an early warning of environmental changes due to climate change and human activities. Soil organic carbon (SOC content in rangelands provides a range of important ecosystem services and supports the capacity of the land to sustain plant and animal productivity. The objectives in this study were to model SOC (30 cm stocks at a regional scale using climatic, topographic and vegetation variables, and to establish a baseline that can be used as an indicator of rangeland condition. For modelling, we used a stepwise multiple regression to identify variables that explain SOC variation at the landscape scale. With the SOC model, we obtained a SOC map for the entire Santa Cruz province, where the variables derived from the multiple linear regression models were integrated into a geographic information system (GIS. SOC stock to 30 cm ranged from 1.38 to 32.63 kg C m−2. The fitted model explained 76.4% of SOC variation using as independent variables isothermality, precipitation seasonality and vegetation cover expressed as a normalized difference vegetation index. The SOC map discriminated in three categories (low, medium, high determined patterns among environmental and land use variables. For example, SOC decreased with desertification due to erosion processes. The understanding and mapping of SOC in Patagonia contributes as a bridge across main issues such as climate change, desertification and biodiversity conservation.

  12. Which stocks are profitable? A network method to investigate the effects of network structure on stock returns

    Science.gov (United States)

    Chen, Kun; Luo, Peng; Sun, Bianxia; Wang, Huaiqing

    2015-10-01

    According to asset pricing theory, a stock's expected returns are determined by its exposure to systematic risk. In this paper, we propose a new method for analyzing the interaction effects among industries and stocks on stock returns. We construct a complex network based on correlations of abnormal stock returns and use centrality and modularity, two popular measures in social science, to determine the effect of interconnections on industry and stock returns. Supported by previous studies, our findings indicate that a relationship exists between inter-industry closeness and industry returns and between stock centrality and stock returns. The theoretical and practical contributions of these findings are discussed.

  13. The role of managerial stock option programs in governance: evidence from REIT stock repurchases

    NARCIS (Netherlands)

    Ghosh, C.; Giambona, E.; Harding, J.P.; Sezer, O.; Sirmans, C.F.

    2010-01-01

    This article examines the role of stock option programs and executive holdings of stock options in real estate investment trust (REIT) governance. We study the issue by analyzing how the market reaction to a stock repurchase announcement varies as a function of the individual REIT's governance

  14. IL-8 induces miR-424-5p expression and modulates SOCS2/STAT5 signaling pathway in oral squamous cell carcinoma.

    Science.gov (United States)

    Peng, Hsuan-Yu; Jiang, Shih-Sheng; Hsiao, Jenn-Ren; Hsiao, Michael; Hsu, Yuan-Ming; Wu, Guan-Hsun; Chang, Wei-Min; Chang, Jang-Yang; Jin, Shiow-Lian Catherine; Shiah, Shine-Gwo

    2016-06-01

    Suppressor of cytokine signaling (SOCS) proteins are negative feedback regulators of the Janus kinase/signal transducer and activator of transcription (JAK/STAT) pathway. Dysregulation of SOCS protein expression in cancers can be one of the mechanisms that maintain STAT activation, but this mechanism is still poorly understood in oral squamous cell carcinoma (OSCC). Here, we report that SOCS2 protein is significantly downregulated in OSCC patients and its levels are inversely correlated with miR-424-5p expression. We identified the SOCS2 protein, which modulates STAT5 activity, as a direct target of miR-424-5p. The miR-424-5p-induced STAT5 phosphorylation, matrix metalloproteinases (MMPs) expression, and cell migration and invasion were blocked by SOCS2 restoration, suggesting that miR-424-5p exhibits its oncogenic activity through negatively regulating SOCS2 levels. Furthermore, miR-424-5p expression could be induced by the cytokine IL-8 primarily through enhancing STAT5 transcriptional activity rather than NF-κB signaling. Antagomir-mediated inactivation of miR-424-5p prevented the IL-8-induced cell migration and invasion, indicating that miR-424-5p is required for IL-8-induced cellular invasiveness. Taken together, these data indicate that STAT5-dependent expression of miR-424-5p plays an important role in mediating IL-8/STAT5/SOCS2 feedback loop, and scavenging miR-424-5p function using antagomir may have therapeutic potential for the treatment of OSCC. Copyright © 2016 The Authors. Published by Elsevier B.V. All rights reserved.

  15. THE EFFECT OF MACROECONOMIC VARIABLES ON BANKING STOCK PRICE INDEX IN INDONESIA STOCK EXCHANGE

    Directory of Open Access Journals (Sweden)

    Laduna R.

    2018-01-01

    Full Text Available Stock price index can be regarded as a barometer in the measuremet of a nation’s economic condition, besides it can also be used in conducting statistical analysis on the current market. Stock is the proof of one’s share in a company in the form of securities issued by the listed go-public companies. This study was conducted to measure the effect of macroeconomic variables such as inflation, interest rate, and exchange rate on banking stock price index in Indonesia stock exchange or Bursa Efek Indonesia (BEI. The results of study show that inflation and exchange rate posively influence the stock price index. The positive effect of the exchange rate shows that issuers who were positively affected by Rupiah (IDR depreciation appear to be the most dominant group. Meanwhile, the interest rate or Suku Bunga (SBI has a negative effect. Lower interest rate stimulates higher investments and better economic activities which increase the stock price.

  16. SoCRocket: A Virtual Platform for SoC Design

    Science.gov (United States)

    Fossati, Luca; Schuster, Thomas; Meyer, Rolf; Berekovic, Mladen

    2013-08-01

    Both in the commercial and in the aerospace domain, the continuous increase of transistor density on a single die is leading towards the production of more and more complex systems on a single chip, with an increasing number of components. This brought to the introduction of the System-On-Chip (SoC) architecture, that integrates on a single circuit all the elements of a full system. This strive for efficient utilization of the available silicon has triggered several paradigm shifts in system design. Similarly to what happened in the early 1990s, when VHDL and Verilog took over from schematic design, today SystemC and Transaction Level Modeling [1] are about to further raise the design abstraction level. Such descriptions have to be accurate enough to describe the entire system throughout the phases of its development, and has to provide enough flexibility to be refined iteratively up to the point where the actual device can be produced using current process technology. Besides requiring new languages and methodologies, the complexity of current and future SoCs (SCOC3 [16] and NGMP [5] are example in the space domain) forces the SoC design process to rely on pre-designed or third party components. Components obtained from different providers, and even those designed by different teams of the same company, may be heterogeneous on several aspects: design domains, interfaces, abstraction levels, granularity, etc. Therefore, component integration is required at system level. Only by applying design re-use it is possible to successfully and timely design such complex SoCs. This transition to new languages and design methods is also motivated by the implementation with software of an increasing amount of system functionalities. Hence the need for methodologies to enable early software development and which allow the analysis of the performance of the combined Hw/Sw system, as their design and configuration cannot be performed separately. Virtual Prototyping is a key

  17. LOSS OF JAK2 REGULATION VIA VHL-SOCS1 E3 UBIQUITIN HETEROCOMPLEX UNDERLIES CHUVASH POLYCYTHEMIA

    Science.gov (United States)

    Russell, Ryan C.; Sufan, Roxana I.; Zhou, Bing; Heir, Pardeep; Bunda, Severa; Sybingco, Stephanie S.; Greer, Samantha N.; Roche, Olga; Heathcote, Samuel A.; Chow, Vinca W.K.; Boba, Lukasz M.; Richmond, Terri D.; Hickey, Michele M.; Barber, Dwayne L.; Cheresh, David A.; Simon, M. Celeste; Irwin, Meredith S.; Kim, William Y.; Ohh, Michael

    2011-01-01

    SUMMARY Chuvash polycythemia (CP) is a rare congenital form of polycythemia caused by homozygous R200W and H191D mutations in the von Hippel-Lindau (VHL) gene whose gene product is the principal negative regulator of hypoxia-inducible factor. However, the molecular mechanisms underlying some of the hallmark features of CP such as hypersensitivity to erythropoietin are unclear. Here, we show that VHL directly binds suppressor of cytokine signalling 1 (SOCS1) to form a heterodimeric E3 ligase that targets phosphorylated (p)JAK2 for ubiquitin-mediated destruction. In contrast, CP-associated VHL mutants have altered affinity for SOCS1 and fail to engage and degrade pJAK2. Systemic administration of a highly selective JAK2 inhibitor, TG101209, reverses the disease phenotype in vhlR200W/R200W knock-in mice, a model that faithfully recapitulates human CP. These results reveal VHL as a SOCS1-cooperative negative regulator of JAK2 and provide compelling biochemical and preclinical evidence for JAK2- targeted therapy in CP patients. PMID:21685897

  18. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L)

    Science.gov (United States)

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango (Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5′ UTR and a 189 bp long 3′ UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems’ leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue –specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis. In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango. PMID:27965680

  19. Molecular Cloning, Characterization, and Expression of MiSOC1: A Homolog of the Flowering Gene SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 from Mango (Mangifera indica L).

    Science.gov (United States)

    Wei, Junya; Liu, Debing; Liu, Guoyin; Tang, Jie; Chen, Yeyuan

    2016-01-01

    MADS-box transcription factor plays a crucial role in plant development, especially controlling the formation and development of floral organs. Mango ( Mangifera indica L) is an economically important fruit crop, but its molecular control of flowering is largely unknown. To better understand the molecular basis of flowering regulation in mango, we isolated and characterized the MiSOC1, a putative mango orthologs for the Arabidopsis SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1/AGAMOUS-LIKE 20 (SOC1/AGL20) with homology-based cloning and RACE. The full-length cDNA (GenBank accession No.: KP404094) is 945 bp in length including a 74 bp long 5' UTR and a 189 bp long 3' UTR and the open reading frame was 733 bps, encoding 223 amino acids with molecular weight 25.6 kD. Both sequence alignment and phylogenetic analysis all indicated that deduced protein contained a conservative MADS-box and semi-conservative K domain and belonged to the SOC1/TM3 subfamily of the MADS-box family. Quantitative real-time PCR was performed to investigate the expression profiles of MiSOC1 gene in different tissues/organs including root, stem, leaves, flower bud, and flower. The result indicated MiSOC1 was widely expressed at different levels in both vegetative and reproductive tissues/organs with the highest expression level in the stems' leaves and inflorescences, low expression in roots and flowers. The expression of MiSOC1 in different flower developmental stages was different while same tissue -specific pattern among different varieties. In addition, MiSOC1 gene expression was affect by ethephon while high concentration ethephon inhibit the expression of MiSOC1. Overexpression of MiSOC1 resulted in early flowering in Arabidopsis . In conclusion, these results suggest that MiSOC1 may act as induce flower function in mango.

  20. The budget between transportation and accumulation of organic carbon and total nitrogen in black soil at a sloping farmland

    International Nuclear Information System (INIS)

    Fang Huajun; Zhang Xiaoping; Liang Aizhen

    2006-01-01

    Based on the rate of soil redistribution at a sloping farmland using 137 Cs tracer technique and spatial variation of soil organic carbon (SOC) and total nitrogen (TN), the spatial distribution of SOC and TN loss and the budget between transportation and accumulation for recently 50 years was calculated. The results showed that the rate of soil redistribution ranged from -24.61 t/hm 2 /a to 33.56 t/hm 2 /a, most of study area was in medium and weakly erosion phase and accounted for 83.66%; and the area of soil deposition accounted for 15.62%; The variation of the loss of SOC and TN was consistent with that of soil redistribution, shoulder-slope had the most serious loss with the rate of 407.57 kg/hm 2 /a for SOC and 39.94 kg/hm 2 /a for TN, back-slope and summit had the secondly loss with the average rate of 244.2 kg/hm 2 /a for SOC and -20.56 kg/hm 2 /a for TN. For the whole area, relative loss of SOC and TN more than 50% accounted for 10.45% and 11.21%, respectively; The net loss of sediment in the study area was 45.54 t/a for recent 48 years, among which SOC and TN were 612.62 kg/a and 47.20 kg/a, respectively, which was 52% more than that of without consideration of the enrichment of sediment on soil organic matter. (authors)

  1. Converting loss-on-ignition to organic carbon content in arable topsoil: pitfalls and proposed procedure

    DEFF Research Database (Denmark)

    Jensen, Johannes Lund; Christensen, Bent Tolstrup; Schjønning, Per

    2018-01-01

    Assessments of changes in soil organic carbon (SOC) stocks depend heavily on reliable values of SOC content obtained by automated high‐temperature C analysers. However, historical as well as current research often relies on indirect SOC estimates such as loss‐on‐ignition (LOI). In this study, we...... revisit the conversion of LOI to SOC using soil from two long‐term agricultural field experiments and one arable field with different contents of SOC, clay and particles fractions were isolated from the arable soil. Samples were analysed for texture, LOI (500...

  2. Implementation of SoC Based Real-Time Electromagnetic Transient Simulator

    Directory of Open Access Journals (Sweden)

    I. Herrera-Leandro

    2017-01-01

    Full Text Available Real-time electromagnetic transient simulators are important tools in the design stage of new control and protection systems for power systems. Real-time simulators are used to test and stress new devices under similar conditions that the device will deal with in a real network with the purpose of finding errors and bugs in the design. The computation of an electromagnetic transient is complex and computationally demanding, due to features such as the speed of the phenomenon, the size of the network, and the presence of time variant and nonlinear elements in the network. In this work, the development of a SoC based real-time and also offline electromagnetic transient simulator is presented. In the design, the required performance is met from two sides, (a using a technique to split the power system into smaller subsystems, which allows parallelizing the algorithm, and (b with specialized and parallel hardware designed to boost the solution flow. The results of this work have shown that for the proposed case studies, based on a balanced distribution of the node of subsystems, the proposed approach has decreased the total simulation time by up to 99 times compared with the classical approach running on a single high performance 32-bit embedded processor ARM-Cortex A9.

  3. Commodities and Stock Investment

    Directory of Open Access Journals (Sweden)

    Syed Jawad Hussain Shahzad

    2014-09-01

    Full Text Available This study is a multivariate analysis of commodities and stock investment in a newly established market scenario. Return distribution asymmetry is examined with higher order movements. Skewness in commodity future’s return is largely insignificant, whereas kurtosis is highly significant for both stock and commodity future contracts. Correlation analysis is done with Pearson’s and Kendall’s tau measures. Commodities provide significant diversification benefits when added in a portfolio of stocks. Compared with stocks, commodity future’s returns show stronger correlation with unexpected inflation. The volatility is measured through Glosten-Jagannathan-Runkle - Generalized Autoregressive Conditional Heteroskedasticity (GJR-GARCH model and reflects that commodities have inverted asymmetric behavior, that is, more impact from the upward shocks compared with downward. Stocks have asymmetric volatility, that is, more impact from negative shocks compared with positive. Gold has highest inverted asymmetric volatility. Tail dependence, measured through Student’s t copula, shows no combined downside movement. In conclusion, commodity investments provide diversification and inflation protection.

  4. Reductions of plant cover induced by sheep grazing change the above-belowground partition and chemistry of organic C stocks in arid rangelands of Patagonian Monte, Argentina.

    Science.gov (United States)

    Larreguy, C; Carrera, A L; Bertiller, M B

    2017-09-01

    The objective of this study was to estimate the size and chemical quality of the total organic C stock and its partition between above-belowground plant parts and soil at sites with different plant cover induced by sheep grazing in the arid Patagonian Monte. This study was conducted at six representative sites with increasing signs of canopy disturbance attributed to grazing pressure. We used faeces density as a proxy of grazing pressure at each site. We assessed the total plant cover, shrub and perennial grass cover, total standing aboveground biomass (AGB), litter mass and belowground biomass (BGB) at each site. We further estimated the content of organic C, lignin and soluble phenols in plant compartments and the content of organic C, organic C in humic substances (recalcitrant C) and water soluble C (labile C) in soil at each site. Total plant cover was significantly related to grazing pressure. Standing AGB and litter mass decreased with increasing canopy disturbance while BGB did not vary across sites. Total organic C stock and the organic C stock in standing AGB increased with increasing total plant, shrub, and perennial grass cover. The organic C stock in litter mass increased with increasing total plant and shrub cover, while the organic C stock in BGB did not vary across sites. Lignin content in plant compartments increased with increasing total and shrub cover, while soluble phenols content did not change across sites. The organic C stock and the water soluble C content in soil were positively associated with perennial grass cover. Changes in total plant cover induced by grazing pressure negatively affected the size of the total organic C stock, having minor impact on the size of belowground than aboveground components. The reduction of perennial grass cover was reflected in decreasing chemical quality of the organic C stock in soil. Accordingly, plant managerial strategies should not only be focused on the amount of organic C sequestered but also on the

  5. Effects of vegetation's degradation on carbon stock, morphological ...

    African Journals Online (AJOL)

    This study was conducted to assess the capacity of mangroves soils to stock carbon and how degradation can influence its various properties. Transect method was performed. So, two transects of 100 m length and 10 m wide were established according to the degradation level. Total of 18 Soil samples were taken to be ...

  6. Effects of vegetation's degradation on carbon stock, morphological ...

    African Journals Online (AJOL)

    ndema

    This study was conducted to assess the capacity of mangroves soils to stock carbon and how degradation can influence its various properties. Transect method was performed. So, two transects of. 100 m length and 10 m wide were established according to the degradation level. Total of 18 Soil samples were taken to be ...

  7. Comparison between global financial crisis and local stock disaster on top of Chinese stock network

    Science.gov (United States)

    Xia, Lisi; You, Daming; Jiang, Xin; Guo, Quantong

    2018-01-01

    The science of complex network theory can be usefully applied in many important fields, one of which is the finance. In these practical cases, a massive dataset can be represented as a very large network with certain attributes associated with its nodes and edges. As one of the most important components of financial market, stock market has been attracting more and more attention. In this paper, we propose a threshold model to build Chinese stock market networks and study the topological properties of these networks. To be specific, we compare the effects of different crises, namely the 2008 global crisis and the stock market disaster in 2015, on the threshold networks. Prices of the stocks belonging to the Shanghai and Shenzhen 300 index are considered for three periods: the global crisis, common period and the stock market disaster. We find the probability distribution of the cross-correlations of the stocks during the stock market disaster is fatter than that of others. Besides, the thresholds of cross-correlations are assigned to obtain the threshold networks and the power-law of degree distribution in these networks are observed in a certain range of threshold values. The networks during the stock market disaster also appear to have larger mean degree and modularity, which reveals the strong correlations among these stock prices. Our findings to some extent crosscheck the liquidity shortage reason which is believed to result in the outbreak of the stock market disaster. Moreover, we hope that this paper could give us a deeper understanding of the market's behavior and also lead to interesting future research about the problems of modern finance theory.

  8. Population size and yield of Baffin Bay beluga (Delphinapterus leucas stocks

    Directory of Open Access Journals (Sweden)

    Stuart Innes

    2002-07-01

    Full Text Available A surplus production model within a Sampling, Importance Resampling (SIR Bayesian analysis was used to estimate stock sizes and yields of Baffin Bay belugas. The catch of belugas in West Greenland increased in 1968 and has remained well above sustainable rates. SIR analysis indicated a decline of about 50% between 1981 and 1994, with a credibility interval that included a previous estimate of 62%. The estimated stock sizes of belugas wintering off West Greenland in 1998 and 1999 were approximately 5,100 and 4,100 respectively and were not significantly different than an estimate based on aerial surveys combined for both years. Projected to 1999 this stock can sustain median landings of 109 whales with a total kill of about 155, based on posterior estimates of struck and lost plus under-reporting. The declining stock size index series did not provide sufficient information to estimate the potential maximum rate of population growth, the number of whales struck and lost, or the shape of the production curve with precision. Estimating these parameters requires an index time series with a marked step change in catch or a series with increasing stock sizes. The stock size estimate for the belugas wintering in the North Water in 1999 was approximately 14,800 but there is no information about the population biology of these whales. The estimated maximum sustainable yield (landed for the North Water stock was 317 belugas.

  9. Co-integration and Causality Among Jakarta Stock Exchange, Singapore Stock Exchange, and Kuala Lumpur Stock Exchange

    OpenAIRE

    Febrian, Erie; Herwany, Aldrin

    2007-01-01

    For both risk management and portfolio selection purposes, modeling the linkage across financial markets is crucial, especially among neighboring stock markets. In investigating the dependence or co-movement of three or more stock markets in different countries, researchers frequently use co-integration and causality analysis. Nevertheless, they conducted the causality in mean tests but not the causality in variance tests. This paper examines the co-integration and causal relations among ...

  10. Rapid Development of System-on-Chip (SoC for Network-Enabled Visible Light Communications

    Directory of Open Access Journals (Sweden)

    Trio Adiono

    2018-03-01

    Full Text Available Visible Light Communication (VLC is an emerging optical communication technology with rapid development nowadays. VLC is considered as a compliment and successor of radio-frequency (RF wireless communication. There are various typical implementations of VLC in which one of them is for exchanging data TCP/IP packets, thus the user can browse the internet as in established Wireless fidelity (Wi-Fi technology. Briefly, we can call it by Light fidelity (Li-Fi. This paper described the design and implementation of System-on-Chip (SoC subsystem for Li-Fi application where the implemented SoC consists of hardware (H/W and software (S/W. In the H/W aspect, Physical Layer (PHY is made by using UART communication with Ethernet connection to communicate with Host/Device personal-computer (PC. In the S/W aspect, Xillinux operating system (OS is used. The H/W- as well as S/W-SoC, are realized in FPGA Zybo Zynq-7000 EPP development board. The functional test result shows (without optical channel or Zybo-to-Zybo only that the implemented SoC is working as expected. It is able to exchange TCP/IP packets between two PCs. Moreover, Ethernet connection has bandwidth up to 83.6 Mbps and PHY layer baud rate has bandwidth up to 921600 bps.

  11. 17 CFR 240.15g-2 - Penny stock disclosure document relating to the penny stock market.

    Science.gov (United States)

    2010-04-01

    ... 17 Commodity and Securities Exchanges 3 2010-04-01 2010-04-01 false Penny stock disclosure document relating to the penny stock market. 240.15g-2 Section 240.15g-2 Commodity and Securities Exchanges... Section 15(d) of the Act § 240.15g-2 Penny stock disclosure document relating to the penny stock market...

  12. The Degree of Financial Liberalization and Aggregated Stock-return Volatility in Emerging Markets

    NARCIS (Netherlands)

    Umutlu, M.; Akdeniz, L.; Salih, A.A.

    2009-01-01

    In this study, we address whether the degree of financial liberalization affects the aggregated total volatility of stock returns by considering the time-varying nature of financial liberalization. We also explore channels through which the degree of financial liberalization impacts aggregated total

  13. Hot regions of labile and stable soil organic carbon in Germany - Spatial variability and driving factors

    Science.gov (United States)

    Vos, Cora; Jaconi, Angélica; Jacobs, Anna; Don, Axel

    2018-06-01

    Atmospheric carbon dioxide levels can be mitigated by sequestering carbon in the soil. Sequestration can be facilitated by agricultural management, but its influence is not the same on all soil carbon pools, as labile pools with a high turnover may be accumulated much faster but are also more vulnerable to losses. The aims of this study were to (1) assess how soil organic carbon (SOC) is distributed among SOC fractions on a national scale in Germany, (2) identify factors influencing this distribution and (3) identify regions with high vulnerability to SOC losses. The SOC content and proportion of two different SOC fractions were estimated for more than 2500 mineral topsoils (soil texture, bulk soil C / N ratio, total SOC content and pH. For some regions, the drivers were linked to the land-use history of the sites. Arable topsoils in central and southern Germany were found to contain the highest proportions and contents of stable SOC fractions, and therefore have the lowest vulnerability to SOC losses. North-western Germany contains an area of sandy soils with unusually high SOC contents and high proportions of light SOC fractions, which are commonly regarded as representing a labile carbon pool. This is true for the former peat soils in this area, which have already lost and are at high risk of losing high proportions of their SOC stocks. Those black sands can, however, also contain high amounts of stable SOC due to former heathland vegetation and need to be treated and discussed separately from non-black sand agricultural soils. Overall, it was estimated that, in large areas all over Germany, over 30 % of SOC is stored in easily mineralisable forms. Thus, SOC-conserving management of arable soils in these regions is of great importance.

  14. Common variants in SOCS7 gene predict obesity, disturbances in lipid metabolism and insulin resistance.

    Science.gov (United States)

    Tellechea, M L; Steinhardt, A Penas; Rodriguez, G; Taverna, M J; Poskus, E; Frechtel, G

    2013-05-01

    Specific Suppressor of Cytokine Signaling (SOCS) members, such as SOCS7, may play a role in the development of insulin resistance (IR) owing to their ability to inhibit insulin signaling pathways. The objective was to explore the association between common variants and related haplotypes in SOCS7 gene and metabolic traits related to obesity, lipid metabolism and IR. 780 unrelated men were included in a cross-sectional study. We selected three tagged SNPs that capture 100% of SNPs with minor allele frequency ≥ 0.10. Analyses were done separately for each SNP and followed up by haplotype analysis. rs8074124C was associated with both obesity (p = 0.005) and abdominal obesity (p = 0.002) and allele C carriers showed, in comparison with TT carriers, lower BMI (p = 0.001) and waist circumference (p = 0.001). rs8074124CC- carriers showed lower fasting insulin (p = 0.017) and HOMA-IR (p = 0.018) than allele T carriers. rs12051836C was associated with hypertriglyceridemia (p = 0.009) and hypertriglyceridemic waist (p = 0.006). rs12051836CC- carriers showed lower fasting insulin (p = 0.043) and HOMA-IR (p = 0.042). Haplotype-based association analysis (rs8074124 and rs12051836 in that order) showed associations with lipid and obesity -related phenotypes, consistent with single locus analysis. Haplotype analysis also revealed association between haplotype CT and both decreased HDL-C (p = 0.026) and HDL-C (p = 0.014) as a continuous variable. We found, for the first time, significant associations between SOCS7 common variants and related haplotypes and obesity, IR and lipid metabolism disorders. Crown Copyright © 2011. Published by Elsevier B.V. All rights reserved.

  15. Variation in carbon stocks on different slope aspects in seven major forest types of temperate region of Garhwal Himalaya, India.

    Science.gov (United States)

    Sharma, C M; Gairola, Sumeet; Baduni, N P; Ghildiyal, S K; Suyal, Sarvesh

    2011-09-01

    The present study was undertaken in seven major forest types of temperate zone (1500 m a.s.l. to 3100 m a.s.l.) of Garhwal Himalaya to understand the effect of slope aspects on carbon (C) density and make recommendations for forest management based on priorities for C conservation/sequestration. We assessed soil organic carbon (SOC) density, tree density, biomass and soil organic carbon (SOC) on four aspects, viz. north/east (NE), north/west (NW), south-east (SE) and south-west (SW), in forest stands dominated by Abies pindrow, Cedrus deodara, Pinus roxburghii, Cupressus torulosa, Quercus floribunda, Quercus semecarpifolia and Quercus leucotrichophora. TCD ranged between 77.3 CMg ha⁻¹ on SE aspect (Quercus leucotrichophora forest) and 291.6 CMg ha⁻¹ on NE aspect (moist Cedrus deodara forest). SOC varied between 40.3 CMg ha⁻¹ on SW aspect (Himalayan Pinus roxburghii forest) and 177.5 CMg ha⁻¹ on NE aspect (moist Cedrus deodara forest). Total C density (SOC+TCD) ranged between 118.1 CMg ha⁻¹ on SW aspect (Himalayan Pinus roxburghii forest) and 469.1 CMg ha⁻¹ on NE aspect (moist Cedrus deodara forest). SOC and TCD were significantly higher on northern aspects as compared with southern aspects. It is recommended that for C sequestration, the plantation silviculture be exercised on northern aspects, and for C conservation purposes, mature forest stands growing on northern aspects be given priority.

  16. Monolithic distributed power management for systems-on-chip (SoC); Gestion monolithique distribuee de puissance pour les systemes sur puce (SOC)

    Energy Technology Data Exchange (ETDEWEB)

    Abedinpour, S. [Motorola, Semiconductor Products Sector, Tempe (United States); Bakkaloglu, B. [Texas Instruments, Broadband Communications Group, Dallas, Texas (United States); Kiaei, S. [Arizona State Univ., Connection one Research Center, Tempe (United States)

    2004-08-01

    With increasing drive towards higher level of integration, lower cost, and longer battery life in wireless applications, there is a need for efficient monolithic DC-DC power converters. This tutorial paper summarizes the topology tradeoffs that are involved in the implementation of monolithic distributed power management in the future generations of SoCs for portable wireless applications. These circuits have a broad range of requirements including high power density, high energy efficiency, low noise, small size, and low cost. The advantages and disadvantages of each of the competing topologies, namely low-dropout linear, switched capacitor, and switched-mode DC-DC converters are examined in light of these requirements. (authors)

  17. Co-Movements Of U.S. And European Stock Markets Before And After The 2008 Gloal Stock Market Crash

    Directory of Open Access Journals (Sweden)

    Meric Ilhan

    2015-08-01

    Full Text Available Empirical studies show that correlation between national stock markets increased and the benefits of global portfolio diversification decreased significantly after the global stock market crash of 1987. The 1987 and 2008 crashes are the two most important global stock market crashes since the 1929 Great depression. Although the effects of the 1987 crash on the comovements of national stock markets have been investigated extensively, the effects of the 2008 crash have not been studied sufficiently. In this paper we study this issue with a research sample that includes the U.S stock market and twenty European stock markets. We find that correlation between the twenty-one stock markets increased and the benefits of portfolio diversification decreased significantly after the 2008 stock market crash.

  18. Suppressor of cytokine signaling 2 (Socs2 deletion protects bone health of mice with DSS-induced inflammatory bowel disease

    Directory of Open Access Journals (Sweden)

    Ross Dobie

    2018-01-01

    Full Text Available Individuals with inflammatory bowel disease (IBD often present with poor bone health. The development of targeted therapies for this bone loss requires a fuller understanding of the underlying cellular mechanisms. Although bone loss in IBD is multifactorial, the altered sensitivity and secretion of growth hormone (GH and insulin-like growth factor-1 (IGF-1 in IBD is understood to be a critical contributing mechanism. The expression of suppressor of cytokine signaling 2 (SOCS2, a well-established negative regulator of GH signaling, is stimulated by proinflammatory cytokines. Therefore, it is likely that SOCS2 expression represents a critical mediator through which proinflammatory cytokines inhibit GH/IGF-1 signaling and decrease bone quality in IBD. Using the dextran sodium sulfate (DSS model of colitis, we reveal that endogenously elevated GH function in the Socs2−/− mouse protects the skeleton from osteopenia. Micro-computed tomography assessment of DSS-treated wild-type (WT mice revealed a worsened trabecular architecture compared to control mice. Specifically, DSS-treated WT mice had significantly decreased bone volume, trabecular thickness and trabecular number, and a resulting increase in trabecular separation. In comparison, the trabecular bone of Socs2-deficient mice was partially protected from the adverse effects of DSS. The reduction in a number of parameters, including bone volume, was less, and no changes were observed in trabecular thickness or separation. This protected phenotype was unlikely to be a consequence of improved mucosal health in the DSS-treated Socs2−/− mice but rather a result of unregulated GH signaling directly on bone. These studies indicate that the absence of SOCS2 is protective against bone loss typical of IBD. This study also provides an improved understanding of the relative effects of GH/IGF-1 signaling on bone health in experimental colitis, information that is essential before these drugs are

  19. Effects of Nitrogen Fixing Pre-Crops and Fertilizers on Physical and Chemical Properties Down the Soil Profile

    Science.gov (United States)

    Hobley, E.; Honermeier, B.; Don, A.; Gocke, M. I.; Amelung, W.; Kogel-Knabner, I.

    2016-12-01

    We investigated the effects of pre-crops with and without biological nitrogen fixation capacity (fava beans, clover mulch, fodder maize) and fertilization (no fertilizer, NPK fertilizer, PK fertilizer) on soil physico-chemical properties (bulk density, electrical conductivity, soil organic carbon (SOC) concentration and stocks, N concentration and stocks) and their depth distribution (down to 1 m) at a long-term field experiment set up in 1982 in Gießen, Germany. Fertilization had significant but small impacts on the soil chemical environment, most particularly the salt content of the soil, with PK fertilization increasing electrical conductivity throughout the soil profile. Similarly, fertilization resulted in a small reduction of soil pH throughout the entire soil profile. The soil was physically and chemically affected by the type of pre-crop. Plots with fava beans and maize had lower bulk densities in the subsoil than those with clover. Pre-crop type also significantly affected the depth distribution of both N and SOC. Specifically, clover pre-cropping led to an enrichment of N at the surface compared with fava beans and maize. SOC enrichment at the surface was also observed under clover, with the effect most pronounced under PK fertilization. Combined with the bulk density effects, this shift in N distribution resulted in significantly higher N stocks under clover than under fava beans. However, the total stocks of SOC were not affected by pre-crop or fertilizer regime. Our results indicate that humans influence C and N cycling and distribution in soils through the selection of pre-crops and that the influence of crop type is greater than that of fertilization regimes. Pre-cropping with clover, which is used as a mulch, leads to N enrichment in the topsoil, reducing the need for N fertilizer for the subsequent cereal crop. In contrast, the use of fava beans as a pre-crop does not lead to N enrichment. We believe this is due to the greater rooting depth of

  20. The Difference Between Stock Splits and Stock Dividends - Evidence from Denmark

    DEFF Research Database (Denmark)

    Raaballe, Johannes; Bechmann, Ken L.

    2007-01-01

    splits is closely related to changes in a firm's payout policy, but that the relationship differs for the two types of events. A stock dividend implies an increase in nominal share capital and hence a decrease in retained earnings. Firms announcing stock dividends finance growth entirely by debt...

  1. Performance Evaluation of FIR Filter After Implementation on Different FPGA and SOC and Its Utilization in Communication and Network

    DEFF Research Database (Denmark)

    Pandey, Bishwajeet; Das, Bhagwan; Kaur, Amanpreet

    2017-01-01

    that will energy efficient as well as faster than traditional design. Three different FPGA and SOC are taken under consideration and our design is implemented on these four ICs and we find the most energy efficient architecture and also find the architecture that will deliver highest performance among these four...... FPGA then we conclude that Zynq 7000 All programmable SOC is power hungry architecture and Kintex ultrascale architecture is the most energy efficient architecture that dissipates 20.86% less power than Zynq 700 All programmable SOC. For performance evaluation, we have taken benchmark C code of FIR...... provide by Xilinx. We transform that C code into HDL using Vivado HLS 2016.2 before power analysis on Vivado 2016.2. Ultrascale FPGA is generally used for packet processing in 100G networking and heterogeneous wireless infrastructure....

  2. Can investor sentiment be used to predict the stock price? Dynamic analysis based on China stock market

    Science.gov (United States)

    Guo, Kun; Sun, Yi; Qian, Xin

    2017-03-01

    With the development of the social network, the interaction between investors in stock market became more fast and convenient. Thus, investor sentiment which can influence their investment decisions may be quickly spread and magnified through the network, and to a certain extent the stock market can be affected. This paper collected the user comments data from a popular professional social networking site of China stock market called Xueqiu, then the investor sentiment data can be obtained through semantic analysis. The dynamic analysis on relationship between investor sentiment and stock market is proposed based on Thermal Optimal Path (TOP) method. The results show that the sentiment data was not always leading over stock market price, and it can be used to predict the stock price only when the stock has high investor attention.

  3. Impact of Diet Supplemented by Coconut Milk on Corticosterone and Acute Phase Protein Level under High Stocking Density

    Directory of Open Access Journals (Sweden)

    Majid SHAKERI

    2016-05-01

    Full Text Available The purpose of this study was to investigate effects of coconut milk supplementation on corticosterone and acute phase protein level under high stocking density. A total 300 Cobb 500 male chicks were placed in cages and stocked as 10 birds/cage (normal stocking density and 15 birds/cage (high stocking density. The treatments were as (i control diet and stocked at 10 and 15 birds/cage (ii control diet + 3% coconut milk from 1-42 day and stocked at 10 and 15 birds/cage (iii control diet + 5% coconut milk from 1-42 day and stocked at 10 and 15 birds/cage. On day 42, 20 birds per treatment were slaughtered to collect blood samples. The results showed higher level of corticosterone and acute phase protein level in control diet compare to other supplemented diets with coconut milk. In conclusion, coconut milk decreased the level of corticosterone and acute phase protein when chicks were subjected to high stocking density.

  4. Which global stock indices trigger stronger contagion risk in the Vietnamese stock market? Evidence using a bivariate analysis

    Directory of Open Access Journals (Sweden)

    Wang Kuan-Min

    2013-01-01

    Full Text Available This paper extends recent investigations into risk contagion effects on stock markets to the Vietnamese stock market. Daily data spanning October 9, 2006 to May 3, 2012 are sourced to empirically validate the contagion effects between stock markets in Vietnam, and China, Japan, Singapore, and the US. To facilitate the validation of contagion effects with market-related coefficients, this paper constructs a bivariate EGARCH model of dynamic conditional correlation coefficients. Using the correlation contagion test and Dungey et al.’s (2005 contagion test, we find contagion effects between the Vietnamese and four other stock markets, namely Japan, Singapore, China, and the US. Second, we show that the Japanese stock market causes stronger contagion risk in the Vietnamese stock market compared to the stock markets of China, Singapore, and the US. Finally, we show that the Chinese and US stock markets cause weaker contagion effects in the Vietnamese stock market because of stronger interdependence effects between the former two markets.

  5. Do stock prices drive people crazy?

    Science.gov (United States)

    Lin, Chung-Liang; Chen, Chin-Shyan; Liu, Tsai-Ching

    2015-03-01

    This is the first research to examine a potential relation between stock market volatility and mental disorders. Using data on daily incidences of mental disorders in Taiwan over 4000 days from 1998 through 2009 to assess the time-series relation between stock price movements and mental disorders, we observe that stock price fluctuation clearly affects the hospitalization of mental disorders. We find that during a 12-year follow-up period, a low stock price index, a daily fall in the stock price index and consecutive daily falls in the stock price index are all associated with greater of mental disorders hospitalizations. A 1000-point fall in the TAIEX (Taiwan Stock Exchange Capitalization Weighted Stock Index) increases the number of daily mental disorders hospitalizations by 4.71%. A 1% fall in the TAIEX in one single day increases daily hospitalizations for mental disorders by 0.36%. When the stock price index falls one consecutive day, it causes a daily increase of approximately 0.32% hospitalizations due to mental disorders on that day. Stock price index is found to be significant for both gender and all age groups. In addition, daily change is significant for both gender and middle-age groups, whereas accumulated change is significant for males and people aged 45-64. Stockholdings can help people accumulate wealth, but they can also increase mental disorders hospitalizations. In other words, stock price fluctuations do drive people crazy. Published by Oxford University Press in association with The London School of Hygiene and Tropical Medicine © The Author 2014; all rights reserved.

  6. Changes in soil organic carbon fractions after remediation of a coastal floodplain soil.

    Science.gov (United States)

    Wong, V N L; McNaughton, C; Pearson, A

    2016-03-01

    Coastal floodplain soils and wetland sediments can store large amounts of soil organic carbon (SOC). These environments are also commonly underlain by sulfidic sediments which can oxidise to form coastal acid sulfate soils (CASS) and contain high concentrations of acidity and trace metals. CASS are found on every continent globally except Antarctica. When sulfidic sediments are oxidised, scalds can form, which are large bare patches without vegetation. However, SOC stocks and fractions have not been quantified in these coastal floodplain environments. We studied the changes in soil geochemistry and SOC stocks and fractions three years after remediation of a CASS scald. Remediation treatments included raising water levels, and addition of either lime (LO) or lime and mulch (LM) relative to a control (C) site. We found SOC concentrations in the remediated sites (LO and LM) were more than double than that found at site C, reflected in the higher SOC stocks to a depth of 1.6 m (426 Mg C/ha, 478 Mg C/ha and 473 Mg C/ha at sites C, LO and LM, respectively). The particulate organic C (POC) fraction was higher at sites LO and LM due to increased vegetation and biomass inputs, compared to site C. Reformation of acid volatile sulfide (AVS) occurred throughout the profile at site LM, whereas only limited AVS reformation occurred at sites LO and C. Higher AVS at site LM may be linked to the additional source of organic matter provided by the mulch. POC can also potentially contribute to decreasing acidity as a labile SOC source for Fe(3+) and SO4(2-) reduction. Therefore, coastal floodplains and wetlands are a large store of SOC and can potentially increase SOC following remediation due to i) reduced decomposition rates with higher water levels and waterlogging, and ii) high C inputs due to rapid revegetation of scalded areas and high rates of biomass production. These results highlight the importance of maintaining vegetation cover in coastal floodplains and wetlands for

  7. Some Environmental and Economic Aspects of Energy Saving Measures in Houses. An estimation model for total energy consumption and emissions to air from the Norwegian dwelling stock, and a life cycle assessment method for energy saving measures in houses

    Energy Technology Data Exchange (ETDEWEB)

    Myhre, L

    1995-12-01

    Motivated by the need to reduce the total energy consumption and the environmental load from society, this doctoral thesis discusses energy conservation measures on existing houses. Alternative additional thermal insulation measures are assessed using an interdisciplinary life cycle approach. The first task is to develop an interdisciplinary assessment method for building improvement measures, taking account of energy consumption, resource consumption, emissions to air of environmentally harmful gases, and economic costs during the entire life cycle of the building. The second task is to develop an estimation model for the total energy consumption and emissions to air of environmentally harmful gases from the dwelling stock of Norway. Finally, the third task is to assess the total energy saving potential and the total environmental benefits of energy saving measures in houses on a national level, including only life cycle analyses of additional thermal insulation measures on single houses. Chap 2 describes the dwelling stock in Norway. Chaps 3 and 4 present an estimation model for total energy consumption and emissions to air from the dwelling stock, and calculations using the model. Chaps 5 and 6 propose and use a calculation method for the assessment of additional thermal insulation measures, using a ``cradle-to-grave`` approach. Since hydroelectric power is the main energy source in this sector in Norway, estimated payback periods for emissions to air are long. But hydroelectric power saved in this sector may be used to obtain reduction in fossil fuel use in other sectors as discussed in Chap 7. Some of the topics discussed are further elaborated on in appendices. 107 refs., 39 figs, 88 tabs.

  8. ETHERBONE - a network layer for the wishbone SoC bus

    International Nuclear Information System (INIS)

    Kreider, M.; Terpstra, W.; Lewis, J.; Serrano, J.; Wlostowski, T.

    2012-01-01

    Today, there are several System on a Chip (SoC) bus systems. Typically, these buses are confined on-chip and rely on higher level components to communicate with the outside world. Taking these systems a step further, we see the possibility of extending the reach of the SoC bus to remote FPGAs or processors. This leads to the idea of the EtherBone (EB) core, which connects a Wishbone (WB) Ver. 4 Bus via a Gigabit Ethernet based network link to remote peripheral devices. EB acts as a transparent interconnect module towards attached WB Bus devices. Address information and data from one or more WB bus cycles is preceded with a descriptive header and encapsulated in a UDP/IP packet. Because of this standard compliance, EB is able to traverse Wide Area Networks and is therefore not bound to a geographic location. Due to the low level nature of the WB bus, EB provides a sound basis for remote hardware tools like a JTAG debugger, In-System-Programmer (ISP), boundary scan interface or logic analyser module. EB was developed in the scope of the White-Rabbit Timing Project (WR) at CERN and GSI/FAIR, which employs GigaBit Ethernet technology to communicate with memory mapped slave devices. WR will make use of EB as means to issue commands to its timing nodes and control connected accelerator hardware. (authors)

  9. Effects of stocking density on growth performance, meat quality and tibia development of Pekin ducks.

    Science.gov (United States)

    Zhang, Ya Ru; Zhang, Lu Shuang; Wang, Zhong; Liu, Yang; Li, Fu Huang; Yuan, Jian Min; Xia, Zhao Fei

    2018-06-01

    This study was performed to investigate the effects of stocking density on performance, meat quality and tibia development in Pekin ducks reared on a plastic wire floor. A total of 372 healthy, 21-day-old, male ducks with similar body weight (BW) were randomly allotted to stocking densities of five (low), eight (medium) and 11 (high) birds/m 2 . Each group had six replicates. Results showed that compared with the low density group, medium and high stocking density caused a decrease in final BW at 42 days old, and in average daily gain, European performance index (p study, the stocking density of male Pekin ducks should be adjusted between five and eight birds/m 2 . © 2018 Japanese Society of Animal Science.

  10. Carbon stocks of intact mangroves and carbon emissions arising from their conversion in the Dominican Republic.

    Science.gov (United States)

    Kauffman, J Boone; Heider, Chris; Norfolk, Jennifer; Payton, Frederick

    2014-04-01

    Mangroves are recognized to possess a variety of ecosystem services including high rates of carbon sequestration and storage. Deforestation and conversion of these ecosystems continue to be high and have been predicted to result in significant carbon emissions to the atmosphere. Yet few studies have quantified the carbon stocks or losses associated with conversion of these ecosystems. In this study we quantified the ecosystem carbon stocks of three common mangrove types of the Caribbean as well as those of abandoned shrimp ponds in areas formerly occupied by mangrove-a common land-use conversion of mangroves throughout the world. In the mangroves of the Montecristi Province in Northwest Dominican Republic we found C stocks ranged from 706 to 1131 Mg/ha. The medium-statured mangroves (3-10 m in height) had the highest C stocks while the tall (> 10 m) mangroves had the lowest ecosystem carbon storage. Carbon stocks of the low mangrove (shrub) type (carbon-rich soils as deep as 2 m. Carbon stocks of abandoned shrimp ponds were 95 Mg/ha or approximately 11% that of the mangroves. Using a stock-change approach, the potential emissions from the conversion of mangroves to shrimp ponds ranged from 2244 to 3799 Mg CO2e/ha (CO2 equivalents). This is among the largest measured C emissions from land use in the tropics. The 6260 ha of mangroves and converted mangroves in the Montecristi Province are estimated to contain 3,841,490 Mg of C. Mangroves represented 76% of this area but currently store 97% of the carbon in this coastal wetland (3,696,722 Mg C). Converted lands store only 4% of the total ecosystem C (144,778 Mg C) while they comprised 24% of the area. By these metrics the replacement of mangroves with shrimp and salt ponds has resulted in estimated emissions from this region totaling 3.8 million Mg CO2e or approximately 21% of the total C prior to conversion. Given the high C stocks of mangroves, the high emissions from their conversion, and the other important

  11. Estimating uncertainty of data limited stock assessments

    DEFF Research Database (Denmark)

    Kokkalis, Alexandros; Eikeset, Anne Maria; Thygesen, Uffe Høgsbro

    2017-01-01

    -limited. Particular emphasis is put on providing uncertainty estimates of the data-limited assessment. We assess four cod stocks in the North-East Atlantic and compare our estimates of stock status (F/Fmsy) with the official assessments. The estimated stock status of all four cod stocks followed the established stock...

  12. 41 CFR 109-27.5003 - Stock control.

    Science.gov (United States)

    2010-07-01

    ... 41 Public Contracts and Property Management 3 2010-07-01 2010-07-01 false Stock control. 109-27... control. (a) Stock control shall be maintained on the basis of stock record accounts of inventories on... property under stock control for greater than 90 days shall be maintained in stock record accounts. ...

  13. Large Differences in Global and Regional Total Soil Carbon Stock Estimates Based on SoilGrids, HWSD, and NCSCD: Intercomparison and Evaluation Based on Field Data From USA, England, Wales, and France

    Science.gov (United States)

    Tifafi, Marwa; Guenet, Bertrand; Hatté, Christine

    2018-01-01

    Soils are the major component of the terrestrial ecosystem and the largest organic carbon reservoir on Earth. However, they are a nonrenewable natural resource and especially reactive to human disturbance and climate change. Despite its importance, soil carbon dynamics is an important source of uncertainty for future climate predictions and there is a growing need for more precise information to better understand the mechanisms controlling soil carbon dynamics and better constrain Earth system models. The aim of our work is to compare soil organic carbon stocks given by different global and regional databases that already exist. We calculated global and regional soil carbon stocks at 1 m depth given by three existing databases (SoilGrids, the Harmonized World Soil Database, and the Northern Circumpolar Soil Carbon Database). We observed that total stocks predicted by each product differ greatly: it is estimated to be around 3,400 Pg by SoilGrids and is about 2,500 Pg according to Harmonized World Soil Database. This difference is marked in particular for boreal regions where differences can be related to high disparities in soil organic carbon concentration. Differences in other regions are more limited and may be related to differences in bulk density estimates. Finally, evaluation of the three data sets versus ground truth data shows that (i) there is a significant difference in spatial patterns between ground truth data and compared data sets and that (ii) data sets underestimate by more than 40% the soil organic carbon stock compared to field data.

  14. Potential increases in natural disturbance rates could offset forest management impacts on ecosystem carbon stocks

    Science.gov (United States)

    Bradford, John B.; Jensen, Nicholas R.; Domke, Grant M.; D’Amato, Anthony W.

    2013-01-01

    Forested ecosystems contain the majority of the world’s terrestrial carbon, and forest management has implications for regional and global carbon cycling. Carbon stored in forests changes with stand age and is affected by natural disturbance and timber harvesting. We examined how harvesting and disturbance interact to influence forest carbon stocks over the Superior National Forest, in northern Minnesota. Forest inventory data from the USDA Forest Service, Forest Inventory and Analysis program were used to characterize current forest age structure and quantify the relationship between age and carbon stocks for eight forest types. Using these findings, we simulated the impact of alternative management scenarios and natural disturbance rates on forest-wide terrestrial carbon stocks over a 100-year horizon. Under low natural mortality, forest-wide total ecosystem carbon stocks increased when 0% or 40% of planned harvests were implemented; however, the majority of forest-wide carbon stocks decreased with greater harvest levels and elevated disturbance rates. Our results suggest that natural disturbance has the potential to exert stronger influence on forest carbon stocks than timber harvesting activities and that maintaining carbon stocks over the long-term may prove difficult if disturbance frequency increases in response to climate change.

  15. Valuation of common and preferred stocks

    Directory of Open Access Journals (Sweden)

    Nikolić Ljubica

    2014-01-01

    Full Text Available Buying stocks is a modern way of investing. The investors may place the available capital on the domestic and foreign stock market, they may buy more stocks of a single issuer or distribute money to purchase stocks of various public (stock-exchange companies, and they may form a portfolio of various securities. The investors' decisions on these options are based on their estimate on returns and risks underlying individual security instruments (securities. The two basic approaches to valuation of common stocks are: the Present Value Approach (method of valuating the capitalization of income and the P/E Ratio Approach (the method of valuating the multiple of per-share earnings. Instead of viewing these methods as competing alternatives, they should better be viewed as mutually complementary methods. Both methods are equally useful and their concurrent use may provide better grounds for the analysts' valuation of stocks.

  16. An evaluation of multi-annual management strategies for ICES roundfish stocks

    DEFF Research Database (Denmark)

    Kell, L.T.; Pilling, G.M.; Kirkwood, G.P.

    2006-01-01

    to observe, monitor, assess, and control them. Strategies were evaluated in terms of risk (measured as the probability of spawning-stock biomass falling below a biomass threshold for the stock) and cumulative yield. In general, bounds on interannual TAC change of 10% and 20% affected the ability to achieve......Current scientific management objectives for ICES roundfish stocks are to ensure conservation of the biological resource and do not explicitly consider economic or social objectives. For example, there are currently no objectives to maximize the sustainable yield or to reduce variability in total...... allowable catches (TACs). This is despite the fact that the current system can result in wide annual fluctuations in TAC, limiting the ability of the fishing industry to plan for the future. Therefore, this study evaluated management strategies that stabilized catches by setting bounds on the interannual...

  17. DMA Controller for LEON3 SoC:s Using AMBA

    OpenAIRE

    Nilsson, Emelie

    2013-01-01

    A DMA Controller can offload a processor tremendously. A memory copy operation can be initiated by the processor and while the processor executes others tasks the memory copy can be fulfilled by the DMA Controller. An implementation of a DMA Controller for use in LEON3 SoC:s has been made during this master thesis. Problems that occurred while designing a controller of this type concerned AMBA buses, data transfers, alignment and interrupt handling. The DMA Controller supports AMBA and is att...

  18. Soil carbon and nitrogen stocks in traditional agricultural and agroforestry systems in the semiarid region of Brazil

    Directory of Open Access Journals (Sweden)

    José Augusto Amorim Silva do Sacramento

    2013-06-01

    Full Text Available In the semiarid region of Brazil, inadequate management of cropping systems and low plant biomass production can contribute to reduce soil carbon (C and nitrogen (N stocks; therefore, management systems that preserve C and N must be adopted. This study aimed to evaluate the changes in soil C and N stocks that were promoted by agroforestry (agrosilvopastoral and silvopastoral and traditional agricultural systems (slash-and-burn clearing and cultivation for two and three years and to compare these systems with the natural Caatinga vegetation after 13 years of cultivation. The experiment was carried out on a typical Ortic Chromic Luvisol in the municipality of Sobral, Ceará, Brazil. Soil samples were collected (layers 0-6, 6-12, 12-20, 20-40 and 40-60 cm with four replications. The plain, convex and concave landforms in each study situation were analyzed, and the total organic C, total N and densities of the soil samples were assessed. The silvopastoral system promoted the greatest long-term reductions in C and N stocks, while the agrosilvopastoral system promoted the smallest losses and therefore represents a sustainable alternative for soil C and N sequestration in these semiarid conditions. The traditional agricultural system produced reductions of 58.87 and 9.57 Mg ha-1 in the organic C and total N stocks, respectively, which suggests that this system is inadequate for these semiarid conditions. The organic C stocks were largest in the concave landform in the agrosilvopastoral system and in the plain landform in the silvopastoral system, while the total N values were highest in the concave landform in the native, agrosilvopastoral and silvopastoral systems.

  19. Overexpression of DOSOC1, an ortholog of Arabidopsis SOC1, promotes flowering in the orchid Dendrobium Chao Parya Smile.

    Science.gov (United States)

    Ding, Lihua; Wang, Yanwen; Yu, Hao

    2013-04-01

    SUPPRESSOR OF OVEREXPRESSION OF CONSTANS1 (SOC1) encodes a MADS-box protein that plays an essential role in integrating multiple flowering signals to regulate the transition from vegetative to reproductive development in the model plant Arabidopsis. Although SOC1-like genes have been isolated in various angiosperms, its orthologs in Orchidaceae, one of the largest families of flowering plants, are so far unknown. To investigate the regulatory mechanisms of flowering time control in orchids, we isolated a SOC1-like gene, DOSOC1, from Dendrobium Chao Praya Smile. DOSOC1 was highly expressed in reproductive organs, including inflorescence apices, pedicels, floral buds and open flowers. Its expression significantly increased in whole plantlets during the transition from vegetative to reproductive development, which usually occurred after 8 weeks of culture in Dendrobium Chao Praya Smile. In the shoot apex at the floral transitional stage, DOSOC1 was particularly expressed in emerging floral meristems. Overexpression of DOSOC1 in wild-type Arabidopsis plants resulted in early flowering, which was coupled with the up-regulation of two other flowering promoters, AGAMOUS-LIKE 24 and LEAFY. In addition, overexpression of DOSOC1 was able partially to complement the late-flowering phenotype of Arabidopsis soc1-2 loss-of-function mutants. Furthermore, we successfully created seven 35S:DOSOC1 transgenic Dendrobium orchid lines, which consistently exhibited earlier flowering than wild-type orchids. Our results suggest that SOC1-like genes play an evolutionarily conserved role in promoting flowering in the Orchidaceae family, and that DOSOC1 isolated from Dendrobium Chao Praya Smile could serve as an important target for genetic manipulation of flowering time in orchids.

  20. Assessment of LabSOCS as a tool for the calculation of self-attenuation coefficients in gamma spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Lopes, J.; De Medeiros, M. P.; Garcez, R.; Filgueiras, R.; Thalhofer, J.; Da Silva, A. X. [Universidade Federal do Rio de Janeiro, Programa de Engenharia Nuclear, Av. Horacio Macedo 2030, 21945-970 Rio de Janeiro (Brazil); Freitas R, W., E-mail: marqueslopez@yahoo.com.br [Instituto Militar de Engenharia, Secao de Engenharia Nuclear, Praca Gen. Tiburcio 80, 22290-270 Urca, Rio de Janeiro (Brazil)

    2017-10-15

    In spectrometry, the self-attenuation coefficients are fundamental to correct the efficiency of the detection of samples whose density is different from the radioactive standard. To facilitate the procedure of coefficient calculation, mathematical simulations have been widespread as a tool. In this paper, LabSOCS was used to calculate the self-attenuation coefficients for some geometries and the values found were compared to those obtained with MCNPX and experimental values. The percentage deviations found for the self-attenuation coefficient calculated by LabSOCS were below 1.6%, when compared to experimental values. In the extrapolation zone of the fitting curve of the experimental model, the deviations were below 1.9%. The results obtained show that the deviations increase proportionally to the amplitude between the density values of the radioactive standard and the sample. High percentage deviations were also obtained in simulations whose samples had high densities, complex geometries and low energy levels. However, the results indicate that LabSOCS is a tool which may be used in the calculation of self-attenuation coefficients. (Author)

  1. 12 CFR 221.119 - Applicability of plan-lender provisions to financing of stock options and stock purchase rights...

    Science.gov (United States)

    2010-01-01

    ... experience that in some nonqualified plans, particularly stock purchase plans, the credit arrangement is... financing of stock options and stock purchase rights qualified or restricted under Internal Revenue Code... PURCHASING OR CARRYING MARGIN STOCK (REGULATION U) Interpretations § 221.119 Applicability of plan-lender...

  2. Neighborhood-resources for the development of a strong SOC and the importance of understanding why and how resources work: a grounded theory approach.

    Science.gov (United States)

    Maass, Ruca; Lindström, Bengt; Lillefjell, Monica

    2017-09-12

    Providing individuals with psychosocial resources such as sense of coherence (SOC) seems a beneficial strategy for health promotion in the neighborhood. In order to become a supporting theory for health promotion, Salutogenesis should renew its focus on resources for health, and explore how the development of a strong SOC can be facilitated. Relevant issues were explored using a Grounded Theory- approach. Three focus-group-sessions and three in-depth interviews were conducted with strategically sampled participants. The transcripts of the focus groups were initially analyzed line-by-line to ensure that insights emerged from the data. We then applied focused and systemic analyses to achieve axial coding, and to include insights into how social interactions during focus groups may reveal social processes in real-life-neighborhoods. The data from the in-depth interviews were used to validate and fill emerging categories, as well as to ensure data-saturation. Findings indicate the importance of repeated experiences with resources and every-day-challenges to develop a strong SOC. Active engagement with resources is a favorable condition for significant experiences, which enhance the internalization of resources. Core experiences are characterized by a re-organization of resources. Participation in intellectual meaning-making through equal power dialogue seems to broaden perspectives and promote the strengthening of SOC. A strong SOC can also be described as a deeper understanding of how and why resources work, which allows for a more flexible use of resources, including replacing missing resources. A new understanding of SOC as an intuitive understanding of how, why and under which circumstances resources work, as well as a new focus on everyday life and repeated experiences might facilitate new approaches to a purposeful strengthening of SOC through the planning and implementation of public measures.

  3. An operatorial approach to stock markets

    International Nuclear Information System (INIS)

    Bagarello, F

    2006-01-01

    We propose and discuss some toy models of stock markets using the same operatorial approach adopted in quantum mechanics. Our models are suggested by the discrete nature of the number of shares and of the cash which are exchanged in a real market, and by the existence of conserved quantities, like the total number of shares or some linear combination of cash and shares. The same framework as the one used in the description of a gas of interacting bosons is adopted

  4. The fate of SOC during the processes of water erosion and subsequent deposition: a field study.

    Science.gov (United States)

    van Hemelryck, H.; Govers, G.; van Oost, K.; Merckx, R.

    2009-04-01

    Globally soils are the largest terrestrial pool of carbon (C). A relatively small increase or decrease in soil carbon content due to changes in land use or management practices could therefore result in a significant net exchange of C between the soil C reservoir and the atmosphere. As such, the geomorphic processes of water and tillage erosion have been identified to significantly impact on this large pool of soil organic carbon (SOC). Soil erosion, transport and deposition not only result in redistribution of sediments and associated carbon within a landscape, but also affect the exchange of C between the pedosphere and the atmosphere. The direction and magnitude of an erosion-induced change in the global C balance is however a topic of much debate as opposing processes interact: i) At eroding sites a net uptake of C could be the result of reduced respiration rates and continued inputs of newly produced carbon. ii) Colluvial deposition of eroded sediment and SOC leads to the burial of the original topsoil and this may constrain the decomposition of its containing SOC. iii) Eroded sediment could be transported to distal depositional environments or fluvial systems where it will either be conserved or become rapidly mineralized. iv) Increased emission of CO2 due to erosion may result from the disruptive energy of erosive forces causing the breakdown of aggregates and exposing previously protected SOC to microbial decomposition. The above-mentioned processes show a large spatial and temporal variability and assessing their impact requires an integrated modeling approach. However uncertainties about the basic processes that accompany SOC displacement are still large. This study focuses on one of these large information gaps: the fate of eroded and subsequently deposited SOC. A preceding experimental study (Van Hemelryck et al., 2008) was used to identify controlling factors (erosional intensity, changes in soil structure,…). However this experimental research

  5. 78 FR 17066 - Indirect Stock Transfers and Coordination Rule Exceptions; Transfers of Stock or Securities in...

    Science.gov (United States)

    2013-03-19

    ... Indirect Stock Transfers and Coordination Rule Exceptions; Transfers of Stock or Securities in Outbound... issue of the Federal Register, the IRS and the Treasury Department are issuing temporary regulations... stock transfers for certain outbound asset reorganizations. The temporary regulations also modify the...

  6. Stock Market Integration in Africa: The Case of the Johannesburg Stock Exchange and Selected African Countries

    OpenAIRE

    Gail Ncube; Kapingura Forget Mingiri

    2015-01-01

    African stock markets are deemed to be small, segmented and illiquid. Given this back ground, the study utilises monthly data for the period 2000-2008, employing the Johansen and Julius cointegration method to determine the long-run relationship between the five selected African stock markets. Granger causality tests were also conducted to establish if there are any causal links between the stock markets in Africa. The analysis in the study indicates that African stock markets are improving i...

  7. Parallel Prediction of Stock Volatility

    Directory of Open Access Journals (Sweden)

    Priscilla Jenq

    2017-10-01

    Full Text Available Volatility is a measurement of the risk of financial products. A stock will hit new highs and lows over time and if these highs and lows fluctuate wildly, then it is considered a high volatile stock. Such a stock is considered riskier than a stock whose volatility is low. Although highly volatile stocks are riskier, the returns that they generate for investors can be quite high. Of course, with a riskier stock also comes the chance of losing money and yielding negative returns. In this project, we will use historic stock data to help us forecast volatility. Since the financial industry usually uses S&P 500 as the indicator of the market, we will use S&P 500 as a benchmark to compute the risk. We will also use artificial neural networks as a tool to predict volatilities for a specific time frame that will be set when we configure this neural network. There have been reports that neural networks with different numbers of layers and different numbers of hidden nodes may generate varying results. In fact, we may be able to find the best configuration of a neural network to compute volatilities. We will implement this system using the parallel approach. The system can be used as a tool for investors to allocating and hedging assets.

  8. Effects of sex, stock, and environment on the shape of known-age Atlantic cod ( Gadus morhua ) otoliths

    DEFF Research Database (Denmark)

    Cardinale, M.; Doering-Arjes, P.; Kastowsky, M.

    2004-01-01

    The effects of sex, stock, and environment on the shape of known-age Atlantic cod (Gadus morhua) otoliths from the Faroe Islands were investigated. Moreover, the feasibility of otolith shape analysis for stock identification was evaluated. The shape was described by using several normalized Fourier...... descriptors and morphometric variables. There were no consistent differences between the left and right otoliths and between sexes within different age classes, stocks, and environments. With our experimental design, we could evaluate the relative importance of genetic and environmental conditions (water...... temperature and diet regime) on otolith shape and morphometrics. Using otolith shape, cod individuals were significantly separated into Bank and Plateau stocks. Total classification success was between 79% and 85% between stocks and between 85% and 96% between environments for the different age classes...

  9. Volatility Transmission Between Dow Jones Stock Index And Emerging Islamic Stock Index: Case Of Subprime Financial Crises

    Directory of Open Access Journals (Sweden)

    Amir Saadaoui

    2015-02-01

    Full Text Available In the course of the recent global crisis, the stock shocks are distributed and transmitted from their homes in the developed stock market to emerging stock markets. By supporting the development of emerging stock markets, this study aims to see the transmission of volatility between the Dow Jones stock index and the Dow Jones emerging Islamic stock indiex. In this study we have divided the period into three, periods, before, during and after this crisis to demonstrate the resilience of the Islamic market index in response to the global financial crisis. Another aim of this study is to provide a new guide line for investors in emerging stock market before making investment decisions. The data are daily, going from 02/01/2005 until 31/12/2012. To measure the transmission we used bivariate BEKK-GARCH and DCC-GARCH model. The result shows that there is a transmission mainly during the crisis period which means that the crisis affects all the financial assets whether Islamic or not. The same result also shows the preference to invest in both Islamic and classical stock indexes since they are less risky.

  10. PROCESS INNOVATION: HOLISTIC SCENARIOS TO REDUCE TOTAL LEAD TIME

    Directory of Open Access Journals (Sweden)

    Alin POSTEUCĂ

    2015-11-01

    Full Text Available The globalization of markets requires continuous development of business holistic scenarios to ensure acceptable flexibility to satisfy customers. Continuous improvement of supply chain supposes continuous improvement of materials and products lead time and flow, material stocks and finished products stocks and increasing the number of suppliers close by as possible. The contribution of our study is to present holistic scenarios of total lead time improvement and innovation by implementing supply chain policy.

  11. Modeling Soil Organic Carbon Turnover in Four Temperate Forests Based on Radiocarbon Measurements of Heterotrophic Respiration and Soil Organic Carbon

    Science.gov (United States)

    Ahrens, B.; Borken, W.; Muhr, J.; Schrumpf, M.; Savage, K. E.; Wutzler, T.; Trumbore, S.; Reichstein, M.

    2011-12-01

    Soils of temperate forests store significant amounts of soil organic matter and are considered to be net sinks of atmospheric CO2. Soil organic carbon (SOC) dynamics have been studied using the Δ14C signature of bulk SOC or different SOC fractions as observational constraints in SOC models. Further, the Δ14C signature of CO2 evolved during the incubation of soil and roots has been widely used together with Δ14C of total soil respiration to partition soil respiration into heterotrophic respiration (Rh) and root respiration. However, these data have rarely been used together as observational constraints to determine SOC turnover times. Here, we present a multiple constraints approach, where we used SOC stock and its Δ14C signature, and heterotrophic respiration and its Δ14C signature to estimate SOC turnover times of a simple serial two-pool model via Bayesian optimization. We used data from four temperate forest ecosystems in Germany and the USA with different disturbance and management histories from selective logging to afforestation in the late 19th and early 20th century. The Δ14C signature of the atmosphere with its prominent bomb peak was used as a proxy for the Δ14C signature of aboveground and belowground litterfall. The Δ14C signature of litterfall was lagged behind the atmospheric signal to account for the period between photosynthetic fixation of carbon and its addition to SOC pools. We showed that the combined use of Δ14C measurements of Rh and SOC stocks helped to better constrain turnover times of the fast pool (primarily by Δ14C of Rh) and the slow pool (primarily by Δ14C of SOC). In particular, by introducing two additional parameters that describe the deviation from steady state of the fast and slow cycling pool for both SOC and SO14C, we were able to demonstrate that we cannot maintain the often used steady-state assumption of SOC models in general. Furthermore, a new transport version of our model, including SOC transport via

  12. Biophysical Controls over Carbon and Nitrogen Stocks in Desert Playa Wetlands

    Science.gov (United States)

    McKenna, O. P.; Sala, O. E.

    2014-12-01

    Playas are ephemeral desert wetlands situated at the bottom of closed catchments. Desert playas in the Southwestern US have not been intensively studied despite their potential importance for the functioning of desert ecosystems. We want to know which geomorphic and ecological variables control of the stock size of soil organic carbon, and soil total nitrogen in playas. We hypothesize that the magnitude of carbon and nitrogen stocks depends on: (a) catchment size, (b) catchment slope, (d) catchment vegetation cover, (e) bare-ground patch size, and (f) catchment soil texture. We chose thirty playas from across the Jornada Basin (Las Cruces, NM) ranging from 0.5-60ha in area and with varying catchment characteristics. We used the available 5m digital elevation map (DEM) to calculate the catchment size and catchment slope for these thirty playas. We measured percent cover, and patch size using the point-intercept method with three 10m transects in each catchment. We used the Bouyoucos-hydrometer soil particle analysis to determine catchment soil texture. Stocks of organic carbon and nitrogen were measured from soil samples at four depths (0-10 cm, 10-30 cm, 30-60 cm, 60-100 cm) using C/N combustion analysis. In terms of nitrogen and organic carbon storage, we found soil nitrogen values in the top 10cm ranging from 41.963-214.365 gN/m2, and soil organic carbon values in the top 10cm ranging from 594.339-2375.326 gC/m2. The results of a multiple regression analysis show a positive relationship between catchment slope and both organic carbon and nitrogen stock size (nitrogen: y= 56.801 +47.053, R2=0.621; organic carbon: y= 683.200 + 499.290x, R2= 0.536). These data support our hypothesis that catchment slope is one of factors controlling carbon and nitrogen stock in desert playas. We also applied our model to the 69 other playas of the Jornada Basin and estimated stock sizes (0-10cm) between 415.07-447.97 Mg for total soil nitrogen and 4627.99-5043.51 Mg for soil organic

  13. Carbon Stock in Integrated Field Laboratory Faculty of Agriculture University of Lampung

    Directory of Open Access Journals (Sweden)

    Irwan Sukri Banuwa

    2016-05-01

    Full Text Available This study aimed to determine the amount of carbon stock and CO2 plant uptake in the Integrated Field Laboratory (IFL Faculty of Agriculture University of Lampung. The research was conducted from April to November 2015. The study was arranged in a completely randomized block design (CRBD, consisting of five land units as treatment with four replications for each treatment. Biomass of woody plants was estimated using allometric equation, biomass of understorey plants was estimated using plant dry weight equation, and organic C content in plants and soils were analyzed using a Walkey and Black method. The results showed that land unit consisting of densely woody plants significantly affects total biomass of woody plants, organic C content in woody plants and total carbon content (above and below ground. The highest amount of woody plant biomass was observed in land unit 5, i.e. 1,196.88 Mg ha-1, and above ground total carbon was 437.19 Mg ha-1. IFL Faculty of Agriculture University of Lampung has a total carbon stock of 2,051.90 Mg and capacity to take up total CO2 of 6,656.88 Mg.

  14. Effects of marine protected areas on overfished fishing stocks with multiple stable states.

    Science.gov (United States)

    Takashina, Nao; Mougi, Akihiko

    2014-01-21

    Marine protected areas (MPAs) have attracted much attention as a tool for sustainable fisheries management, restoring depleted fisheries stocks and maintaining ecosystems. However, even with total exclusion of fishing effort, depleted stocks sometimes show little or no recovery over a long time period. Here, using a mathematical model, we show that multiple stable states may hold the key to understanding the tendency for fisheries stocks to recover because of MPAs. We find that MPAs can have either a positive effect or almost no effect on the recovery of depleted fishing stocks, depending on the fish migration patterns and the fishing policies. MPAs also reinforce ecological resilience, particularly for migratory species. In contrast to previous reports, our results show that MPAs have small or sometimes negative effects on the recovery of sedentary species. Unsuitable MPA planning might result in low effectiveness or even deterioration of the existing condition. © 2013 Elsevier Ltd. All rights reserved.

  15. Does the Shanghai-Hong Kong Stock Connect significantly affect the A-H premium of the stocks?

    Science.gov (United States)

    Hui, Eddie C. M.; Chan, Ka Kwan Kevin

    2018-02-01

    Since the Shanghai-Hong Kong Stock Connect ("the Connect") was launched in late 2014, more and more Mainland investors have invested in Hong Kong listed shares, and vice versa, increasing the transaction volume of the stock market on both sides. However, only a few studies investigated how the Shanghai-Hong Kong Stock Connect affected the pricing dynamics of stocks listed in both Shanghai and Hong Kong. Applying linear regression, this study investigates how the Connect affects the H-share discounts of 12 stocks cross-listed in Shanghai and Hong Kong. A new feature of our model is that we add a dummy variable so as to be the first study to examine the effect of the China financial crisis on the A-H premium of the stocks. We find that the A-H premium of all stocks widens significantly after the Connect is launched, implying immatureness or even inefficiency of China's financial market. Furthermore, the result shows that trading activities in the mainland market affects the A-H premium more significantly than trading activities in the Hong Kong market do. This implies that China's financial market plays a dominant role in the Connect.

  16. What stock market returns to expect for the future?

    Science.gov (United States)

    Diamond, P A

    2000-01-01

    In evaluating proposals for reforming Social Security that involve stock investments, the Office of the Chief Actuary (OCACT) has generally used a 7.0 percent real return for stocks. The 1994-96 Advisory Council specified that OCACT should use that return in making its 75-year projections of investment-based reform proposals. The assumed ultimate real return on Treasury bonds of 3.0 percent implies a long-run equity premium of 4.0 percent. There are two equity-premium concepts: the realized equity premium, which is measured by the actual rates of return; and the required equity premium, which investors expect to receive for being willing to hold available stocks and bonds. Over the past two centuries, the realized premium was 3.5 percent on average, but 5.2 percent for 1926 to 1998. Some critics argue that the 7.0 percent projected stock returns are too high. They base their arguments on recent developments in the capital market, the current high value of the stock market, and the expectation of slower economic growth. Increased use of mutual funds and the decline in their costs suggest a lower required premium, as does the rising fraction of the American public investing in stocks. The size of the decrease is limited, however, because the largest cost savings do not apply to the very wealthy and to large institutional investors, who hold a much larger share of the stock market's total value than do new investors. These trends suggest a lower equity premium for projections than the 5.2 percent of the past 75 years. Also, a declining required premium is likely to imply a temporary increase in the realized premium because a rising willingness to hold stocks tends to increase their price. Therefore, it would be a mistake during a transition period to extrapolate what may be a temporarily high realized return. In the standard (Solow) economic growth model, an assumption of slower long-run growth lowers the marginal product of capital if the savings rate is constant

  17. Developing alternative indices of reproductive potential for use in fisheries management : Case studies for stocks spanning an information gradient

    DEFF Research Database (Denmark)

    Marshall, C.T.; O'Brien, L.; Tomkiewicz, Jonna

    2003-01-01

    There is accumulating evidence to suggest that spawning stock biomass (SSB) may not bedirectly proportional to reproductive potential. The wide-ranging implications of this conclu-sion necessitate that it be tested for as many stocks as possible. Undertaking such tests iscomplicated by the fact...... that fish stocks vary in the amount and type of information that isavailable to estimate reproductive potential. In this review, nine stocks illustrate the range of approaches that are being taken to developing alternative indices of reproductive potential fromexisting data resources. Three stocks had...... sufficient data to reconstruct a time series of total eggproduction (TEP), whereas, the remaining stocks were limited to estimating proxies for stockreproductive potential. For some of the case studies the alternative indices explained a higheramount of recruitment variation than did SSB. Other case studies...

  18. Material Stock Demographics: Cars in Great Britain.

    Science.gov (United States)

    Cabrera Serrenho, André; Allwood, Julian M

    2016-03-15

    Recent literature on material flow analysis has been focused on quantitative characterization of past material flows. Fewer analyses exist on past and prospective quantification of stocks of materials in-use. Some of these analyses explore the composition of products' stocks, but a focus on the characterization of material stocks and its relation with service delivery is often neglected. We propose the use of the methods of human demography to characterize material stocks, defined herein as stock demographics, exploring the insights that this approach could provide for the sustainable management of materials. We exemplify an application of stock demographics by characterizing the composition and service delivery of iron, steel, and aluminum stocks of cars in Great Britain, 2002-2012. The results show that in this period the stock has become heavier, it is traveling less, and it is idle for more time. The visualization of material stocks' dynamics demonstrates the pace of product replacement as a function of its usefulness and enables the formulation of policy interventions and the exploration of future trends.

  19. Inter-annual dynamics of the Barents Sea red king crab (Paralithodes camtschaticus) stock indices in relation to environmental factors

    Science.gov (United States)

    Dvoretsky, Alexander G.; Dvoretsky, Vladimir G.

    2016-12-01

    Knowledge of relationships between environmental variables and biological processes can greatly improve fisheries assessment and management in commercially important species. We analyzed the effects of environmental factors (climatic indices and water temperature) on the stock characteristics (total population number, number of pre-recruits and number of legal males) of the red king crab (Paralithodes camtschaticus), an introduced species in the Barents Sea. Stock trends in red king crab appear to be related to decadal climate shifts. Abundances were negatively related to the North Atlantic Oscillation index (NAO) in August and positively related to water temperature in late winter-early summer. Total and commercial stock abundance were negatively correlated with the lag-1 Arctic Oscillation index (AO) in August and the lag-2 winter NAO index. The total number of P. camtschaticus was most strongly associated with water temperature in spring and summer and NAO/AO indices in April and May. Lagged NAO indices in February and August (9 or 10 yr) had a positive relationship to the commercial stock of P. camtschaticus. These findings suggest that temperature conditions of current and previous year affect natural mortality of larvae and juvenile red king crabs. Warmer temperature conditions lead to increased biomass of red king crab food items. Negative correlations between climatic indices and the red king crab stocks may be associated with predator pressure on juvenile red king crabs or higher mortality because of predator or parasite pressure and diseases. The associations between stock indices and environmental variables could help better predict recruitment patterns of P. camtschaticus.

  20. Stock-based Compensation Plans and Employee Incentives

    OpenAIRE

    Jan Zabojnik

    2014-01-01

    Standard principal-agent theory predicts that large firms should not use employee stock options and other stock-based compensation to provide incentives to non-executive employees. Yet, business practitioners appear to believe that stock-based compensation improves incentives, and mounting empirical evidence points to the same conclusion. This paper provides an explanation for why stock-based incentives can be effective. In the model of this paper, employee stock options complement individual...