WorldWideScience

Sample records for total film growth

  1. Ion beam assisted film growth

    CERN Document Server

    Itoh, T

    2012-01-01

    This volume provides up to date information on the experimental, theoretical and technological aspects of film growth assisted by ion beams.Ion beam assisted film growth is one of the most effective techniques in aiding the growth of high-quality thin solid films in a controlled way. Moreover, ion beams play a dominant role in the reduction of the growth temperature of thin films of high melting point materials. In this way, ion beams make a considerable and complex contribution to film growth. The volume will be essential reading for scientists, engineers and students working in thi

  2. Ellipsometry of anodic film growth

    Energy Technology Data Exchange (ETDEWEB)

    Smith, C.G.

    1978-08-01

    An automated computer interpretation of ellisometer measurements of anodic film growth was developed. Continuous mass and charge balances were used to utilize more fully the time dependence of the ellipsometer data and the current and potential measurements. A multiple-film model was used to characterize the growth of films which proceeds via a dissolution--precipitation mechanism; the model also applies to film growth by adsorption and nucleation mechanisms. The characteristic parameters for film growth describe homogeneous and heterogeneous crystallization rates, film porosities and degree of hydration, and the supersaturation of ionic species in the electrolyte. Additional descriptions which may be chosen are patchwise film formation, nonstoichiometry of the anodic film, and statistical variations in the size and orientation of secondary crystals. Theories were developed to describe the optical effects of these processes. An automatic, self-compensating ellipsometer was used to study the growth in alkaline solution of anodic films on silver, cadmium, and zinc. Mass-transport conditions included stagnant electrolyte and forced convection in a flow channel. Multiple films were needed to characterize the optical properties of these films. Anodic films grew from an electrolyte supersatuated in the solution-phase dissolution product. The degree of supersaturation depended on transport conditions and had a major effect on the structure of the film. Anodic reaction rates were limited by the transport of charge carriers through a primary surface layer. The primary layers on silver, zinc, and cadmium all appeared to be nonstoichiometric, containing excess metal. Diffusion coefficients, transference numbers, and the free energy of adsorption of zinc oxide were derived from ellipsometer measurements. 97 figures, 13 tables, 198 references.

  3. Surface smoothening effects on growth of diamond films

    Science.gov (United States)

    Reshi, Bilal Ahmad; Kumar, Shyam; Kartha, Moses J.; Varma, Raghava

    2018-04-01

    We have carried out a detailed study of the growth dynamics of the diamond film during initial time on diamond substrates. The diamond films are deposited using Microwave Plasma Chemical Vapor Deposition (MPCVD) method for different times. Surface morphology and its correlation with the number of hours of growth of thin films was invested using atomic force microscopy (AFM). Diamond films have smooth interface with average roughness of 48.6873nm. The initial growth dynamics of the thin film is investigated. Interestingly, it is found that there is a decrease in the surface roughness of the film. Thus a smoothening effect is observed in the grown films. The film enters into the growth regime in the later times. Our results also find application in building diamond detector.

  4. Thin-film growth and the shadow instability

    International Nuclear Information System (INIS)

    Karunasiri, R.P.U.; Bruinsma, R.; Rudnick, J.

    1989-01-01

    We propose a growth model for deposition of thin amorphous films by the sputtering technique. For small values of the diffusion constant, the film develops a self-similar mountain landscape. As the diffusion constant is increased a regime is reached where growth of compact flat films is possible up to a critical height. Further deposition leads to surface roughening

  5. Self-Limited Growth in Pentacene Thin Films.

    Science.gov (United States)

    Pachmajer, Stefan; Jones, Andrew O F; Truger, Magdalena; Röthel, Christian; Salzmann, Ingo; Werzer, Oliver; Resel, Roland

    2017-04-05

    Pentacene is one of the most studied organic semiconducting materials. While many aspects of the film formation have already been identified in very thin films, this study provides new insight into the transition from the metastable thin-film phase to bulk phase polymorphs. This study focuses on the growth behavior of pentacene within thin films as a function of film thickness ranging from 20 to 300 nm. By employing various X-ray diffraction methods, combined with supporting atomic force microscopy investigations, one crystalline orientation for the thin-film phase is observed, while three differently tilted bulk phase orientations are found. First, bulk phase crystallites grow with their 00L planes parallel to the substrate surface; second, however, crystallites tilted by 0.75° with respect to the substrate are found, which clearly dominate the former in ratio; third, a different bulk phase polymorph with crystallites tilted by 21° is found. The transition from the thin-film phase to the bulk phase is rationalized by the nucleation of the latter at crystal facets of the thin-film-phase crystallites. This leads to a self-limiting growth of the thin-film phase and explains the thickness-dependent phase behavior observed in pentacene thin films, showing that a large amount of material is present in the bulk phase much earlier during the film growth than previously thought.

  6. Physical phenomena stipulating nucleus formation, growth and structure films

    Energy Technology Data Exchange (ETDEWEB)

    Aleksandrov, L N [AN SSSR, Novosibirsk. Inst. Fiziki Poluprovodnikov

    1975-03-01

    This review is concerned with the physical phenomena responsible for the nucleation, growth and structure of films. Emphasis is placed on the study of films of solid-metal systems, semiconductors (In, As, Cd, Se, CdS), and dielectrics. The following problems are discussed in the paper: general regularities of the thermodynamics and kinetics of film formation, methods of obtaining a solid film, the process of film formation, the rate of growth of individual grains. The critical film thickness and its measurement are also considered. The results of investigating the process of formation of mono- and polycrystalline films are discussed. It is concluded, on the basis of studies into the relaxation processes accompanying the growth of films, that an insight into these processes will permits improving film properties.

  7. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  8. Epitaxial growth of fcc Ti films on Al(001) surfaces

    International Nuclear Information System (INIS)

    Saleh, A.A.; Shutthanandan, V.; Shivaparan, N.R.; Smith, R.J.; Tran, T.T.; Chambers, S.A.

    1997-01-01

    High-energy ion scattering (HEIS), x-ray photoelectron spectroscopy, and x-ray photoelectron diffraction (XPD) were used to study the growth of thin Ti films on Al(001) surfaces. The Al surface peak area in the backscattered ion spectrum of MeV He + ions, incident along the [00 bar 1] direction, was used to monitor the atomic structure of the Ti films during growth. An initial decrease in the area was observed indicating epitaxial film growth. This decrease continued up to a critical film thickness of about 5.5 ML, after which point the structure of the film changed. Titanium films 3, 5, and 9 ML thick were characterized using XPD in the same chamber. Both the HEIS and XPD results show that the Ti films grow with an fcc structure on Al(001). A tetragonal distortion of 2.4% in the fcc Ti film was measured using ions incident along the [10 bar 1] direction. Although there is a general similarity of fcc Ti growth on both Al(001) and Al(110), the submonolayer growth regime does show differences for the two surfaces. copyright 1997 The American Physical Society

  9. Growth dynamics of reactive-sputtering-deposited AlN films

    International Nuclear Information System (INIS)

    Auger, M.A.; Vazquez, L.; Sanchez, O.; Jergel, M.; Cuerno, R.; Castro, M.

    2005-01-01

    We have studied the surface kinetic roughening of AlN films grown on Si(100) substrates by dc reactive sputtering within the framework of the dynamic scaling theory. Films deposited under the same experimental conditions for different growth times were analyzed by atomic force microscopy and x-ray diffraction. The AlN films display a (002) preferred orientation. We have found two growth regimes with a crossover time of 36 min. In the first regime, the growth dynamics is unstable and the films present two types of textured domains, well textured and randomly oriented, respectively. In contrast, in the second regime the films are homogeneous and well textured, leading to a relative stabilization of the surface roughness characterized by a growth exponent β=0.37±0.03. In this regime a superrough scaling behavior is found with the following exponents: (i) Global exponents: roughness exponent α=1.2±0.2 and β=0.37±0.03 and coarsening exponent 1/z=0.32±0.05; (ii) local exponents: α loc =1, β loc =0.32±0.01. The differences between the growth modes are found to be related to the different main growth mechanisms dominating their growth dynamics: sticking anisotropy and shadowing, respectively

  10. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  11. Growth of anodic films on niobium

    International Nuclear Information System (INIS)

    Gomes, M.A.B.; Bulhoes, L.O.S.

    1988-01-01

    The analysis of the response of the galvanostatic growth of anodic films on niobium metal in aqueous solutions is shown. The first spark voltage showed a dependence upon value of current density that could be explained as the incorporation of anions into the film. (M.J.C.) [pt

  12. Growth of ultra-thin Ag films on Ni(111)

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, Axel; Flege, Jan Ingo; Falta, Jens [Institute of Solid State Physics, University of Bremen, 28359 Bremen (Germany); Senanayake, Sanjaya [Chemistry Department, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Alamgir, Faisal [Georgia Institute of Technology, Atlanta, GA 30332-0245 (United States)

    2009-07-01

    The physical and chemical properties of ultra-thin metal films on metallic substrates strongly depend on their morphology and the structure of the buried interface. Hence, detailed knowledge of the growth mechanisms is essential for the creation of new functional materials with novel characteristics. In this contribution, we present a comprehensive structural study of the growth and properties of epitaxial Ag films on Ni(111) by in-situ low energy electron microscopy (LEEM). For lower temperatures, the growth of the Ag film proceeds in a Stranski-Krastanov mode after completion of the wetting layer, while for higher temperatures layer-by-layer growth is observed. Quantitative information about the film structure were obtained by analyzing the intensity-voltage (I-V) dependence of the local electron reflectivity (IV-LEEM). The corresponding I(V) spectra showed intensity oscillations depending on local thickness of the Ag film due to the quantum size effect (QSE). Modeling of the I(V) spectra was performed both within the framework of a one-dimensional Kronig-Penney model and multiple scattering IV-LEED calculations. The results of both approaches concerning the variation of the layer spacings and interface characteristics for different temperatures and film thicknesses will be discussed.

  13. Influence of deposition parameters on the refractive index and growth rate of diamond-like carbon films

    International Nuclear Information System (INIS)

    Zhang, G.F.; Zheng, X.; Guo, L.J.; Liu, Z.T.; Xiu, N.K.

    1994-01-01

    In order to use diamond-like carbon (DLC) films as protective and antireflection coatings for IR optical materials exposed to hostile environments, an investigation has been systematically conducted on the influence of the deposition parameters on the refractive index and growth rate of DLC films, which are two of the most important parameters in evaluating optical characteristics of antireflection coatings. The experimental results show that both the refractive index and growth rate of DLC films depend strongly on the negative d.c. bias voltage. The refractive index increases with increasing bias voltage and decreases with increasing partial pressure of the hydrocarbon gas and total flow rate of the mixture. The growth rate increases greatly when the bias voltage is larger than a threshold value. The various parameters which influence the structure and properties of DLC films are interrelated. Fourier transform IR spectroscopy results show that the strength of the C-H stretching absorption band in the range 3300-2850 cm -1 is gradually weakened with increasing negative bias voltage and argon concentration. High energy bombardment of the growing film plays an important role in the structure and hence the properties of DLC films. (orig.)

  14. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  15. Role of nucleation in nanodiamond film growth

    International Nuclear Information System (INIS)

    Lifshitz, Y.; Lee, C.H.; Wu, Y.; Zhang, W.J.; Bello, I.; Lee, S.T.

    2006-01-01

    Nanodiamond films were deposited using different microwave plasma chemical vapor deposition schemes following several nucleation pretreatment methods. The nucleation efficiency and the films structure were investigated using scanning and transmission electron microscopy and Raman spectroscopy. C 2 dimer growth (CH 4 and H 2 in 90% Ar) cannot nucleate diamond and works only on existing diamond surfaces. The methyl radical process (up to 20% CH 4 in H 2 ) allows some nucleation probability on appropriate substrates. Prolonged bias enhanced nucleation initiates both diamond nucleation and growth. C 2 dimer growth results in pure nanodiamond free of amorphous carbon, while prolonged bias enhanced nucleation forms an amorphous carbon/nanodiamond composite

  16. Growth model of Au films on Ru(001)

    International Nuclear Information System (INIS)

    Canessa, E.; Calmetta, A.

    1992-06-01

    In an attempt to find generic features on the fractal growth of Au films deposited on Ru(001), a simple simulation model based on irreversible diffusion-limited aggregation (DLA) is discussed. Highly irregular two-dimensional dentritic islands of Au particles that gradually grow on a larger host lattice of Ru particles and have fractal dimension d f approx. 1.70 each, are generated via a multiple had-hoc version of the DLA algorithm for single aggregates. Annealing effects on the islands morphology are reproduced assuming different sticking probabilities at nearest-neighbour lattice sites of Au films on Ru(001). Using simulation data, islands growth are described in analogy to diffusion-limited, precipitate growth with soft impingement of precipities. This leads to analyse thin film island growth kinetics in such fractal systems and to predict a main peak in scattering intensity patterns due to interisland interference. (author). 12 refs, 4 figs

  17. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  18. Surface preparation for the heteroepitactic growth of ceramic thin films

    International Nuclear Information System (INIS)

    Norton, M.G.; Summerfelt, S.R.; Carter, C.B.

    1990-01-01

    The morphology, composition, and crystallographic orientation of the substrate influence the nucleation and growth of deposited thin films. A method for the preparation of controlled, characteristic surfaces is reported. The surfaces are suitable for the heteroepitactic growth of thin films. When used in the formation of electron-transparent thin foils, the substrates can be used to investigate the very early stages of film growth using transmission electron microscopy. The substrate preparation involves the cleaning and subsequent annealing to generate a surface consisting of a series of steps. The step terraces are formed on the energetically stable surface, and controlled nucleation and growth of films at step edges is found. The substrate materials prepared using this technique include (001) MgO, (001) SrTiO 3 , and (001) LaAlO 3

  19. Temperature-dependent evolution of chemisorbed digermane in Ge thin film growth

    International Nuclear Information System (INIS)

    Eres, D.; Sharp, J.W.

    1992-01-01

    The formation and evolution of chemisorbed digermane layers in context with germanium thin film growth was investigated by time- resolved surface reflectometry. Modulation of the source gas supply made possible the separation and independent study of the temperature dependence of the adsorption and desorption processes. The regeneration of active sites by molecular hydrogen desorption was identified as the rate-limiting step at low substrate temperatures. A dynamic method of thin film growth was demonstrated by repetitively replenishing the active film growth sites regenerated between two successive source gas pulses. The film growth rate was shown to be related to the substrate temperature and the delay time between successive source gas pulses

  20. Effect of deposition conditions on the growth rate and electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Roro, K.T.; Botha, J.R.; Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)

    2008-07-01

    ZnO thin films have been grown on glass substrates by MOCVD. The effect of deposition conditions such as VI/II molar ratio, DEZn flow rate and total reactor pressure on the growth rate and electrical properties of the films was studied. It is found that the growth rate decreases with an increase in the VI/II molar ratio. This behaviour is ascribed to the competitive adsorption of reactant species on the growth surface. The growth rate increases with an increase in DEZn flow rate, as expected. It is shown that the carrier concentration is independent of the DEZn flow rate. An increase in the total reactor pressure yields a decrease in growth rate. This phenomenon is attributed to the depletion of the gas phase due to parasitic prereactions between zinc and oxygen species at high pressure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Formation of resonant bonding during growth of ultrathin GeTe films

    NARCIS (Netherlands)

    Wang, Ruining; Zhang, Wei; Momand, Jamo; Ronneberger, Ider; Boschker, Jos E.; Mazzarello, Riccardo; Kooi, Bart J.; Riechert, Henning; Wuttig, Matthias; Calarco, Raffaella

    2017-01-01

    A highly unconventional growth scenario is reported upon deposition of GeTe films on the hydrogen passivated Si(111) surface. Initially, an amorphous film forms for growth parameters that should yield a crystalline material. The entire amorphous film then crystallizes once a critical thickness of

  2. Correlating defect density with growth time in continuous graphene films.

    Science.gov (United States)

    Kang, Cheong; Jung, Da Hee; Nam, Ji Eun; Lee, Jin Seok

    2014-12-01

    We report that graphene flakes and films which were synthesized by copper-catalyzed atmospheric pressure chemical vapor deposition (APCVD) method using a mixture of Ar, H2, and CH4 gases. It was found that variations in the reaction parameters, such as reaction temperature, annealing time, and growth time, influenced the domain size of as-grown graphene. Besides, the reaction parameters influenced the number of layers, degree of defects and uniformity of the graphene films. The increase in growth temperature and annealing time tends to accelerate the graphene growth rate and increase the diffusion length, respectively, thereby increasing the average size of graphene domains. In addition, we confirmed that the number of pinholes reduced with increase in the growth time. Micro-Raman analysis of the as-grown graphene films confirmed that the continuous graphene monolayer film with low defects and high uniformity could be obtained with prolonged reaction time, under the appropriate annealing time and growth temperature.

  3. Growth of organic films on indoor surfaces

    DEFF Research Database (Denmark)

    Weschler, Charles J.; Nazaroff, W. W.

    2017-01-01

    predictions indicate that film growth would primarily be influenced by the gas-phase concentration of SVOCs with octanol-air partitioning (Koa) values in the approximate range 10≤log Koa≤13. Within the relevant range, SVOCs with lower values will equilibrate with the surface film more rapidly. Over time...

  4. Growth Mechanism of Cluster-Assembled Surfaces: From Submonolayer to Thin-Film Regime

    Science.gov (United States)

    Borghi, Francesca; Podestà, Alessandro; Piazzoni, Claudio; Milani, Paolo

    2018-04-01

    Nanostructured films obtained by assembling preformed atomic clusters are of strategic importance for a wide variety of applications. The deposition of clusters produced in the gas phase onto a substrate offers the possibility to control and engineer the structural and functional properties of the cluster-assembled films. To date, the microscopic mechanisms underlying the growth and structuring of cluster-assembled films are poorly understood, and, in particular, the transition from the submonolayer to the thin-film regime is experimentally unexplored. Here we report the systematic characterization by atomic force microscopy of the evolution of the structural properties of cluster-assembled films deposited by supersonic cluster beam deposition. As a paradigm of nanostructured systems, we focus our attention on cluster-assembled zirconia films, investigating the influence of the building block dimensions on the growth mechanisms and roughening of the thin films, following the growth process from the early stages of the submonolayer to the thin-film regime. Our results demonstrate that the growth dynamics in the submonolayer regime determines different morphological properties of the cluster-assembled thin film. The evolution of the roughness with the number of deposited clusters reproduces the growth exponent of the ballistic deposition in the 2 +1 model from the submonolayer to the thin-film regime.

  5. Growth and structure of Co/Au magnetic thin films

    International Nuclear Information System (INIS)

    Marsot, N.

    1999-01-01

    We have studied the growth and the crystallographic structure of magnetic ultra thin cobalt/gold films (Co/Au), in order to investigate the correlations between their magnetic and structural properties. Room temperature (R.T.) Co growth on Au (111) proceeds in three stages. Up to 2 Co monolayers (ML), a bilayer island growth mode is observed. Between 2 and 5 ML, coalescence of the islands occurs, covering the substrate surface and a Co/Au mixing is observed resulting from the de-construction of the Herringbone reconstruction. Finally, beyond 5 ML, the CoAu mixing is buried and the Co growth continues in a 3-D growth. Annealing studies at 600 K on this system show a smoothing effect of the Co film, and at the same time, segregation of Au atoms. The quality of the Co/Au interface (sharpness) is not enhanced by the annealing. The local order was studied by SEXAFS and the long range order by GIXRD showing that the Co film has a hexagonal close packed structure, with an easy magnetization axis perpendicular to the surface. From a local order point of view, the Co grows with an incoherent epitaxy and keeps its own bulk parameters. The GIXRD analysis shows a residual strain in the Co film of 4%. The difference observed between the local order analysis and the long range order results is explained in terms of the low dimensions of the diffracting domains. The evolution of film strains, as a function of the Co coverage, shows a marked deviation from the elastic strain theory. Modification of the strain field in the Co film as a function of the Au coverage is studied by GIXRD analysis. The Au growth study, at R.T., shows no evidence of a Au/Co mixing in the case of the Au/Co interface. The Au overlayer adopts a twinned face centred cubic structure on the rough Co film surface. (author)

  6. Low temperature CVD growth of ultrathin carbon films

    Directory of Open Access Journals (Sweden)

    Chao Yang

    2016-05-01

    Full Text Available We demonstrate the low temperature, large area growth of ultrathin carbon films by chemical vapor deposition under atmospheric pressure on various substrates. In particularly, uniform and continuous carbon films with the thickness of 2-5 nm were successfully grown at a temperature as low as 500 oC on copper foils, as well as glass substrates coated with a 100 nm thick copper layer. The characterizations revealed that the low-temperature-grown carbon films consist on few short, curved graphene layers and thin amorphous carbon films. Particularly, the low-temperature grown samples exhibited over 90% transmittance at a wavelength range of 400-750 nm and comparable sheet resistance in contrast with the 1000oC-grown one. This low-temperature growth method may offer a facile way to directly prepare visible ultrathin carbon films on various substrate surfaces that are compatible with temperatures (500-600oC used in several device processing technologies.

  7. Growth and characterization of ultrathin epitaxial MnO film on Ag(001)

    Science.gov (United States)

    Kundu, Asish K.; Menon, Krishnakumar S. R.

    2016-07-01

    We present here a comprehensive growth procedure to obtain a well-ordered MnO(001) ultrathin film on Ag(001) substrate. Depending upon the oxygen partial pressure during the growth, different phases of manganese oxide have been detected by Low Energy Electron Diffraction (LEED) and X-ray Photoelectron Spectroscopic (XPS) studies. A modified growth scheme has been adopted to get well-ordered and stoichiometric MnO(001) ultrathin film. The detailed growth mechanism of epitaxial MnO film on Ag(001) has been studied step by step, using LEED and XPS techniques. Observation of sharp (1 × 1) LEED pattern with a low inelastic background, corresponds to a long-range atomic order with low defect densities indicating the high structural quality of the film. The Mn 2p and Mn 3s core-level spectra confirm the oxidation state as well as the stoichiometry of the grown MnO films. Apart from the growth optimization, the evolution of strain relaxation of the MnO(001) film with film thickness has been explored.

  8. In situ characterization of thin film growth: Boron nitride on silicon

    International Nuclear Information System (INIS)

    Fukarek, W.

    2001-01-01

    Real-time ellipsometry (RTE) in combination with particle flux measurement is applied to ion beam assisted deposition of boron nitride (BN) films. RTE is used as a tool for process diagnostic to improve the deposition stability. A novel technique for the determination of absolute density depth profiles from dynamic growth rate data and film forming particle flux is employed. From real-time cantilever curvature measurement and simultaneously recorded film thickness data instantaneous stress depth profiles are derived with a depth resolution in the nm range. The synergistic effects on the information obtained from RTE, particle flux, and cantilever bending data are demonstrated. The density of turbostratic BN (tBN) is found to increase slightly with film thickness while the compressive stress decreases, indicating an increasing quality and/or size of crystallites in the course of film growth. Refractive index and density depth profiles in cubic BN (cBN) films correspond perfectly to structural information obtained from dark field transmission electron microscope graphs. The established tBN/cBN two-layer model is found to be a crude approximation that has to be replaced by a three-layer model including nucleation, grain growth, and coalescence of cBN. The instantaneous compressive stress in a homogeneous tBN film is found to decrease, while the density increases during growth. The instantaneous compressive stress depth profiles in cBN films are more complex and not easy to understand but reliable information on the structural evolution during growth can be extracted

  9. Giant secondary grain growth in Cu films on sapphire

    Directory of Open Access Journals (Sweden)

    David L. Miller

    2013-08-01

    Full Text Available Single crystal metal films on insulating substrates are attractive for microelectronics and other applications, but they are difficult to achieve on macroscopic length scales. The conventional approach to obtaining such films is epitaxial growth at high temperature using slow deposition in ultrahigh vacuum conditions. Here we describe a different approach that is both simpler to implement and produces superior results: sputter deposition at modest temperatures followed by annealing to induce secondary grain growth. We show that polycrystalline as-deposited Cu on α-Al2O3(0001 can be transformed into Cu(111 with centimeter-sized grains. Employing optical microscopy, x-ray diffraction, and electron backscatter diffraction to characterize the films before and after annealing, we find a particular as-deposited grain structure that promotes the growth of giant grains upon annealing. To demonstrate one potential application of such films, we grow graphene by chemical vapor deposition on wafers of annealed Cu and obtain epitaxial graphene grains of 0.2 mm diameter.

  10. On the mechanism of self-deceleration of the thin oxide film growth

    CERN Document Server

    Mukhambetov, D G

    2002-01-01

    The objective of this work was to investigate the kinetics of the two-phase oxide film growth on the alpha-Fe surface at temperatures of 650-750 K. We experimentally determined that the film thickness (h)-time oxidation (tau) relationship in the range denoted above is a logarithmic function, whereas Cabrera and Mott's theory gives a square law of film growth. In our work, analytical treatment of experimental data was made based on this theory, but we propose that self-deceleration of the film growth is caused not by attenuation of the electric intensity in the film because of an increase of h but by the shielding influence of the space charge of diffusing ions and electrons in that oxide film. With that purpose in view, the Debye shielding distance for plasma substance state in the oxide film was taken into consideration. The logarithmic law of oxide film growth was derived. Estimated calculations of this law's parameters were made that quantitatively correspond with literature data. The results obtained were...

  11. Epitaxial growth and properties of YBaCuO thin films

    International Nuclear Information System (INIS)

    Geerk, J.; Linker, G.; Meyer, O.

    1989-08-01

    The growth quality of YBaCuO thin films deposited by sputtering on different substrates (Al 2 O 3 , MgO, SrTiO 3 , Zr(Y)O 2 ) has been studied by X-ray diffraction and channeling experiments as a function of the deposition temperature. Besides the substrate orientation, the substrate temperature is the parameter determining whether films grow in c-, a-, (110) or mixed directions. Epitaxial growth correlates with high critical current values in the films of up to 5.5x10 6 A/cm 2 at 77 K. Ultrathin films with thicknesses down to 2 nm were grown revealing three-dimensional superconducting behaviour. Films on (100) SrTiO 3 of 9 nm thickness and below are partially strained indicating commensurate growth. From the analysis of the surface disorder 1 displaced Ba atom per Ba 2 Y row was obtained indicating that the disordered layer thickness is about 0.6 nm. Tunnel junctions fabricated on these films reveal gap-like structures near ±16 mV and ±30 mV. (orig.) [de

  12. Modeling of metal thin film growth: Linking angstrom-scale molecular dynamics results to micron-scale film topographies

    Science.gov (United States)

    Hansen, U.; Rodgers, S.; Jensen, K. F.

    2000-07-01

    A general method for modeling ionized physical vapor deposition is presented. As an example, the method is applied to growth of an aluminum film in the presence of an ionized argon flux. Molecular dynamics techniques are used to examine the surface adsorption, reflection, and sputter reactions taking place during ionized physical vapor deposition. We predict their relative probabilities and discuss their dependence on energy and incident angle. Subsequently, we combine the information obtained from molecular dynamics with a line of sight transport model in a two-dimensional feature, incorporating all effects of reemission and resputtering. This provides a complete growth rate model that allows inclusion of energy- and angular-dependent reaction rates. Finally, a level-set approach is used to describe the morphology of the growing film. We thus arrive at a computationally highly efficient and accurate scheme to model the growth of thin films. We demonstrate the capabilities of the model predicting the major differences on Al film topographies between conventional and ionized sputter deposition techniques studying thin film growth under ionized physical vapor deposition conditions with different Ar fluxes.

  13. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  14. Three-dimensional growth simulation: A study of substrate oriented films

    International Nuclear Information System (INIS)

    Besnard, A; Martin, N; Carpentier, L

    2010-01-01

    Monte Carlo simulations are developed to simulate the growth of three-dimensional columnar microstructure in thin films. We are studying in particular oriented microstructure like those produced with the Glancing Angle Deposition technique (GLAD). Some geometrical characteristics of the particles flux, the organization of defect sites on the substrate surface and the atomic surface diffusion are mainly investigated in order to predict the growth processes and the resulting features of the films. This study reports on simulations of thin film growth exhibiting an oblique and zigzag columnar microstructure. Column angle evolution and density are investigated versus incidence angle α or period number n and compared with experimental measurements.

  15. Atmosphere influence on in situ ion beam analysis of thin film growth

    International Nuclear Information System (INIS)

    Lin, Yuping; Krauss, A.R.; Gruen, D.M.; Chang, R.P.H.; Auciello, O.H.; Schultz, J.A.

    1994-01-01

    In situ, nondestructive surface characterization of thin-film growth processes in an environment of chemically active gas at pressures of several mTorr is required both for the understanding of growth processes in multicomponent films and layered heterostructures and for the improvement of process reproducibility and device reliability. The authors have developed a differentially pumped pulsed ion beam surface analysis system that includes ion scattering spectroscopy (ISS) and direct recoil spectroscopy (DRS), coupled to an automated ion beam sputter-deposition system (IBSD), to study film growth processes in an environment of chemically active gas, such as required for the growth of oxide, nitride, or diamond thin films. The influence of gas-phase scattering and gas-surface interactions on the ISS and DRS signal intensity and peak shape have been studied. From the intensity variation as a function of ambient gas pressure, the authors have calculated the mean free path and the scattering cross-section for a given combination of primary ion species and ambient gas. Depending on the system geometry and the combination of primary beam and background, it is shown that surface-specific data can be obtained during thin-film growth at pressures ranging from a few mtorr to approximately 1 Torr. Detailed information such as surface composition, structure, and film growth mechanism may be obtained in real-time, making ion beam analysis an ideal nondestructive, in situ probe of thin-film growth processes

  16. Effects of film polarities on InN growth by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xu, K.; Yoshikawa, A.

    2003-01-01

    Effects of the film polarity on InN growth were investigated in molecular-beam epitaxy (MBE). It was found that N-polarity InN could be grown at higher temperatures than In-polarity one. For the In-polarity films, which were grown on Ga-polar GaN template, the highest growth temperature was limited below 500 deg. C, and the surface morphology and crystal quality tended to be poor mainly because of the tolerated low growth temperature. While for the N-polarity InN films, which were grown on MBE-grown N-polar GaN, the growth temperature could be as high as 600 deg. C. The step-flow-like growth morphology was achieved for the InN films grown with N polarity at 580 deg. C. The resulting full widths of half maximum of x-ray rocking curve around InN (002) and (102) reflections were about 200-250 and 950-1100 arc sec, respectively. The photoluminescence of the InN films peaked at 0.697 eV. The recording Hall mobility of InN film grown in N polarity is 1400 cm 2 /V s with a background carrier concentration of 1.56x10 18 cm -3 at room temperature. For both-polarity films, we found N-rich condition was necessary for the stable InN growth

  17. Influence of argon dilution on growth and properties of hydrogenated nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Parashar, A. [Plasma Processed Materials Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India); Kumar, Sushil; Gope, Jhuma; Rauthan, C.M.S.; Dixit, P.N. [Plasma Processed Materials Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Hashmi, S.A. [Department of Physics and Astro Physics, University of Delhi, Delhi 110007 (India)

    2010-05-15

    The effect of argon concentration (66-87%) in total gaseous mixture (SiH{sub 4}+H{sub 2}+Ar) on growth and properties of hydrogenated nanocrystalline silicon films deposited by RF (13.56 MHz) PECVD technique was investigated. Raman and XRD measurements revealed increasing argon fraction favored enhancement of crystallinity, enlargement of crystallites and relaxation of strained bonds. Photoluminescence spectra of nc-Si:H films exhibited two radiative transitions in the photon energy ranges of 2.8-3.1 eV and 1.6-2.1 eV. The high energy PL peaks are attributed to surface effect of the films whereas peaks in the range of 1.6-2.1 eV are due to nanocrystallinity in the films. Argon dilution also helped enhancement of deposition rate and conductivity of the films. A film deposited at 81% of argon fraction possesses high crystallinity (75%), conductivity in the order of 10{sup -5} ({omega} cm){sup -1}, size of the crystallite (Raman=12 nm, XRD=18 nm), and low residual stress (125 MPa). (author)

  18. MOCVD with gas phase composition control for the growth of high quality YBa2Cu3O7-x thin films for microwave applications

    International Nuclear Information System (INIS)

    Musolf, J.

    1997-01-01

    The MOCVD growth technique has demonstrated YBa 2 Cu 3 O 7-x thin films with adequate transport properties (T c >90 K, J c > x 10 6 A cm -2 , R s p /C v ) and the species concentrations. After determining the correlation between gas phase and solid phase composition this technique enables the reproducible growth of YBa 2 Cu 3 O 7-x thin films by MOCVD with composition very close to 123. Further refinement of growth temperature, total pressure, oxygen partial pressure and total flow rates has produced films with excellent properties. Smooth surface morphology with a low density of outgrowths ( 4 cm -2 ), narrow XRD rocking curve peaks FWHM c =92 K), low surface resistance (device R s <350 μΩ at 77 K, 10 GHz) have been demonstrated using this growth concept. Special focus was placed on optimization of the performance of a microwave test device which serves as a process control monitor of the suitability of these films for passive microwave applications. (orig.)

  19. Growth of n-alkane films on a single-crystal substrate

    DEFF Research Database (Denmark)

    Wu, Z. U.; Ehrlich, S. N.; Matthies, B.

    2001-01-01

    The structure and growth mode of alkane films (n-C/sub n/H/sub 2n+2/; n=4, 6, 7) adsorbed on a Ag(111) surface have been investigated by synchrotron X-ray scattering. New models are proposed for the butane (n=4) and hexane (n=6) monolayer and butane bilayer structures. Specular reflectivity scans...... reveal that growth of all films is preempted between two and three layers by nucleation of bulk particles oriented with a single bulk crystal plane parallel to the film. In the case of butane, the bulk particles also have a fixed azimuthal relationship with the film resulting in complete epitaxy....

  20. Role of high microwave power on growth and microstructure of thick nanocrystalline diamond films: A comparison with large grain polycrystalline diamond films

    Science.gov (United States)

    Tang, C. J.; Fernandes, A. J. S.; Girão, A. V.; Pereira, S.; Shi, Fa-Nian; Soares, M. R.; Costa, F.; Neves, A. J.; Pinto, J. L.

    2014-03-01

    In this work, we study the growth habit of nanocrystalline diamond (NCD) films by exploring the very high power regime, up to 4 kW, in a 5 kW microwave plasma chemical vapour deposition (MPCVD) reactor, through addition of a small amount of nitrogen and oxygen (0.24%) into 4% CH4 in H2 plasma. The coupled effect of high microwave power and substrate temperature on NCD growth behaviour is systematically investigated by varying only power, while fixing the remaining operating parameters. When the power increases from 2 kW to 4 kW, resulting also in rise of the Si substrate temperature higher than 150 °C, the diamond films obtained maintain the NCD habit, while the growth rate increases significantly. The highest growth rate of 4.6 μm/h is achieved for the film grown at 4 kW, which represents a growth rate enhancement of about 15 times compared with that obtained when using 2 kW power. Possible factors responsible for such remarkable growth rate enhancement of the NCD films are discussed. The evolution of NCD growth characteristics such as morphology, microstructure and texture is studied by growing thick films and comparing it with that of large grain polycrystalline (PCD) films. One important characteristic of the NCD films obtained, in contrast to PCD films, is that irrespective of deposition time (i.e. film thickness), their grain size and surface roughness remain in the nanometer range throughout the growth. Finally, based on our present and previous experimental results, a potential parameter window is established for fast growth of NCD films under high power conditions.

  1. Appraisal on Textured Grain Growth and Photoconductivity of ZnO Thin Film SILAR

    Directory of Open Access Journals (Sweden)

    Deepu Thomas

    2014-01-01

    Full Text Available ZnO thin films were prepared by successive ionic layer adsorption reaction (SILAR method. The textured grain growth along c-axis in pure ZnO thin films and doped with Sn was studied. The structural analysis of the thin films was done by X-ray diffraction and surface morphology by scanning electron microscopy. Textured grain growth of the samples was measured by comparing the peak intensities. Textured grain growth and photo current in ZnO thin films were found to be enhanced by doping with Sn. ZnO thin film having good crystallinity with preferential (002 orientation is a semiconductor with photonic properties of potential benefit to biophotonics. From energy dispersive X-ray analysis, it is inferred that oxygen vacancy creation is responsible for the enhanced textured grain growth in ZnO thin films.

  2. Monolayer-Mediated Growth of Organic Semiconductor Films with Improved Device Performance.

    Science.gov (United States)

    Huang, Lizhen; Hu, Xiaorong; Chi, Lifeng

    2015-09-15

    Increased interest in wearable and smart electronics is driving numerous research works on organic electronics. The control of film growth and patterning is of great importance when targeting high-performance organic semiconductor devices. In this Feature Article, we summarize our recent work focusing on the growth, crystallization, and device operation of organic semiconductors intermediated by ultrathin organic films (in most cases, only a monolayer). The site-selective growth, modified crystallization and morphology, and improved device performance of organic semiconductor films are demonstrated with the help of the inducing layers, including patterned and uniform Langmuir-Blodgett monolayers, crystalline ultrathin organic films, and self-assembled polymer brush films. The introduction of the inducing layers could dramatically change the diffusion of the organic semiconductors on the surface and the interactions between the active layer with the inducing layer, leading to improved aggregation/crystallization behavior and device performance.

  3. Growth-temperature-dependent optical and acetone detection properties of ZnO thin films

    International Nuclear Information System (INIS)

    Shewale, P. S.; Yu, Y. S.

    2015-01-01

    Zinc oxide (ZnO) thin films were prepared onto glass substrates at moderately low growth temperature by two-stage spray pyrolysis technique. The effects of growth temperature on structural, optical and acetone detection properties were investigated with X-ray diffractometry, a UV–visible spectrophotometer, photoluminescence (PL) spectroscopy and a homemade gas sensor testing unit, respectively. All the films are polycrystalline with a hexagonal wurtzite phase and exhibit a preferential orientation along [002] direction. The film crystallinity is gradually enhanced with an increase in growth temperature. The optical measurements show that all the films are physically highly transparent with a transmittance greater than 82% in the visible range. The band gap of the film is observed to exhibit a slight red shift with an increasing growth temperature. The PL studies on the films show UV/violet PL band at ∼ 395 nm. Among all the films investigated, the film deposited at 250 °C demonstrates a maximum sensitivity of 13% towards 20 ppm of acetone vapors at 300 °C operating temperature. (paper)

  4. Gas-temperature control in VHF- PECVD process for high-rate (>5 nm/s) growth of microcrystalline silicon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sobajima, Yasushi; Higuchi, Takuya; Chantana, Jakapan; Toyama, Toshihiko; Sada, Chitose; Matsuda, Akihisa; Okamoto, Hiroaki [Graduate School of Engineering Science, Osaka University, Toyonaka City (Japan)

    2010-04-15

    Surface-heating phenomenon by the radiation from high density plasma during growth of microcrystalline silicon ({mu}c-Si:H) thin films at high rate (> 5 nm/sec) is one of the crucial issues to be solved for obtaining high quality intrinsic-layer material for solar cells. We have utilized an optical emission spectroscopy (OES) in the plasma to observe the time evolution of gas temperature during film growth as well as the film-growth rate under {mu}c-Si:H deposition conditions at high rate. Gas temperature has been successfully controlled by changing total flow rate of monosilane (SiH{sub 4})/hydrogen (H{sub 2}) gas mixture, leading to a drastic improvement of optoelectronic properties in the resulting {mu}c-Si:H. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Investigation of Au/Au(100) film growth with energetic deposition by kinetic Monte Carlo simulation

    International Nuclear Information System (INIS)

    Zhang Qingyu; Ma Tengcai; Pan Zhengying; Tang Jiayong

    2000-01-01

    The Au/Au(100) epitaxial growth with energetic deposition was simulated by using kinetic Monte Carlo method. The influences of energetic atoms on morphology and atomistic processes in the early stage of film growth were investigated. The reentrant layer-by-layer growth was observed in the temperature range of 450 K to 100 K. The authors found the energetic atoms can promote the nucleation and island growth in the early stages of film growth and enhance the smoothness of film surface at temperatures of film growth in 3-dimensional mode and in quasi-two-dimensional mode. The atomistic mechanism that promotes the nucleation and island growth and enhances the smoothness of film surface is discussed

  6. Co-depositing Sn controls the growth of Al films as surfactant

    International Nuclear Information System (INIS)

    Barna, P. B.; Kovacs, A.; Misjak, F.; Eisenmenger-Sittner, C.; Bangert, H.; Tomastik, C.

    2002-01-01

    The present study investigates the influence of co-deposited Sn on the atomic processes involved in the structure evolution of vapour-deposited Al films. The films were prepared in HV by thermal evaporation from W sources at 1600 C substrate temperature either on Si wafers covered by a thermally grown oxide or on air cleaved mica. By applying the half-shadow technique, pure and Sn-doped Al films could be deposited simultaneously. The samples were investigated by AFM, scanning AES, X-TEM as well as by X-ray diffraction methods. The grain growth of Al is promoted by Sn in all stages of the film formation. Scanning AES measurements prove the existence of a wetting Sn layer both on the surface of Al islands and on the surface of the continuos Al layer. Excess Sn forms islands on the growth surface. The surface of pure Al layers exhibits grain boundary grooves and bunches of growth steps around terraces, while that of the Sn doped layers is more rounded. The substrate-film interface was covered by a thin Sn layer. AES measurements also prove the presence of Sn on the growth surface of Al films even after termination of Sn addition. Results of these experiments indicate that during co-deposition of Al and Sn the impinging Al atoms penetrate the wetting layer and are incorporated into the already existing Al crystals. A model has been developed for describing the growth of Al crystals in the presence Sn. (Authors)

  7. Why in situ, real-time characterization of thin film growth processes?

    International Nuclear Information System (INIS)

    Auciello, O.; Krauss, A.R.

    1995-01-01

    Since thin-film growth occurs at the surface, the analytical methods should be highly surface-specific. although subsurface diffusion and chemical processes also affect film properties. Sampling depth and ambient-gas is compatibility are key factors which must be considered when choosing in situ probes of thin-film growth phenomena. In most cases, the sampling depth depends on the mean range of the exit species (ion, photon, or electron) in the sample. The techniques that are discussed in this issue of the MRS Bulletin (1) have been chosen because they may be used for in situ, real-time analysis of film-growth phenomena in vacuum and in the presence of ambient gases resulting either from the deposition process or as a requirement for the production of the desired chemical phase. A second criterion for inclusion is that the instrumentation be sufficiently compact and inexpensive to permit use as a dedicated tool in a thin-film deposition system

  8. New phenomena in epitaxial growth: solid films on quasicrystalline substrates

    International Nuclear Information System (INIS)

    Fournee, V; Thiel, P A

    2005-01-01

    An overview is given of the research conducted in the field of solid film growth on quasiperiodic surfaces. An atomistic description of quasicrystalline surfaces is presented and discussed in relation to bulk structural models. The various systems for which thin film growth has been attempted so far are reviewed. Emphasis is placed on the nucleation mechanisms of the solid films, on their growth modes in relation to the nature of the deposited metals, on the possibility of intermixing or alloying at the interface and on the epitaxial relationships at the crystal-quasicrystal interfaces. We also describe situations where the deposited elements adopt a quasiperiodic structure, which opens up the possibility of extending our understanding of the relation between quasiperiodicity and the physical properties of such structurally and chemically complex solids. (topical review)

  9. pH-Amplified multilayer films based on hyaluronan: influence of HA molecular weight and concentration on film growth and stability.

    Science.gov (United States)

    Shen, Liyan; Chaudouet, Patrick; Ji, Jian; Picart, Catherine

    2011-04-11

    In this study, we investigate the growth and internal properties of polyelectrolyte multilayer films made of poly(l-lysine) and hyaluronan (PLL/HA) under pH-amplified conditions, that is, by alternate deposition of PLL at high pH and HA at low pH. We focus especially on the influence of the molecular weight of HA in this process as well as on its concentration in solution. Film growth was followed by quartz crystal microbalance and by infrared spectroscopy to quantify the deposited mass and to characterize the internal properties of the films, including the presence of hydrogen bonds and the ionization degree of HA in the films. Film growth was significantly faster for HA of high molecular weight (1300 kDa) as compared with 400 and 200 kDa. PLL was found to exhibit a random structure once deposited in the films. Furthermore, we found that PLL-ending films are more stable when they are placed in PBS than their HA counterparts. This was explained on the basis of more cohesive interactions in the films for PLL-ending films. Finally, we quantified PLL(FITC) diffusion into the films and observed that PLL diffusion is enhanced when PLL is paired with the HA of high MW. All together, these results suggest that besides purely physicochemical parameters such as variation in pH, the molecular weight of HA, its concentration in solution, and the possibility to form intermolecular HA association play important roles in film growth, internal cohesion, and stability.

  10. Growth of (100)-highly textured BaBiO{sub 3} thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ferreyra, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); Departamento de Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 1, Ciudad Universitaria, Buenos Aires (Argentina); Marchini, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); Departamento de Química Inorgánica, Analítica y Química-Física, INQUIMAE-CONICET, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 2, Ciudad Universitaria, Buenos Aires (Argentina); Granell, P. [INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Golmar, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Escuela de Ciencia y Tecnología, UNSAM, Campus Miguelete, 1650 San Martín, Buenos Aires (Argentina); Albornoz, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); and others

    2016-08-01

    We report on the growth and characterization of non-epitaxial but (100)-highly textured BaBiO{sub 3} thin films on silicon substrates. We have found the deposition conditions that optimize the texture, and show that the textured growth is favoured by the formation of a BaO layer at the first growth stages. X-ray diffraction Φ-scans, together with the observation that the same textured growth is found on films grown on Pt and SiO{sub 2} buffered Si, demonstrate the absence of epitaxy. Finally, we have shown that our (100)-oriented BaBiO{sub 3} films can be used as suitable buffers for the growth of textured heterostructures on silicon, which could facilitate the integration of potential devices with standard electronics. - Highlights: • BaBiO{sub 3} thin films were grown on Si substrates and characterized. • Films prepared using optimized conditions are highly textured in the (100) direction. • The absence of in-plane texture was demonstrated by X-ray diffraction. • Our films are suitable buffers for the growth of (100)-textured oxide heterostructures.

  11. Direct growth of superconducting NdFeAs(O,F) thin films by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Chihara, Masashi, E-mail: chihara@iku.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Nagoya University, Chikusa-ku, Nagoya 464-8603 (Japan); Sumiya, Naoki; Arai, Kenta [Department of Crystalline Materials Science, Nagoya University, Chikusa-ku, Nagoya 464-8603 (Japan); Ichinose, Ataru; Tsukada, Ichiro [Central Research Institute of Electric Power Industry, Yokosuka-shi, Kanagawa 240-0101 (Japan); Hatano, Takafumi; Iida, Kazumasa; Ikuta, Hiroshi [Department of Crystalline Materials Science, Nagoya University, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-11-15

    Highlights: • Highly textured NdFeAs(O,F) thin films were obtained by a direct growth method. • Enhancing the migration was crucial to realize the direct growth. • The critical current density exceeded 3 MA/cm{sup 2} at self-field and 1 MA/cm{sup 2} at 9 T. • A two-dimensional growth was confirmed by the observation of surface morphology. - Abstract: We report on the growth of NdFeAs(O,F) superconducting thin films by molecular beam epitaxy without having a NdOF secondary layer that was necessary for fluorine doping in our previous studies. The key to realizing the direct growth of a superconducting film was the enhancement of migration of the raw materials on the substrate, which was accomplished by two steps. Firstly, we increased the growth temperature that improved the crystalline quality of parent NdFeAsO thin films. Secondly, the atmosphere in the chamber during the growth was improved by changing the crucible material of the Fe source cell. Highly textured NdFeAs(O,F) thin films with critical temperatures up to 50 K were obtained, and terraces were observed by atomic force microscope, indicating a two-dimensional growth. However, precipitates were also found on the surface, which suggests that enhancing further the migration is necessary for obtaining a NdFeAs(O,F) thin film with a better quality.

  12. The study of thin film growth by using Monte Carlo method

    International Nuclear Information System (INIS)

    Tandogan, M.; Aktas, S.

    2010-01-01

    Thin film growth was studied by using Monte Carlo simulation method. Three basic models were used in this study. Model A, the gas particles used for the formation of film were under no external effects until they stick on the surface or to another particle which already stickled on the surface to form the film. Model B, gases were drifted towards the surface by an external agent. Model C, where the gas particles in the closed container were always distributed uniformly throughout the container while they are in gas state. The simulations revealed the fact that for an ideal thin film growth Model C gave the best result to prepare a thin film while a thicker but a better quality could be obtained by Model B.

  13. Synchrotron x-ray study of a low roughness and high efficiency K2CsSb photocathode during film growth

    International Nuclear Information System (INIS)

    Xie, Junqi; Demarteau, Marcel; Wagner, Robert; Schubert, Susanne; Gaowei, Mengjia; Attenkofer, Klaus; Walsh, John; Smedley, John; Ben-Zvi, Ilan; Wong, Jared; Feng, Jun; Padmore, Howard; Ruiz-Oses, Miguel; Ding, Zihao; Liang, Xue; Muller, Erik

    2017-01-01

    Reduction of roughness to the nm level is critical of achieving the ultimate performance from photocathodes used in high gradient fields. The thrust of this paper is to explore the evolution of roughness during sequential growth, and to show that deposition of multilayer structures consisting of very thin reacted layers results in an nm level smooth photocathode. Synchrotron x-ray methods were applied to study the multi-step growth process of a high efficiency K 2 CsSb photocathode. A transition point of the Sb film grown on Si was observed at the film thickness of ∼40 Å with the substrate temperature at 100 °C and the growth rate at 0.1 Å s −1 . The final K 2 CsSb photocathode exhibits a thickness of around five times that of the total deposited Sb film regardless of how the Sb film was grown. The film surface roughening process occurs first at the step when K diffuses into the crystalline Sb. The photocathode obtained from the multi-step growth exhibits roughness in an order of magnitude lower than the normal sequential process. X-ray diffraction measurements show that the material goes through two structural changes of the crystalline phase during formation, from crystalline Sb to K 3 Sb and finally to K 2 CsSb. (paper)

  14. Thin film growth studies using time-resolved x-ray scattering

    Science.gov (United States)

    Kowarik, Stefan

    2017-02-01

    Thin-film growth is important for novel functional materials and new generations of devices. The non-equilibrium growth physics involved is very challenging, because the energy landscape for atomic scale processes is determined by many parameters, such as the diffusion and Ehrlich-Schwoebel barriers. We review the in situ real-time techniques of x-ray diffraction (XRD), x-ray growth oscillations and diffuse x-ray scattering (GISAXS) for the determination of structure and morphology on length scales from Å to µm. We give examples of time resolved growth experiments mainly from molecular thin film growth, but also highlight growth of inorganic materials using molecular beam epitaxy (MBE) and electrochemical deposition from liquids. We discuss how scaling parameters of rate equation models and fundamental energy barriers in kinetic Monte Carlo methods can be determined from fits of the real-time x-ray data.

  15. Texture control and growth mechanism of WSe{sub 2} film prepared by rapid selenization of W film

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hongchao [State Key Laboratory of Powder Metallurgy, Central South University, Changsha 410083 (China); Chongyi Zhangyuan Tungsten Industry Corporation Limited, Ganzhou 341300 (China); Gao, Di; Li, Kun; Pang, Mengde; Xie, Senlin [State Key Laboratory of Powder Metallurgy, Central South University, Changsha 410083 (China); Liu, Rutie, E-mail: llrrtt@csu.edu.cn [State Key Laboratory of Powder Metallurgy, Central South University, Changsha 410083 (China); Zou, Jianpeng [State Key Laboratory of Powder Metallurgy, Central South University, Changsha 410083 (China)

    2017-02-01

    Highlights: • We present a highly efficient method for preparing WSe{sub 2} film by rapid selenization. • The W film phase composition has little effect on WSe{sub 2} film orientation. • W film density is a critical factor that influences the WSe{sub 2} orientation. • A growth model was proposed for two kinds of WSe{sub 2} film textures. - Abstract: The tungsten diselenide (WSe{sub 2}) films with different orientation present unique properties suitable for specific applications, such as WSe{sub 2} with a C-axis⊥substrate for optoelectronics and WSe{sub 2} with a C-axis // substrate for electrocatalysts. Orientation control of WSe{sub 2} is essential for realizing the practical applications. In this letter, a WSe{sub 2} film has been prepared via rapid selenization of a magnetron-sputtered tungsten (W) film. The influence of the magnetron-sputtered W film on WSe{sub 2} film growth was studied systematically. Scanning electron microscopy, X-ray diffractometry and high-resolution transmission electron microscopy were used to evaluate the morphology, microstructure and phase composition of the W and WSe{sub 2} films. The substrate temperature has a significant effect on the W film phase composition, but little effect on the WSe{sub 2} film orientation. The WSe{sub 2} orientation can be controlled by changing the W film microstructure. A dense W film that is deposited at low pressure is conducive to the formation of WSe{sub 2} with a C-axis⊥substrate, whereas a porous W film deposited at high pressure favors the formation of WSe{sub 2} with a C-axis // substrate. A growth model for the WSe{sub 2} film with different texture has been proposed based on the experimental results. The direction of selenium (Se) vapor diffusion differs at the top and side surfaces. This is a key factor for the preparation of anisotropic WSe{sub 2} films. Highly oriented WSe{sub 2} films with a C-axis⊥substrate grow from the dense W film deposited at low pressure because Se vapor

  16. Growth of anodic oxide films on oxygen-containing niobium

    Energy Technology Data Exchange (ETDEWEB)

    Habazaki, H. [Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan)]. E-mail: habazaki@eng.hokudai.ac.jp; Ogasawara, T. [Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Konno, H. [Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Shimizu, K. [University Chemical Laboratory, Keio University, Yokohama 223-8522 (Japan); Asami, K. [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Saito, K. [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Nagata, S. [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Skeldon, P. [Corrosion and Protection Centre, School of Materials, The University of Manchester, P.O. Box 88, Manchester M60 1QD (United Kingdom); Thompson, G.E. [Corrosion and Protection Centre, School of Materials, The University of Manchester, P.O. Box 88, Manchester M60 1QD (United Kingdom)

    2005-09-20

    The present study is directed at understanding of the influence of oxygen in the metal on anodic film growth on niobium, using sputter-deposited niobium containing from about 0-52 at.% oxygen, with anodizing carried out at high efficiency in phosphoric acid electrolyte. The findings reveal amorphous anodic niobia films, with no significant effect of oxygen on the field strength, transport numbers, mobility of impurity species and capacitance. However, since niobium is partially oxidized due to presence of oxygen in the substrate, less charge is required to form the films, hence reducing the time to reach a particular film thickness and anodizing voltage. Further, the relative thickness of film material formed at the metal/film interface is increased by the incorporation of oxygen species into the films from the substrate, with an associated altered depth of incorporation of phosphorus species into the films.

  17. Nucleation and growth microstructural study of ti films on 304 SS substrates

    Directory of Open Access Journals (Sweden)

    Rogério de Almeida Vieira

    2004-09-01

    Full Text Available Coating of steel surfaces with titanium films has been studied with the objective to protect them against corrosion, and to create an intermediate film for CVD diamond and TiN film deposition. In this work, the nucleation, growth mechanisms and microstructural formation of the titanium films deposited on 304 stainless steel (304 SS substrate are presented and discussed. The titanium films of variable thickness were obtained by vapour phase deposition produced by electron beam. The surfaces of these samples were observed by scanning electron microscopy. The cross sections of these samples were observed by using an atomic force microscope. The Ti film-304 SS interfaces were analyzed by X-ray diffraction. The results showed that titanium films have a columnar growth. The Ti film-304 SS interface had a residual compression stress at room temperature due to the inter-diffusion process.

  18. Grain Growth in Nanocrystalline Mg-Al Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Kruska, Karen; Rohatgi, Aashish; Vemuri, Venkata Rama Ses; Kovarik, Libor; Moser, Trevor H.; Evans, James E.; Browning, Nigel D.

    2017-10-05

    An improved understanding of grain growth kinetics in nanocrystalline materials, and in metals and alloys in general, is of continuing interest to the scientific community. In this study, Mg - Al thin films containing ~10 wt.% Al and with 14.5 nm average grain size were produced by magnetron-sputtering and subjected to heat-treatments. The grain growth evolution in the early stages of heat treatment at 423 K (150 °C), 473 K (200 °C) and 573K (300 °C) was observed with transmission electron microscopy and analyzed based upon the classical equation developed by Burke and Turnbull. The grain growth exponent was found to be 7±2 and the activation energy for grain growth was 31.1±13.4 kJ/mol, the latter being significantly lower than in bulk Mg-Al alloys. The observed grain growth kinetics are explained by the Al supersaturation in the matrix and the pinning effects of the rapidly forming beta precipitates and possibly shallow grain boundary grooves. The low activation energy is attributed to the rapid surface diffusion which is dominant in thin film systems.

  19. AFM study of growth of Bi2Sr2-xLaxCuO6 thin films

    International Nuclear Information System (INIS)

    Haitao Yang; Hongjie Tao; Yingzi Zhang; Duogui Yang; Lin Li; Zhongxian Zhao

    1997-01-01

    c-axis-oriented Bi 2 Sr 1.6 La 0.4 CuO 6 thin films deposited on flat planes of (100)SrTiO 3 , (100)LaAlO 3 and (100)MgO substrates and vicinal planes (off-angle ∼ 6 deg.) of SrTiO 3 substrates by RF magnetron sputtering were studied by atomic force microscopy (AFM). T c of these films reached 29 K. Film thickness ranged from 15 nm to 600 nm. Two typical growth modes have been observed. AFM images of thin films on flat planes of substrates showed a terraced-island growth mode. By contrast, Bi-2201 thin films on vicinal planes of substrates showed a step-flow growth mode. The growth unit is a half-unit-cell in the c-axis for both growth modes. No example of spiral growth, which was thought to be the typical structure of YBCO thin films, was found in either of these kinds of thin films. (author)

  20. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  1. Effects of an ultraviolet-visible rays translation film on growth of leaf or root vegetables

    International Nuclear Information System (INIS)

    Hamamoto, H.; Ueno, K.; Yamazaki, K.

    2008-01-01

    A new film that absorbs ultraviolet radiation (UV) and fluoresces red light was tested as a rain shelter for the cultivation of turnip (Brassica rapa L.), spinach (Spinacia oleracea L.), and Welsh onion (Allium fistulosum L.). The effect of this UV-visible ray translation film on various growth parameters (height, fresh and dry weight, leaf area and leaf sheath diameter) was compared with those under normal clear film, new UV-cut film, and used UV-cut film respectively. The transmissivity of UV was about 70% for the normal clear film, about 20% for the UV-visible ray translation film and used UV-cut film, and about 10% for the new UV-cut film. The transmissivity of photosynthetically active radiation (PAR) was about 90% for the normal clear film and the new UV-cut film, and about 80% for the used UV-cut film, while the mean transmissivity of PAR was about 80% for the UV-visible ray translation film, with about 60% transmissivity of blue radiation and over 90% of red radiation. The UV-visible ray translation film did not promote the growth of turnip roots but did significantly promote the growth of spinaches and Welsh onions compared with the normal clear film. The UV-visible ray translation film cover promoted the growth of spinaches and Welsh onions to a similar or greater extent compared to the new UV-cut film and also to a greater extent compared to the used UV-cut film

  2. Self-regulated growth of LaVO3 thin films by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Engel-Herbert, Roman; Dedon, Liv R.; Martin, Lane W.

    2015-01-01

    LaVO 3 thin films were grown on SrTiO 3 (001) by hybrid molecular beam epitaxy. A volatile metalorganic precursor, vanadium oxytriisopropoxide (VTIP), and elemental La were co-supplied in the presence of a molecular oxygen flux. By keeping the La flux fixed and varying the VTIP flux, stoichiometric LaVO 3 films were obtained for a range of cation flux ratios, indicating the presence of a self-regulated growth window. Films grown under stoichiometric conditions were found to have the largest lattice parameter, which decreased monotonically with increasing amounts of excess La or V. Energy dispersive X-ray spectroscopy and Rutherford backscattering measurements were carried out to confirm film compositions. Stoichiometric growth of complex vanadate thin films independent of cation flux ratios expands upon the previously reported self-regulated growth of perovskite titanates using hybrid molecular beam epitaxy, thus demonstrating the general applicability of this growth approach to other complex oxide materials, where a precise control over film stoichiometry is demanded by the application

  3. Growth of Ge films by cluster beam deposition

    CERN Document Server

    Xu, J L; Feng, J Y

    2002-01-01

    Ge epitaxial layers with reasonable quality were grown on the Si(1 1 1) substrates by cluster beam deposition (CBD) process. The growth temperature plays a dominant role in the epitaxial growth of Ge films. The substrate temperature for epitaxial growth is about 500 deg. C, which is lower than the reported critical temperature of Ge epitaxial growth by MBE and CVD. A stress induced phase transition of Ge lattice from cubic to tetragonal is also observed in the CBD process, and the mechanism is discussed.

  4. A nonlinear model for surface segregation and solute trapping during planar film growth

    International Nuclear Information System (INIS)

    Han, Xiaoying; Spencer, Brian J.

    2007-01-01

    Surface segregation and solute trapping during planar film growth is one of the important issues in molecular beam epitaxy, yet the study on surface composition has been largely restricted to experimental work. This paper introduces some mathematical models of surface composition during planar film growth. Analytical solutions are obtained for the surface composition during growth

  5. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  6. In situ spectroscopic ellipsometry as a surface sensitive tool to probe thin film growth

    International Nuclear Information System (INIS)

    Liu, C.

    1999-01-01

    Sputtered thin film and multilayer x-ray mirrors are made routinely at the Advanced Photon Source (APS) for the APS users. Precise film growth control and characterization are very critical in fabricating high-quality x-ray mirrors. Film thickness calibrations are carried out using in situ and ex situ spectroscopic ellipsometry, interferometry, and x-ray scattering. To better understand the growth and optical properties of different thin film systems, we have carried out a systematic study of sputtered thin films of Au, Rh, Pg Pd, Cu, and Cr, using in situ ellipsometry. Multiple data sets were obtained in situ for each film material with incremental thicknesses and were analyzed with their correlation in mind. We found that in situ spectroscopic ellipsometry as a surface-sensitive tool can also be used to probe the growth and morphology of the thin film system. This application of in situ spectroscopic ellipsometry for metal thin film systems will be discussed

  7. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    Science.gov (United States)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  8. Nonimaging light concentration using total internal reflection films.

    Science.gov (United States)

    Ouellette, G; Waltham, C E; Drees, R M; Poon, A; Schubank, R; Whitehead, L A

    1992-05-01

    We present a method of fabricating nonimaging light concentrators from total internal reflection film. A prototype has been made and tested and found to operate in agreement with predictions of ray-tracing codes. The performance of the prototype is comparable with that of concentrators made from specular reflecting materials.

  9. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  10. Growth of AlN films and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Jain, Rakesh B.; Gao, Ying; Zhang, Jianping; Qhaleed Fareed, R.S.; Gaska, Remis [Sensor Electronic Technology, Inc., 1195 Atlas Rd., Columbia, SC 29209 (United States); Li, Jiawei; Arjunan, Arulchakkravarthi; Yang, Jinwei; Asif Khan, M. [Department of Electrical Engineering, University of South Carolina, Columbia, SC 29208 (United States); Kuokstis, Edmundas [MTMI, Vilnius University, Vilnius (Lithuania)

    2006-06-15

    Single crystal AlN layers have been produced by migration enhanced metal organic chemical vapor deposition (MEMOCVD), hydride vapor phase epitaxy (HVPE) and their combination. The growth was carried out on 2'' basal plane sapphire substrates. In MEMOCVD, the duration and waveforms of precursors were varied to achieve better surface mobility and thus better atomic incorporation. It resulted in superior layer quality templates with the narrowest (002) X-ray rocking curve full width half maximum (FWHM). Such high quality AlN templates were used as seeds for subsequent HVPE growth. Thick films with thickness ranging from 1-25 {mu}m have been grown by HVPE with growth rates as high as 200 {mu}m/min, highest ever reported. Films grown by the two methods have been extensively characterized by Nomarski microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), high-resolution X-ray diffractometry (HRXRD), and photoluminescence (PL). (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Visualization modeling of thin film growth in photodeposition processes

    International Nuclear Information System (INIS)

    Mirchin, N.; Sidi, M.; Muchnik, Y.; Peled, A.

    2003-01-01

    A computer visualization technique, which analyzes and predicts the spatio-temporal evolution of thin film deposition and growth processes is given. It relies on microscopy sampled or computer generated synthetic micrographs of particles. These are then simulated for deposition, aggregation and coagulation during thin film growth by frequency domain transform techniques. Particle sources and diffusion operators on surfaces are used to predict with high temporal resolution, unattained by real world microscopy the surface structure evolution as time samples and time movies. The simulation program was used to investigate deposition and diffusive profiles in photodeposition experiments, starting from initial synthetic micrographs based on real world scanning electron microscopy (SEM) images. The surface microstructure time 'tracking' scheme described here relies on transforming the original image of the deposited particles into a Fourier spatial frequency domain image. The physical models used are that of a material random deposition source and subsequent surface redistribution due to diffusion and other coalescence material surface flow mechanisms. The 2-D inverse Fourier transform (IFT) is finally used to obtain back the real space-time images representing the surface spatio-temporal films morphology changes. False color representation of the images allows for a better discrimination of the films growing details especially during the fast pre-compact thin film layer formation on the substrate

  12. Perovskite Oxide Thin Film Growth, Characterization, and Stability

    Science.gov (United States)

    Izumi, Andrew

    Studies into a class of materials known as complex oxides have evoked a great deal of interest due to their unique magnetic, ferroelectric, and superconducting properties. In particular, materials with the ABO3 perovskite structure have highly tunable properties because of the high stability of the structure, which allows for large scale doping and strain. This also allows for a large selection of A and B cations and valences, which can further modify the material's electronic structure. Additionally, deposition of these materials as thin films and superlattices through techniques such as pulsed laser deposition (PLD) results in novel properties due to the reduced dimensionality of the material. The novel properties of perovskite oxide heterostructures can be traced to a several sources, including chemical intermixing, strain and defect formation, and electronic reconstruction. The correlations between microstructure and physical properties must be investigated by examining the physical and electronic structure of perovskites in order to understand this class of materials. Some perovskites can undergo phase changes due to temperature, electrical fields, and magnetic fields. In this work we investigated Nd0.5Sr 0.5MnO3 (NSMO), which undergoes a first order magnetic and electronic transition at T=158K in bulk form. Above this temperature NSMO is a ferromagnetic metal, but transitions into an antiferromagnetic insulator as the temperature is decreased. This rapid transition has interesting potential in memory devices. However, when NSMO is deposited on (001)-oriented SrTiO 3 (STO) or (001)-oriented (LaAlO3)0.3-(Sr 2AlTaO6)0.7 (LSAT) substrates, this transition is lost. It has been reported in the literature that depositing NSMO on (110)-oriented STO allows for the transition to reemerge due to the partial epitaxial growth, where the NSMO film is strained along the [001] surface axis and partially relaxed along the [11¯0] surface axis. This allows the NSMO film enough

  13. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  14. Biodegradable and bioactive CGP/PVA film for fungal growth inhibition.

    Science.gov (United States)

    Silva, Bárbara Dumas S; Ulhoa, Cirano J; Batista, Karla A; Di Medeiros, Maria Carolina; Da Silva Filho, Rômulo Roosevelt; Yamashita, Fabio; Fernandes, Kátia F

    2012-07-01

    In this study, chitinolytic enzymes produced by Trichoderma asperellum were immobilized on a biodegradable film manufactured with a blend of cashew gum polysaccharide (CGP) and polyvinyl alcohol (PVA), and tested as a fungal growth inhibitor. The film was produced by casting a blend of CGP and PVA solution on glass molds. The CGP/PVA film showed 68% water solubility, tensile strength of 23.7 MPa, 187.2% elongation and 52% of mass loss after 90 days in soil. The presence of T-CWD enzymes immobilized by adsorption or covalent attachment resulted in effective inhibition of fungal growth. Sclerotinia sclerotiorum was the most sensitive organism, followed by Aspergillus niger and Penicillium sp. SEM micrograph showed that the presence of immobilized T-CWD enzymes on CGP/PVA film produced morphological modifications on vegetative and germinative structures of the microorganisms, particularly hyphae disruption and changes of spores shape. Copyright © 2012 Elsevier Ltd. All rights reserved.

  15. Intrinsic stress evolution during amorphous oxide film growth on Al surfaces

    International Nuclear Information System (INIS)

    Flötotto, D.; Wang, Z. M.; Jeurgens, L. P. H.; Mittemeijer, E. J.

    2014-01-01

    The intrinsic stress evolution during formation of ultrathin amorphous oxide films on Al(111) and Al(100) surfaces by thermal oxidation at room temperature was investigated in real-time by in-situ substrate curvature measurements and detailed atomic-scale microstructural analyses. During thickening of the oxide a considerable amount of growth stresses is generated in, remarkably even amorphous, ultrathin Al 2 O 3 films. The surface orientation-dependent stress evolutions during O adsorption on the bare Al surfaces and during subsequent oxide-film growth can be interpreted as a result of (i) adsorption-induced surface stress changes and (ii) competing processes of free volume generation and structural relaxation, respectively

  16. Thin films growth parameters in MAPLE; application to fibrinogen

    International Nuclear Information System (INIS)

    Jelinek, M; Cristescu, R; Kocourek, T; Vorlicek, V; Remsa, J; Stamatin, L; Mihaiescu, D; Stamatin, I; Mihailescu, I N; Chrisey, D B

    2007-01-01

    Increasingly requirements on the thin film quality of functionalized materials are efficiently met by a novel laser processing technique - Matrix Assisted Pulsed Laser Evaporation (MAPLE). Examples of deposition conditions and main features characteristic to film growth rate of MAPLE-fabricated organic materials are summarized. MAPLE experimental results are compared with ones corresponding to the classical Pulsed Laser Deposition (PLD). In particular, the results of investigation of MAPLE-deposited fibrinogen blood protein thin films using a KrF* excimer laser and characterized by FTIR and Raman spectrometry are reported

  17. Morphological analysis of co-evaporated blend films based on initial growth for organic photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Shibata, Yosei, E-mail: yosei.shibata@aist.go.jp [Research Center for Photovoltaic Technologies, National Institute of Advanced Industrial Science and Technology, 1-1-1 Higashi, Tsukuba, Ibaraki 305-8565 (Japan); Taima, Tetsuya [Research Center for Photovoltaic Technologies, National Institute of Advanced Industrial Science and Technology, 1-1-1 Higashi, Tsukuba, Ibaraki 305-8565 (Japan); Japan Science and Technology Agency, PRESTO, 4-1-8 Honcho, Kawaguchi, Saitama 332-0012 (Japan); Zhou, Ying; Ohashi, Noboru; Kono, Takahiro [Research Center for Photovoltaic Technologies, National Institute of Advanced Industrial Science and Technology, 1-1-1 Higashi, Tsukuba, Ibaraki 305-8565 (Japan); Yoshida, Yuji, E-mail: yuji.yoshida@aist.go.jp [Research Center for Photovoltaic Technologies, National Institute of Advanced Industrial Science and Technology, 1-1-1 Higashi, Tsukuba, Ibaraki 305-8565 (Japan)

    2015-11-15

    Graphical abstract: - Highlights: • Initial growth mode of co-evaporated films was observed. • Balanced crystal growth leads to improvement of photovoltaic performance. • Crystal growth of fullerene during co-evaporation process was restricted. • The power conversion efficiency of 3% was obtained without electron blocking layer. - Abstract: Bulk heterojunction structures composed of electron donor and acceptor molecules for application in high-performance organic photovoltaics studied. To fabricate these structures, the co-evaporation method in vacuum is commonly applied; however, the details of the crystal growth process during co-evaporation have not yet been established. Here, we focused on structural analysis of blend films composed of phthalocyanine and fullerene based on initial growth stage. Similar crystal growth behavior to that typically observed in single-component molecules is obtained for the films. These results suggest that the competitive crystal growth between donors and acceptors occurs during co-evaporation process. The balance of thin film growth among donor and acceptor molecules can be related to improved photovoltaic performance. The homogeneous blend structure leads to improvement of the power conversion efficiency from 1.2% to 3.0%.

  18. Growth of high-quality large-area MgB2 thin films by reactive evaporation

    International Nuclear Information System (INIS)

    Moeckly, B H; Ruby, W S

    2006-01-01

    We report a new in situ reactive deposition thin film growth technique for the production of MgB 2 thin films which offers several advantages over all existing methods and is the first deposition method to enable the production of high-quality MgB 2 films for real-world applications. We have used this growth method, which incorporates a rotating pocket heater, to deposit MgB 2 films on a variety of substrates, including single-crystalline, polycrystalline, metallic, and semiconductor materials up to 4 inch in diameter. This technique allows growth of double-sided, large-area films in the intermediate temperature range of 400-600 deg. C. These films are clean, well-connected, and consistently display T c values of 38-39 K with low resistivity and residual resistivity values. They are also robust and uncommonly stable upon exposure to atmosphere and water. (rapid communication)

  19. Effect of negative bias voltage on CrN films deposited by arc ion plating. I. Macroparticles filtration and film-growth characteristics

    International Nuclear Information System (INIS)

    Wang Qimin; Kim, Kwang Ho

    2008-01-01

    Chromium nitride (CrN) films were deposited on Si wafers by arc ion plating (AIP) at various negative bias voltages and several groups of N 2 /Ar gas flux ratios and chamber gas pressures. The authors systematically investigated the influence of negative bias voltage on the synthesis, composition, microstructure, and properties of the AIP CrN films. In this part (Part I), the investigations were mainly focused on the macroparticle distributions and film-growth characteristics. The results showed that macroparticle densities on the film surfaces decreased greatly by applying negative bias voltage, which can be affected by partial pressure of N 2 and Ar gases. From the statistical analysis of the experimental results, they proposed a new hybrid mechanism of ion bombardment and electrical repulsion. Also, the growth of the AIP CrN films was greatly altered by applying negative bias voltage. By increasing the bias voltage, the film surfaces became much smoother and the films evolved from apparent columnar microstructures to an equiaxed microstructure. The impinging high-energy Cr ions accelerated by negative bias voltages were deemed the inherent reason for the evolution of growth characteristics

  20. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  1. Growth and structure of thermally evaporated Bi{sub 2}Te{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rogacheva, E.I., E-mail: rogacheva@kpi.kharkov.ua [National Technical University “Kharkov Polytechnic Institute”, 21 Frunze St., Kharkov 61002 (Ukraine); Budnik, A.V. [National Technical University “Kharkov Polytechnic Institute”, 21 Frunze St., Kharkov 61002 (Ukraine); Dobrotvorskaya, M.V.; Fedorov, A.G.; Krivonogov, S.I.; Mateychenko, P.V. [Institute for Single Crystals of NAS of Ukraine, 60 Lenin Prospect, Kharkov 61001 (Ukraine); Nashchekina, O.N.; Sipatov, A.Yu. [National Technical University “Kharkov Polytechnic Institute”, 21 Frunze St., Kharkov 61002 (Ukraine)

    2016-08-01

    The growth mechanism, microstructure, and crystal structure of the polycrystalline n-Bi{sub 2}Te{sub 3} thin films with thicknesses d = 15–350 nm, prepared by thermal evaporation in vacuum onto glass substrates, were studied. Bismuth telluride with Te excess was used as the initial material for the thin film preparation. The thin film characterization was performed using X-ray diffraction, X-ray photoelectron spectroscopy, energy-dispersive X-ray spectroscopy, scan electron microscopy, and electron force microscopy. It was established that the chemical composition of the prepared films corresponded rather well to the starting material composition and the films did not contain any phases apart from Bi{sub 2}Te{sub 3}. It was shown that the grain size and the film roughness increased with increasing film thickness. The preferential growth direction changed from [00l] to [015] under increasing d. The X-ray photoelectron spectroscopy studies showed that the thickness of the oxidized surface layer did not exceed 1.5–2.0 nm and practically did not change in the process of aging at room temperature, which is in agreement with the results reported earlier for single crystals. The obtained data show that using simple and inexpensive method of thermal evaporation in vacuum and appropriate technological parameters, one can grow n-Bi{sub 2}Te{sub 3} thin films of a sufficiently high quality. - Highlights: • The polycrystalline n-Bi{sub 2}Te{sub 3} thin films were grown thermal evaporation onto glass. • The growth mechanism and film structure were studied by different structure methods. • The grain size and film roughness increased with increasing film thickness. • The growth direction changes from [00l] to [015] under film thickness increasing. • The oxidized layer thickness (1–2 nm) did not change under aging at room temperature.

  2. Visualization modeling of thin film growth in photodeposition processes

    Energy Technology Data Exchange (ETDEWEB)

    Mirchin, N.; Sidi, M.; Muchnik, Y.; Peled, A

    2003-03-15

    A computer visualization technique, which analyzes and predicts the spatio-temporal evolution of thin film deposition and growth processes is given. It relies on microscopy sampled or computer generated synthetic micrographs of particles. These are then simulated for deposition, aggregation and coagulation during thin film growth by frequency domain transform techniques. Particle sources and diffusion operators on surfaces are used to predict with high temporal resolution, unattained by real world microscopy the surface structure evolution as time samples and time movies. The simulation program was used to investigate deposition and diffusive profiles in photodeposition experiments, starting from initial synthetic micrographs based on real world scanning electron microscopy (SEM) images. The surface microstructure time 'tracking' scheme described here relies on transforming the original image of the deposited particles into a Fourier spatial frequency domain image. The physical models used are that of a material random deposition source and subsequent surface redistribution due to diffusion and other coalescence material surface flow mechanisms. The 2-D inverse Fourier transform (IFT) is finally used to obtain back the real space-time images representing the surface spatio-temporal films morphology changes. False color representation of the images allows for a better discrimination of the films growing details especially during the fast pre-compact thin film layer formation on the substrate.

  3. Growth and Analysis of Highly Oriented (11n) BCSCO Films for Device Research

    Science.gov (United States)

    Raina, K. K.; Pandey, R. K.

    1995-01-01

    Films of BCSCO superconductor of the type Bi2CaSr2Cu2O(x), have been grown by liquid phase epitaxy method (LPE), using a partially closed growth chamber. The films were grown on (001) and (110) NdGaO3 substrates by slow cooling process in an optimized temperature range below the peritectic melting point (880 C) of Bi2CaSr2Cu2O8. Optimization of parameters, such as seed rotation, soak of initial growth temperature and growth period results in the formation of 2122 phase BCSCO films. The films grown at rotation rates of less than 30 and more than 70 rpm are observed to be associated with the second phase of Sr-Ca-Cu-O system. Higher growth temperatures (greater than 860 C) also encourage to the formation of this phase. XRD measurements show that the films grown on (110) NdGaO3 have a preferred (11n)-orientation. It is pertinent to mention here that in our earlier results published elsewhere we obtained c-axis oriented Bi2CaSr2Cu2O8 phase films on (001) NdGaO3 substrate. Critical current density is found to be higher for the films grown on (110) than (001) NdGaO3 substrate orientation. The best values, zero resistance (T(sab co)) and critical current density obtained are 87 K and 10(exp 5) A/sq cm respectively.

  4. Growth and analysis of highly oriented (11n) BCSCO films for device research

    International Nuclear Information System (INIS)

    Raina, K.K.; Pandey, R.K.

    1994-01-01

    Films of BCSCO superconductor of the type Bi 2 CaSr 2 Cu 2 O x have been grown by liquid phase epitaxy method (LPE), using a partially closed growth chamber. The films were grown on (001) and (110) NdGaO 3 substrates by slow cooling process in an optimized temperature range below the peritectic melting point (880 degrees C) of Bi 2 CaSr 2 Cu 2 O 8 . Optimization of parameters, such as seed rotation, soak of initial growth temperature and growth period results in the formation of 2122 phase BCSCO films. The films grown at rotation rates of less than 30 and more than 70 rpm are observed to be associated with the second phase of Sr-Ca-Cu-O system. Higher growth temperatures (>860 degrees C) also encourage to the formation of this phase. XRD measurements show that the films grown on (110) NdGaO 3 have a preferred (11n)-orientation. It is pertinent to mention here that in our earlier results published elsewhere we obtained c-axis oriented Bi 2 CaSr 2 Cu 2 O 8 phase films on (001) NdGaO 3 substrate. Critical current density is found to be higher for the films grown on (110) than (001) NdGaO 3 substrate orientation. The best values of zero resistance (T co ) and critical current density obtained are 87 K and 10 5 A/cm 2 , respectively

  5. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  6. Thin film characterization by total reflection x-ray fluorescence

    International Nuclear Information System (INIS)

    Danel, Adrien; Nolot, Emmanuel; Veillerot, Marc; Olivier, Segolene; Decorps, Tifenn; Calvo-Munoz, Maria-Luisa; Hartmann, Jean-Michel; Lhostis, Sandrine; Kohno, Hiroshi; Yamagami, Motoyuki; Geoffroy, Charles

    2008-01-01

    Sensitive and accurate characterization of films thinner than a few nm used in nanoelectronics represents a challenge for many conventional production metrology tools. With capabilities in the 10 10 at/cm 2 , methods usually dedicated to contamination analysis appear promising, especially Total-reflection X-Ray Fluorescence (TXRF). This study shows that under usual configuration for contamination analysis, with incident angle smaller than the critical angle of the substrate, TXRF signal saturation occurs very rapidly for dense films (below 0.5 nm for HfO 2 films on Si wafers using a 9.67 keV excitation at 0.5 deg.). Increasing the incident angle, the range of linear results can be extended, but on the other hand, the TXRF sensitivity is degraded because of a strong increase of the measurement dead time. On HfO 2 films grown on Si wafers, an incident angle of 0.32 deg. corresponding to a dead time of 95% was used to achieve linear analysis up to 2 nm. Composition analysis by TXRF, and especially the detection of minor elements into thin films, requires the use of a specific incident angle to optimize sensitivity. Although quantitative analyses might require specific calibration, this work shows on Co-based films that the ratio between minor elements (W, P, Mo) and Co taking into account their relative sensitivity factors is a good direct reading of the composition

  7. Growth of high quality large area MgB2 thin films by reactive evaporation

    OpenAIRE

    Moeckly, Brian H.; Ruby, Ward S.

    2006-01-01

    We report a new in-situ reactive deposition thin film growth technique for the production of MgB2 thin films which offers several advantages over all existing methods and is the first deposition method to enable the production of high-quality MgB2 films for real-world applications. We have used this growth method, which incorporates a rotating pocket heater, to deposit MgB2 films on a variety of substrates, including single-crystalline, polycrystalline, metallic, and semiconductor materials u...

  8. Effects of thermal treatment on the anodic growth of tungsten oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Chai, Y., E-mail: yqchai85@gmail.com; Tam, C.W.; Beh, K.P.; Yam, F.K.; Hassan, Z.

    2015-08-03

    This work reports the investigation of the effects of thermal treatment on anodic growth tungsten oxide (WO{sub 3}). The increase of the thermal treatment temperature above 400 °C significantly influences WO{sub 3} film where high porosity structure reduces to more compact film. As-grown film is amorphous, which transforms to monoclinic/orthorhombic phase upon annealing at 300–600 °C. With the reducing of porous structure, preferential growth of (002) plane shifts to (020) plane at 600 °C with more than twentyfold increase of peak's intensity compared to the film annealed at 500 °C. Films annealed at low thermal treatment show better ion intercalation and reversibility during electrochemical measurements; however, it has larger optical band gap. Photoelectrochemical measurement reveals that film annealed at 400 °C exhibits the best photocatalytic performance among the films annealed at 300–600 °C. - Highlights: • Porosity of the WO{sub 3} reduces as annealing temperature increases above 400 °C. • As-grown film is amorphous which transforms to monoclinic/orthorhombic upon annealing. • As-grown film shows better ion intercalation in electrochemical process. • Optical band gap of WO{sub 3} reduces as the annealing temperature increases. • Film annealed at 400 °C exhibits best photocatalytic performance.

  9. Reliability growth of thin film resistors contact

    Directory of Open Access Journals (Sweden)

    Lugin A. N.

    2010-10-01

    Full Text Available Necessity of resistive layer growth under the contact and in the contact zone of resistive element is shown in order to reduce peak values of current flow and power dissipation in the contact of thin film resistor, thereby to increase the resistor stability to parametric and catastrophic failures.

  10. Surface structure deduced differences of copper foil and film for graphene CVD growth

    Energy Technology Data Exchange (ETDEWEB)

    Tian, Junjun [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Hu, Baoshan, E-mail: hubaoshan@cqu.edu.cn [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Wei, Zidong; Jin, Yan [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Luo, Zhengtang [Department of Chemical and Biomolecular Engineering, The Hongkong University of Science and Technology, Kowloon (Hong Kong); Xia, Meirong [School of Chemistry and Chemical Engineering, Chongqing University, Chongqing 400044 (China); Pan, Qingjiang [Key Laboratory of Functional Inorganic Material Chemistry, Ministry of Education, Heilongjiang University, Harbin 150080 (China); Liu, Yunling [State Key Laboratory of Inorganic Synthesis and Preparative Chemistry, College of Chemistry, Jilin University, Changchun 130012 (China)

    2014-05-01

    Highlights: • We demonstrate the significant differences between Cu foil and film in the surface morphology and crystal orientation distribution. • The different surface structure leads to the distinctive influences of the CH₄ and H₂ concentrations on the thickness and quality of as-grown graphene. • Nucleation densities and growth rate differences at the initial growth stages on the Cu foil and film were investigated and discussed. Abstract: Graphene was synthesized on Cu foil and film by atmospheric pressure chemical vapor deposition (CVD) with CH₄ as carbon source. Electron backscattered scattering diffraction (EBSD) characterization demonstrates that the Cu foil surface after the H₂-assisted pre-annealing was almost composed of Cu(1 0 0) crystal facet with larger grain size of ~100 μm; meanwhile, the Cu film surface involved a variety of crystal facets of Cu(1 1 1), Cu(1 0 0), and Cu(1 1 0), with the relatively small grain size of ~10 μm. The different surface structure led to the distinctive influences of the CH₄ and H₂ concentrations on the thickness and quality of as-grown graphene. Further data demonstrate that the Cu foil enabled more nucleation densities and faster growth rates at the initial growth stages than the Cu film. Our results are beneficial for understanding the relationship between the metal surface structure and graphene CVD growth.

  11. Growth of group III nitride films by pulsed electron beam deposition

    International Nuclear Information System (INIS)

    Ohta, J.; Sakurada, K.; Shih, F.-Y.; Kobayashi, A.; Fujioka, H.

    2009-01-01

    We have grown group III nitride films on Al 2 O 3 (0 0 0 1), 6H-SiC (0 0 0 1), and ZnO (0001-bar) substrates by pulsed electron beam deposition (PED) for the first time and investigated their characteristics. We found that c-plane AlN and GaN grow epitaxially on these substrates. It has been revealed that the growth of GaN on atomically flat 6H-SiC substrates starts with the three-dimensional mode and eventually changes into the two-dimensional mode. The GaN films exhibited strong near-band-edge emission in their room temperature photoluminescence spectra. We also found that the use of PED allows us to reduce the epitaxial growth temperature for GaN down to 200 deg. C. - Graphical abstract: We have grown group III nitride films by pulsed electron beam deposition (PED) and found that the films of group III nitrides grow epitaxially on 6H-SiC and Al 2 O 3 substrates. We also found that the use of PED allows us to reduce the epitaxial growth temperature for GaN down to 200 deg. C.

  12. TTF/TCNQ-based thin films and microcrystals. Growth and charge transport phenomena

    Energy Technology Data Exchange (ETDEWEB)

    Solovyeva, Vita

    2011-05-26

    The thesis adresses several problems related to growth and charge transport phenomena in thin films of TTF-TCNQ and (BEDT-TTF)TCNQ. The following main new problems are addressed: - The influence of thin-film specific factors, such as the substrate material and growth-induced defects, on the Peierls transition temperature in TTF-TCNQ thin films was studied; - finite-size effects in TTF-TCNQ were investigated by considering transport properties in TTF-TCNQ microcrystals. The influence of the size of the crystal on the Peierls transition temperature was studied. In this context a new method of microcontact fabrication was employed to favor the measurements; - an analysis of radiation-induced defects in TTF-TCNQ thin films and microcrystals was performed. It was demonstrated than an electron beam can induce appreciable damage to the sample such that its electronic properties are strongly modified; - a bilayer growth method was established to fabricate (BEDT-TTF)TCNQ from the gas phase. This newly developed bilayer growth method was showed to be suitable for testing (BEDT-TTF)TCNQ charge-transfer phase formation; - the structure of the formed (BEDT-TTF)TCNQ charge-transfer compounds was analyzed by using a wide range of experimental techniques. An overview and the description of the basic physical principles underlying charge-transfer compounds is given in chapter 2. Experimental techniques used for the growth and characterization of thin films and microcrystals are presented in chapter 3. Chapter 4 gives an overview of the physical properties of the studied organic materials. Chapter 5 discussed the experimental study of TTF-TCNQ thin films. he Peierls transition in TTF-TCNQ is a consequence of the quasi-one-dimensional structure of the material and depends on different factors, studied in chapters 5 and 6. In contradistinction to TTF-TTCNQ, the (BEDT-TTF)TCNQ charge-transfer compound crystallizes in several different modifications with different physical properties

  13. Corrosion resistance and biocompatibility of zirconium oxynitride thin film growth by RF sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cubillos, G. I.; Olaya, J. J.; Clavijo, D.; Alfonso, J. E. [Universidad Nacional de Colombia, Carrera 45 No. 26-85, AA 14490 Bogota D. C. (Colombia); Bethencourt, M., E-mail: jealfonsoo@unal.edu.co [Universidad de Cadiz, Centro Andaluz de Ciencia y Tecnologia Marinas, Departamento de Ciencia de los Materiales e Ingenieria Metalurgica y Quimica Inorganica, Av. Republica de Saharaui, Puerto Real, E-11510 Cadiz (Spain)

    2012-07-01

    Thin films of zirconium oxynitride were grown on common glass, silicon (100) and stainless steel 316 L substrates using the reactive RF magnetron sputtering technique. The films were analyzed through structural, morphological and biocompatibility studies. The structural analysis was carried out using X-ray diffraction (XRD), and the morphological analysis was carried out using scanning electron microscopy (Sem) and atomic force microscopy (AFM). These studies were done as a function of growth parameters, such as power applied to the target, substrate temperature, and flow ratios. The corrosion resistance studies were made on samples of stainless steel 316 L coated and uncoated with Zr{sub x}N{sub y}O films, through of polarization curves. The studies of biocompatibility were carried out on zirconium oxynitride films deposited on stainless steel 316 L through proliferation and cellular adhesion. The XRD analysis shows that films deposited at 623 K, with a flow ratio {Phi}N{sub 2}/{Phi}O{sub 2} of 1.25 and a total deposit time of 30 minutes grew preferentially oriented along the (111) plane of the zirconium oxynitride monoclinic phase. The Sem analyses showed that the films grew homogeneously, and the AFM studies indicated that the average rugosity of the film was 5.9 nm and the average particle size was 150 nm. The analysis of the corrosion resistant, shows that the stainless steel coated with the film was increased a factor 10. Finally; through the analysis of the biocompatibility we established that the films have a better surface than the substrate (stainless steel 316 L) in terms of the adhesion and proliferation of bone cells. (Author)

  14. Effect of enhanced C2 growth chemistry on nanodiamond film deposition

    International Nuclear Information System (INIS)

    Teii, Kungen; Ikeda, Tomohiro

    2007-01-01

    A route to high-purity nanocrystalline diamond films from C 2 dimers and related mechanisms have been investigated by enhancing C 2 growth chemistry in Ar-rich microwave plasmas. Efficient C 2 production by direct dissociation from acetylene causes the micro- to nanocrystal transition with a low threshold Ar concentration of ∼70% and produces films of ∼20 nm grains with a distinct visible-Raman peak of diamond. C 2 grows nanodiamond on diamond surfaces but rarely initiates nucleation on foreign surfaces. The phase purity can be improved by increasing the dominance of nanodiamond growth from C 2 over nondiamond growth from CH x (x=0-3) and large radicals

  15. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  16. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  17. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  18. Magnesium growth in magnesium deuteride thin films during deuterium desorption

    Energy Technology Data Exchange (ETDEWEB)

    Checchetto, R., E-mail: riccardo.checchetto@unitn.it [Dipartimento di Fisica and CNISM, Università di Trento, Via Sommarive 14, I-38123 Trento (Italy); Miotello, A. [Dipartimento di Fisica and CNISM, Università di Trento, Via Sommarive 14, I-38123 Trento (Italy); Mengucci, P.; Barucca, G. [Dipartimento di Fisica e Ingegneria dei Materiali e del Territorio, Università Politecnica delle Marche, I-60131 Ancona (Italy)

    2013-12-15

    Highlights: ► Highly oriented Pd-capped magnesium deuteride thin films. ► The MgD{sub 2} dissociation was studied at temperatures not exceeding 100 °C. ► The structure of the film samples was analyzed by XRD and TEM. ► The transformation is controlled by the re-growth velocity of the Mg layers. ► The transformation is thermally activated, activation energy value of 1.3 ± 0.1 eV. -- Abstract: Pd- capped nanocrystalline magnesium thin films having columnar structure were deposited on Si substrate by e-gun deposition and submitted to thermal annealing in D{sub 2} atmosphere to promote the metal to deuteride phase transformation. The kinetics of the reverse deuteride to metal transformation was studied by Thermal Desorption Spectroscopy (TDS) while the structure of the as deposited and transformed samples was analyzed by X-rays diffraction and Transmission Electron Microscopy (TEM). In Pd- capped MgD{sub 2} thin films the deuteride to metal transformation begins at the interface between un-reacted Mg and transformed MgD{sub 2} layers. The D{sub 2} desorption kinetics is controlled by MgD{sub 2}/Mg interface effects, specifically the re-growth velocity of the Mg layers. The Mg re-growth has thermally activated character and shows an activation energy value of 1.3 ± 0.1 eV.

  19. Effect of different film packaging on microbial growth in minimally processed cactus pear (Opuntia ficus-indica).

    Science.gov (United States)

    Palma, A; Mangia, N P; Fadda, A; Barberis, A; Schirra, M; D'Aquino, S

    2013-01-01

    Microorganisms are natural contaminants of fresh produce and minimally processed products, and contamination arises from a number of sources, including the environment, postharvest handling and processing. Fresh-cut products are particularly susceptible to microbial contaminations because of the changes occurring in the tissues during processing. In package gas composition of modified atmosphere packaging (MAP) in combination with low storage temperatures besides reducing physiological activity of packaged produce, can also delay pathogen growth. Present study investigated on the effect of MAPs, achieved with different plastic films, on microbial growth of minimally processed cactus pear (Opuntio ficus-indica) fruit. Five different plastic materials were used for packaging the manually peeled fruit. That is: a) polypropylene film (Termoplast MY 40 micron thickness, O2 transmission rate 300 cc/m2/24h); b) polyethylene film (Bolphane BHE, 11 micron thickness, O2 transmission rate 19000 cc/m2/24h); c) polypropylene laser-perforated films (Mach Packaging) with 8, 16 or 32 100-micron holes. Total aerobic psychrophilic, mesophilic microorganisms, Enterobacteriaceae, yeast, mould populations and in-package CO2, O2 and C2H4 were determined at each storage time. Different final gas compositions, ranging from 7.8 KPa to 17.1 KPa O2, and 12.7 KPa to 2.6 KPa CO2, were achieved with MY and micro perforated films, respectively. Differences were detected in the mesophilic, Enterobacteriaceae and yeast loads, while no difference was detected in psychrophilic microorganisms. At the end of storage, microbial load in fruits sealed with MY film was significantly lower than in those sealed with BHE and micro perforated films. Furthermore, fruits packed with micro-perforated films showed the highest microbial load. This occurrence may in part be related to in-package gas composition and in part to a continuous contamination of microorganisms through micro-holes.

  20. An XPS study of pulsed plasma polymerised allyl alcohol film growth on polyurethane

    Energy Technology Data Exchange (ETDEWEB)

    Watkins, Lucy [Department of Chemistry, University of York, Heslington, York YO10 5DD (United Kingdom); Bismarck, Alexander [Department of Chemical Engineering, Polymer and Composite Engineering (PaCE) Group, Imperial College London, London SW7 2AZ (United Kingdom); Lee, Adam F. [Department of Chemistry, University of York, Heslington, York YO10 5DD (United Kingdom); Wilson, Darren [Smith and Nephew Research Centre, York Science Park, Heslington, York YO10 5DF (United Kingdom); Wilson, Karen [Department of Chemistry, University of York, Heslington, York YO10 5DD (United Kingdom)]. E-mail: kw13@york.ac.uk

    2006-09-30

    The growth of highly functionalised poly allyl alcohol films by pulsed plasma polymerisation of CH{sub 2} =CHCH{sub 2}OH on biomedical grade polyurethane has been followed by X-ray photoelectron spectroscopy (XPS) and contact angle measurements. Film thickness is observed to increase approximately linearly with plasma modification time, suggesting a layer-by-layer growth mode of poly allyl alcohol. Water contact angle measurements reveal the change in the surface free energy of wetting decreases linearly with plasma modification up to the monolayer point after which a constant limiting value of -24 mJ m{sup -2} was attained. Films prepared at 20 W plasma power with a duty cycle of 10 {mu}s:500 {mu}s exhibit a high degree of hydroxyl (-OH) retention with minimal fragmentation of the monomer observed. Increasing the plasma power up to 125 W is found to improve -OH retention at the expense of ether formation generating films close to the monomer stoichiometry. Duty cycle plays an important role in controlling both film composition and thickness, with longer off times increasing -OH retention, while longer on times enhance allyl alcohol film growth.

  1. Growth and magnetic structure of La0.67Sr0.33MnO3 films

    International Nuclear Information System (INIS)

    Brown, G.W.; Jia, Q.X.; Peterson, E.J.; Hristova, D.K.; Hundley, M.F.; Thompson, J.D.; Maggiore, C.J.; Tesmer, J.; Hawley, M.E.

    1997-01-01

    Growth of LaMnO 3 films that exhibit colossal magnetoresistance (CMR) has concentrated heavily on Ca doped materials. However, since the 33% Sr doped films are ferromagnetic at room temperature, they are ideal candidates for dual growth-magnetic structure studies using scanned probe techniques. In this study, interest was focused on the relations between growth/processing parameters, film morphology, and electronic/magnetic properties. In addition, films were grown on both LaAlO 3 (LAO) and SrTiO 3 (STO) to examine the results of stress induced by different substrate mismatches. La 0.67 Sr 0.33 MnO 3 (LSMO) was grown using pulsed laser deposition (PLD) at temperatures between 500 C and 800 C. The film microstructure, crystallinity, and magnetic and electrical properties were characterized by room temperature scanning tunneling microscopy (STM), atomic force microscopy (AFM), magnetic force microscopy (MFM), x-ray diffraction, and temperature dependent transport and magnetization measurements. The growth trends follow those previously reported for Ca doped films. Grains increase in size with increasing temperature and coalesce into extended layers after annealing. Although topographic contributions complicate interpretation of some MFM data, local magnetic structure observed here is generally associated with film defects

  2. Controlling growth rate anisotropy for formation of continuous ZnO thin films from seeded substrates

    International Nuclear Information System (INIS)

    Zhang, R H; Slamovich, E B; Handwerker, C A

    2013-01-01

    Solution-processed zinc oxide (ZnO) thin films are promising candidates for low-temperature-processable active layers in transparent thin film electronics. In this study, control of growth rate anisotropy using ZnO nanoparticle seeds, capping ions, and pH adjustment leads to a low-temperature (90 ° C) hydrothermal process for transparent and high-density ZnO thin films. The common 1D ZnO nanorod array was grown into a 2D continuous polycrystalline film using a short-time pure solution method. Growth rate anisotropy of ZnO crystals and the film morphology were tuned by varying the chloride (Cl − ) ion concentration and the initial pH of solutions of zinc nitrate and hexamethylenetetramine (HMTA), and the competitive adsorption effects of Cl − ions and HMTA ligands on the anisotropic growth behavior of ZnO crystals were proposed. The lateral growth of nanorods constituting the film was promoted by lowering the solution pH to accelerate the hydrolysis of HMTA, thereby allowing the adsorption effects from Cl − to dominate. By optimizing the growth conditions, a dense ∼100 nm thickness film was fabricated in 15 min from a solution of [Cl − ]/[Zn 2+ ] = 1.5 and pH= 4.8 ± 0.1. This film shows >80% optical transmittance and a field-effect mobility of 2.730 cm 2 V −1 s −1 at zero back-gate bias. (paper)

  3. Chemical structural analysis of diamondlike carbon films: I. Surface growth model

    Science.gov (United States)

    Takabayashi, Susumu; Ješko, Radek; Shinohara, Masanori; Hayashi, Hiroyuki; Sugimoto, Rintaro; Ogawa, Shuichi; Takakuwa, Yuji

    2018-02-01

    The surface growth mechanisms of diamondlike carbon (DLC) films has been clarified. DLC films were synthesized in atmospheres with a fixed methane-to-argon ratio at different temperatures up to 700 °C by the photoemission-assisted glow discharge of photoemission-assisted plasma-enhanced chemical vapor deposition. The electrical resistivity of the films decreased logarithmically as the synthesis temperature was increased. Conversely, the dielectric constant of the films increased and became divergent at high temperature. However, the very high electrical resistivity of the film synthesized at 150 °C was retained even after post-annealing treatments at temperatures up to 500 °C, and divergence of the dielectric constant was not observed. Such films exhibited excellent thermal stability and retained large amounts of hydrogen, even after post-annealing treatments. These results suggest that numerous hydrogen atoms were incorporated into the DLC films during synthesis at low temperatures. Hydrogen atoms terminate carbon dangling bonds in the films to restrict π-conjugated growth. During synthesis at high temperature, hydrogen was desorbed from the interior of the growing films and π-conjugated conductive films were formed. Moreover, hydrogen radicals were chemisorbed by carbon atoms at the growing DLC surface, leading to removal of carbon atoms from the surface as methane gas. The methane molecules decomposed into hydrocarbons and hydrogen radicals through the attack of electrons above the surface. Hydrogen radicals contributed to the etching reaction cycle of the film; the hydrocarbon radicals were polymerized by reacting with other radicals and the methane source. The polymer radicals remained above the film, preventing the supply of the methane source and disrupting the action of argon ions. At high temperatures, the resultant DLC films were rough and thin.

  4. Growth and analysis of highly oriented (11n) BCSCO films for device research

    Energy Technology Data Exchange (ETDEWEB)

    Raina, K.K.; Pandey, R.K. [Texas A& M Univ., College Station, TX (United States)

    1994-12-31

    Films of BCSCO superconductor of the type Bi{sub 2}CaSr{sub 2}Cu{sub 2}O{sub x} have been grown by liquid phase epitaxy method (LPE), using a partially closed growth chamber. The films were grown on (001) and (110) NdGaO{sub 3} substrates by slow cooling process in an optimized temperature range below the peritectic melting point (880{degrees}C) of Bi{sub 2}CaSr{sub 2}Cu{sub 2}O{sub 8}. Optimization of parameters, such as seed rotation, soak of initial growth temperature and growth period results in the formation of 2122 phase BCSCO films. The films grown at rotation rates of less than 30 and more than 70 rpm are observed to be associated with the second phase of Sr-Ca-Cu-O system. Higher growth temperatures (>860{degrees}C) also encourage to the formation of this phase. XRD measurements show that the films grown on (110) NdGaO{sub 3} have a preferred (11n)-orientation. It is pertinent to mention here that in our earlier results published elsewhere we obtained c-axis oriented Bi{sub 2}CaSr{sub 2}Cu{sub 2}O{sub 8} phase films on (001) NdGaO{sub 3} substrate. Critical current density is found to be higher for the films grown on (110) than (001) NdGaO{sub 3} substrate orientation. The best values of zero resistance (T{sub co}) and critical current density obtained are 87 K and 10{sup 5} A/cm{sup 2}, respectively.

  5. Big-data reflection high energy electron diffraction analysis for understanding epitaxial film growth processes.

    Science.gov (United States)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V

    2014-10-28

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED images, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the data set are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of a RHEED image sequence. This approach is illustrated for growth of La(x)Ca(1-x)MnO(3) films grown on etched (001) SrTiO(3) substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the asymmetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.

  6. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  7. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    Science.gov (United States)

    Dimitrakellis, P.; Kalampounias, A. G.; Spiliopoulos, N.; Amanatides, E.; Mataras, D.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-07-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  8. Disilane as a growth rate catalyst of plasma deposited microcrystalline silicon thin films

    International Nuclear Information System (INIS)

    Dimitrakellis, P.; Amanatides, E.; Mataras, D.; Kalampounias, A. G.; Spiliopoulos, N.; Lahootun, V.; Coeuret, F.; Madec, A.

    2016-01-01

    The effect of small disilane addition on the gas phase properties of silane-hydrogen plasmas and the microcrystalline silicon thin films growth is presented. The investigation was conducted in the high pressure regime and for constant power dissipation in the discharge with the support of plasma diagnostics, thin film studies and calculations of discharge microscopic parameters and gas dissociation rates. The experimental data and the calculations show a strong effect of disilane on the electrical properties of the discharge in the pressure window from 2 to 3 Torr that is followed by significant raise of the electron number density and the drop of the sheaths electric field intensity. Deposition rate measurements show an important four to six times increase even for disilane mole fractions as low as 0.3 %. The deposition rate enhancement was followed by a drop of the material crystalline volume fraction but films with crystallinity above 40 % were deposited with different combinations of total gas pressure, disilane and silane molar ratios. The enhancement was partly explained by the increase of the electron impact dissociation rate of silane which rises by 40% even for 0.1% disilane mole fraction. The calculations of the gas usage, the dissociation and the deposition efficiencies show that the beneficial effect on the growth rate is not just the result of the increase of Si-containing molecules density but significant changes on the species participating to the deposition and the mechanism of the film growth are caused by the disilane addition. The enhanced participation of the highly sticking to the surface radical such as disilylene, which is the main product of disilane dissociation, was considered as the most probable reason for the significant raise of the deposition efficiency. The catalytic effect of such type of radical on the surface reactivity of species with lower sticking probability is further discussed, while it is also used to explain the restricted

  9. Decoding Nucleation and Growth of Zeolitic Imidazolate Framework Thin Films with Atomic Force Microscopy and Vibrational Spectroscopy.

    Science.gov (United States)

    Öztürk, Zafer; Filez, Matthias; Weckhuysen, Bert M

    2017-08-10

    The synthesis of metal-organic framework (MOF) thin films has garnered significant attention during the past decade. By better understanding the parameters governing the nucleation and growth of such thin films, their properties can be rationally tuned, empowering their application as (reactive) membranes. Here, a combined AFM-vibrational spectroscopy research strategy is employed to detail the chemistries governing the nucleation and growth of zeolitic imidazolate framework (ZIF) thin films, in particular isostructural Co-ZIF-67 and Zn-ZIF-8. First, a single step direct synthesis approach is used to investigate the influence of different synthesis parameters -metal/linker ratio, temperature, and metal type- on the thin film nucleation and growth behaviour. While the metal/linker ratio has a pronounced effect on the thin film nucleation rate, the temperature mainly influences the growth kinetics of nuclei forming the thin film. In addition, the nucleation and growth of ZIF thin films is shown to be highly dependent on the electronegativity of the metal type. Thin-film thickness control can be achieved by using a multistep synthesis strategy, implying repetitive applications of single step deposition under identical synthesis conditions, for which a growth mechanism is proposed. This study provides insight into the influence of synthesis parameters on the ZIF thin film properties, using tools at hand to rationally tune MOF thin film properties. © 2017 The Authors. Published by Wiley-VCH Verlag GmbH & Co. KGaA.

  10. Growth of vertically aligned ZnO nanorods using textured ZnO films

    Directory of Open Access Journals (Sweden)

    Meléndrez Manuel

    2011-01-01

    Full Text Available Abstract A hydrothermal method to grow vertical-aligned ZnO nanorod arrays on ZnO films obtained by atomic layer deposition (ALD is presented. The growth of ZnO nanorods is studied as function of the crystallographic orientation of the ZnO films deposited on silicon (100 substrates. Different thicknesses of ZnO films around 40 to 180 nm were obtained and characterized before carrying out the growth process by hydrothermal methods. A textured ZnO layer with preferential direction in the normal c-axes is formed on substrates by the decomposition of diethylzinc to provide nucleation sites for vertical nanorod growth. Crystallographic orientation of the ZnO nanorods and ZnO-ALD films was determined by X-ray diffraction analysis. Composition, morphologies, length, size, and diameter of the nanorods were studied using a scanning electron microscope and energy dispersed x-ray spectroscopy analyses. In this work, it is demonstrated that crystallinity of the ZnO-ALD films plays an important role in the vertical-aligned ZnO nanorod growth. The nanorod arrays synthesized in solution had a diameter, length, density, and orientation desirable for a potential application as photosensitive materials in the manufacture of semiconductor-polymer solar cells. PACS 61.46.Hk, Nanocrystals; 61.46.Km, Structure of nanowires and nanorods; 81.07.Gf, Nanowires; 81.15.Gh, Chemical vapor deposition (including plasma-enhanced CVD, MOCVD, ALD, etc.

  11. Growth of Cu thin films by the successive ionic layer adsorption and reaction (SILAR) method

    International Nuclear Information System (INIS)

    Lindroos, S.; Ruuskanen, T.; Ritala, M.; Leskelae, M.

    2004-01-01

    Copper thin films were grown on reduced indium tin oxide, molybdenum and polymer substrates using successive ionic layer adsorption and reaction (SILAR) method. Copper films were grown sequentially in a controlled way using simple copper salt and basic solution of formaldehyde as precursors. The copper films were polycrystalline with no preferred orientation as characterised by X-ray diffraction. On all substrates, the growth was clearly island growth in the beginning but after the whole surface was covered, the growth was more homogeneous

  12. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  13. Growth properties of poly(tetrafluoroethylene) films by synchrotron radiation ablation

    International Nuclear Information System (INIS)

    Guo, Qixin; Kugino, Takashi; Kume, Yusuke; Mitsuishi, Yoshiaki; Tanaka, Tooru; Nishi, Mitsuhiro; Ogawa, Hiroshi

    2007-01-01

    High-quality poly(tetrafluoroethylene) (PTFE) films have been grown on Si substrates by synchrotron radiation ablation of a PTFE target. Only doublet absorption structures assigned to C-F asymmetric and symmetric stretching vibrations in CF 2 groups are observed, suggesting that the CF 2 groups in the grown PTFE film are organized in an ordered manner through linear attachment. The growth rate of the PTFE films increases with increasing target temperature, while it decreases with increasing substrate temperature. It has been shown that the thickness of the PTFE film with a high-spatial-resolution structure can be easily controlled at nanometer order by changing the synchrotron radiation irradiation dose. (author)

  14. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS 2) thin films by MOCVD

    Science.gov (United States)

    Höpfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H.

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ / mol over the temperature range from 250 to 400°C. From 500 to 630°C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe 1 - xS) occurs at higher growth temperatures. The {S}/{Fe} ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 Å / s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 Å / s. Temperatures above 550°C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 μm.

  15. Modeling of thin films growth processes in the early stage for atoms with covalent bonds

    International Nuclear Information System (INIS)

    Tupik, V A; Margolin, V I; Su, Chu Trong

    2017-01-01

    Computer simulation for obtaining thin film’s growth process at an early stage with the proposed model of atoms with isotropic and anisotropic interactions been considered. Carrying out the procedure for analyzing the problem on the basis of the program being implemented, computer simulation of thin film growth processes has been carried out on several examples. The results of computer simulation of the growth process of thin film on a given substrate and an aggregate in a vacuum condition are shown. Some characteristic distributions of the obtained structure have been carried out to evaluate the proposed adequate model and to reflect the high complexity of thin films growth process. (paper)

  16. Substrate mediated growth of organic semiconducting thin films; Templateffekte bei der Strukturierung organischer Halbleiterfilme

    Energy Technology Data Exchange (ETDEWEB)

    Goetzen, Jan

    2010-09-17

    Since electronic properties of molecular materials are closely related to their structural order a precise control of the molecular packing and crystalline orientation of thin films is of vital interest for an optimization of organic electronic devices. Of particular interest in this respect is the initial stage of film formation which is largely governed by the interplay of intermolecular and molecule-substrate interactions. One approach to control the molecular film structure is based on substrate mediated growth. In this respect we have studied structural properties of thin films of pentacene, pentacene- 5,7,12,14-tetrone and perfluoro-pentacene which were grown onto various substrates including metals, metal oxides and graphite. On metal surfaces the molecules initially form a chemisorbed monolayer where molecules even can be uniformly aligned when using appropriate substrates with twofold symmetry. Further deposition, however, is accompanied by a pronounced dewetting and formation of disjoined islands which results from a large structural mismatch between the molecular arrangement in the monolayer and the crystalline phase. In some cases it is possible to orient such islands by utilizing step mediated nucleation and decoration of step bunches which allows the preparation of azimuthally well oriented elongated islands. On single crystalline oxides the growth parallels the situation found before for SiO{sub 2} where islands of upright oriented molecules are formed. The growth on graphite is somewhat particular since the lattice provides a natural template for acenes yielding epitaxially ordered monolayer films with planar adsorption geometry like in case of metals. Interestingly, however, no dewetting occurs upon further growth and instead rather smooth films are formed. The detailed analysis for the case of pentacene showed that the substrate-molecule interaction actually is weaker than the intermolecular interaction so that multilayer films can lift the

  17. Thin film evolution equations from (evaporating) dewetting liquid layers to epitaxial growth

    International Nuclear Information System (INIS)

    Thiele, U

    2010-01-01

    In the present contribution we review basic mathematical results for three physical systems involving self-organizing solid or liquid films at solid surfaces. The films may undergo a structuring process by dewetting, evaporation/condensation or epitaxial growth, respectively. We highlight similarities and differences of the three systems based on the observation that in certain limits all of them may be described using models of similar form, i.e. time evolution equations for the film thickness profile. Those equations represent gradient dynamics characterized by mobility functions and an underlying energy functional. Two basic steps of mathematical analysis are used to compare the different systems. First, we discuss the linear stability of homogeneous steady states, i.e. flat films, and second the systematics of non-trivial steady states, i.e. drop/hole states for dewetting films and quantum-dot states in epitaxial growth, respectively. Our aim is to illustrate that the underlying solution structure might be very complex as in the case of epitaxial growth but can be better understood when comparing the much simpler results for the dewetting liquid film. We furthermore show that the numerical continuation techniques employed can shed some light on this structure in a more convenient way than time-stepping methods. Finally we discuss that the usage of the employed general formulation does not only relate seemingly unrelated physical systems mathematically, but does allow as well for discussing model extensions in a more unified way.

  18. Controlling thin film structure for the dewetting of catalyst nanoparticle arrays for subsequent carbon nanofiber growth

    International Nuclear Information System (INIS)

    Randolph, S J; Fowlkes, J D; Melechko, A V; Klein, K L; III, H M Meyer; Simpson, M L; Rack, P D

    2007-01-01

    Vertically aligned carbon nanofiber (CNF) growth is a catalytic chemical vapor deposition process in which structure and functionality is controlled by the plasma conditions and the properties of the catalyst nanoparticles that template the fiber growth. We have found that the resultant catalyst nanoparticle network that forms by the dewetting of a continuous catalyst thin film is dependent on the initial properties of the thin film. Here we report the ability to tailor the crystallographic texture and composition of the nickel catalyst film and subsequently the nanoparticle template by varying the rf magnetron sputter deposition conditions. After sputtering the Ni catalyst thin films, the films are heated and exposed to an ammonia dc plasma, to chemically reduce the native oxide on the films and induce dewetting of the film to form nanoparticles. Subsequent nanoparticle treatment in an acetylene plasma at high substrate temperature results in CNF growth. Evidence is presented that the texture and composition of the nickel thin film has a significant impact on the structure and composition of the formed nanoparticle, as well as the resultant CNF morphology. Nickel films with a preferred (111) or (100) texture were produced and conditions favoring interfacial silicidation reactions were identified and investigated. Both compositional and structural analysis of the films and nanoparticles indicate that the properties of the as-deposited Ni catalyst film influences the subsequent nanoparticle formation and ultimately the catalytic growth of the carbon nanofibers

  19. Features of film growth during plasma anodizing of Al 2024/SiC metal matrix composite

    Energy Technology Data Exchange (ETDEWEB)

    Xue Wenbin [Key Laboratory for Radiation Beam Technology and Materials Modification, Institute of Low Energy Nuclear Physics, Beijing Normal University, Beijing 100875 (China)]. E-mail: xuewb@bnu.edu.cn

    2006-07-15

    Plasma anodizing is a novel promising process to fabricate corrosion-resistant protective films on metal matrix composites. The corrosion-resistant films were prepared by plasma anodizing on SiC reinforced aluminum matrix composite. The morphology and microstructure of films were analyzed by scanning electron microscopy. Specifically, the morphology of residual SiC reinforcement particles in the film was observed. It is found that the most SiC reinforcement particles have been molten to become silicon oxide, but a few tiny SiC particles still remain in the film close to the composite/film interface. This interface is irregular due to the hindering effect of SiC particles on the film growth. Morphology and distribution of residual SiC particles in film provide direct evidence to identify the local melt occurs in the interior of plasma anodizing film even near the composite/film interface. A model of film growth by plasma anodizing on metal matrix composites was proposed.

  20. Features of film growth during plasma anodizing of Al 2024/SiC metal matrix composite

    International Nuclear Information System (INIS)

    Xue Wenbin

    2006-01-01

    Plasma anodizing is a novel promising process to fabricate corrosion-resistant protective films on metal matrix composites. The corrosion-resistant films were prepared by plasma anodizing on SiC reinforced aluminum matrix composite. The morphology and microstructure of films were analyzed by scanning electron microscopy. Specifically, the morphology of residual SiC reinforcement particles in the film was observed. It is found that the most SiC reinforcement particles have been molten to become silicon oxide, but a few tiny SiC particles still remain in the film close to the composite/film interface. This interface is irregular due to the hindering effect of SiC particles on the film growth. Morphology and distribution of residual SiC particles in film provide direct evidence to identify the local melt occurs in the interior of plasma anodizing film even near the composite/film interface. A model of film growth by plasma anodizing on metal matrix composites was proposed

  1. Growth of fine holes in polyethyleneterephthalate film irradiated by fission fragments

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.

    1975-01-01

    Growth of fine holes by chemical etching in polyethyleneterephthalate films exposed to fission fragments were followed by measuring gas flow through films. The etching rate along tracks and the radial etching rate were determined at hole diameters of 100--3000 A and hole densities of 10 6 --10 8 /cm 2

  2. Growth and morphology of aluminium contacts on P3HT films

    Energy Technology Data Exchange (ETDEWEB)

    Kaune, Gunar [TU Muenchen, Physik-Department, Lehrstuhl fuer Funktionelle Materialien, James-Franck-Strasse 1, 85747 Garching (Germany); Martin-Luther-Universitaet Halle-Wittenberg, Institut fuer Physik, Fachgruppe Photovoltaik, Von-Danckelmann-Platz 3, 06120 Halle (Germany); Meier, Robert; Metwalli, Ezzeldin; Koerstgens, Volker; Mueller-Buschbaum, Peter [TU Muenchen, Physik-Department, Lehrstuhl fuer Funktionelle Materialien, James-Franck-Strasse 1, 85747 Garching (Germany); Schlage, Kai; Couet, Sebastien; Roth, Stephan V. [HASYLAB, DESY, Notkestrasse 85, 22603 Hamburg (Germany)

    2011-07-01

    The characteristics of organic electronic devices are strongly influenced by the type and structure of the metal electrodes needed to inject or extract charge carriers. Therefore understanding of the metal growth process and its relation to the interactions at the metal-organic interface are necessary. We investigate the growth of an aluminium layer on the surface of a P3HT thin film by in-situ application of grazing incidence small-angle X-ray scattering (GISAXS). By subsequent modelling of the scattering data the structural parameters of the growing film are extracted and a growth process is found, which proceeds two-dimensional by stacking single atomic layers on top each other. This process results in a homogeneous film with a large contact area to the polymer and is explained by a strong chemical interaction between aluminium and P3HT, which suppresses clustering of the metal on the polymer surface. The diffusion of single aluminium atoms into the P3HT and the formation of an intermixing layer is revealed by X-ray reflectivity measurements.

  3. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya

    2017-05-10

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  4. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Eddaoudi, Mohamed

    2017-01-01

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  5. Growth of α-sexithiophene nanostructures on C60 thin film layers

    DEFF Research Database (Denmark)

    Radziwon, Michal Jędrzej; Madsen, Morten; Balzer, Frank

    2014-01-01

    Organic molecular beam grown -sexithiophene (-6T) forms nanostructured thin films on buckminsterfullerene (C60) thin film layers. At substrate temperatures of 300K during growth a rough continuous film is observed, which develop to larger elongated islands and dendritic- as well as needle like ...... fluorescence polarimetry measurements the in-plane orientation of the crystalline sites within the needle like structures is determined. The polarimetry investigations strongly indicate that the needle like structures consist of lying molecules....

  6. The growth and characterisation of YBa2Cu3O7-δ superconducting thin films

    International Nuclear Information System (INIS)

    McCurry, M.P.

    1999-02-01

    The normal state properties of YBa 2 Cu 3 O 7-δ (YBCO) are not completely understood. It is known that the oxygen doping play a large part in determining these properties. The optical conductivity of a series of c-axis YBCO thin films was investigated in this thesis. The films were grown on (100) MgO substrates using a pulsed laser deposition (PLD) system and characterised using X-ray diffraction, atomic force microscopy and resistance-temperature measurements. The optimum parameters for c-axis YBCO thin film growth were determined by systematically varying the main deposition parameters. The best quality films had a transition temperature T c ∼ 88K, with a transition width ∼ 1-2K. Critical current densities of J c ∼ 10 7 Acm -2 were obtained. Substrate and target morphology affected the quality of the films. a-axis YBCO films were grown using a PrBa 2 Cu 3 O 7 (PBCO) film as a template for growth. The choice of target and substrate were again important, with a smooth substrate essential for the multi-layering. T c ∼ 83K and J c ∼ 10 6 Acm -2 were the best values obtained. These values compare with data published on the 'best' YBCO films deposited by PLD. A series of c-axis films was controllably under-doped using an ex-situ annealing process. The as-grown films were assumed to be optimally doped with δ ∼ 0.05. Doping levels in the 'metallic' region, 0.05 -2 mbar. Another tetragonal film was obtained by cooling it after deposition in a nitrogen atmosphere. Neither had a superconducting transition; the c-axes of both films were elongated. The films could be successfully re-doped with oxygen, with a subsequent return to optimal values of T c and c-axis lattice parameter. The dielectric function of optimally doped and under-doped c-axis YBCO films was determined using the attenuated total reflection (ATR) technique. This data was obtained at a fixed frequency of 2984 cm -1 , (0.366eV), at temperatures ranging from 300K to 80K. The data was analysed in

  7. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  8. MOCVD Growth and Characterization of n-type Zinc Oxide Thin Films

    Science.gov (United States)

    Ben-Yaacov, Tammy

    In the past decade, there has been widespread effort in the development of zinc oxide as a II-V1 semiconductor material. ZnO has potential advantages in optoelectronip device applications due to its unique electrical and optical properties. What stands out among these properties is its wide direct bandgap of 3.37 eV and its high electrical conductivity and transparency in the visible and near-UV regions of the spectrum. ZnO can be grown heteroepitaxially on GaN under near lattice-matched conditions and homoepitaxially as well, as high-quality bulk ZnO substrates are commercially available. This dissertation focuses on the development of the growth of high-quality, single crystal n-type ZnO films, control of n-type conductivity, as well as its application as a transparent contact material in GaN-based devices. The first part of this dissertation is an extensive heteroepitaxial and homoepitaxial growth study presenting the properties of ZnO(0001) layers grown on GaN(0001) templates and ZnO(0001) substrates. We show that deposition on GaN requires a two-step growth technique involving the growth of a low temperature nucleation layer before growing a high temperature epitaxial layer in order to obtain smooth ZnO films with excellent crystal quality and step-flow surface morphology. We obtained homoepitaxial ZnO(0001) films of structural quality and surface morphology that is comparable to the as-received substrates, and showed that a high growth temperature (≥1000°C) is needed in order to achieve step-flow growth mode. We performed n-type doping experiments, and established the conditions for which Indium effectively controls the n-type conductivity of ZnO films grown on GaN(0001) templates. A peak carrier concentration of 3.22x 10 19cm-3 and minimum sheet resistance of 97 O/square was achieved, while simultaneously maintaining good morphology and crystal quality. Finally, we present In-doped ZnO films implemented as p-contacts for GaN-based solar cells and LEDs

  9. Stoichiometry-, phase- and orientation-controlled growth of polycrystalline pyrite (FeS{sub 2}) thin films by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Hoepfner, C.; Ellmer, K.; Ennaoui, A.; Pettenkofer, C.; Fiechter, S.; Tributsch, H. [Hahn-Meitner-Institut Berlin, Abteilung Solare Energetik, Berlin (Germany)

    1995-06-01

    The growth process of polycrystalline pyrite thin films employing low pressure metalorganic chemical vapor deposition (LP-MOCVD) in a vertical cold wall reactor has been investigated. Iron pentacarbonyl (IPC) and t-butyldisulfide (TBDS) were utilized as precursors. Study of the growth rate as a function of temperature reveals a kinetically controlled growth process with an activation energy of 73 kJ/mol over the temperature range from 250 to 400C. From 500 to 630C, the growth rate is mainly mass transport limited. Decomposition of the films into pyrrhotite (Fe{sub 1-x}S) occurs at higher growth temperatures. The S/Fe ratio in the films has been controlled from 1.23 up to 2.03 by changing the TBDS partial pressure. With increasing deposition temperature, the crystallites in the films show the tendency to grow [100]-oriented on amorphous substrates at a growth rate of 2.5 A/s. The grains show a preferential orientation in the [111] direction upon lowering the growth rate down to 0.3 A/s. Temperatures above 550C are beneficial in enhancing the grain size in the columnar structured films up to 1.0 {mu}m

  10. Growth and magnetic properties dependence of the Co–Cu/Cu films electrodeposited under high magnetic fields

    Energy Technology Data Exchange (ETDEWEB)

    Franczak, Agnieszka, E-mail: agnieszka.franczak@mtm.kuleuven.be [Laboratoire d’Ingénierie et Sciences des Matériaux (LISM EA 4695), Université de Reims Champagne-Ardenne, UFR Sciences et Naturelles, Bat. 6, Moulin de la Housse, BP 1039, 51687 Reims Cedex 2 (France); Department of Materials Science (MTM), KU Leuven, Kasteelpark Arenberg 44, 3001 Haverlee (Leuven) (Belgium); Levesque, Alexandra [Laboratoire d’Ingénierie et Sciences des Matériaux (LISM EA 4695), Université de Reims Champagne-Ardenne, UFR Sciences et Naturelles, Bat. 6, Moulin de la Housse, BP 1039, 51687 Reims Cedex 2 (France); Zabinski, Piotr [Laboratory of Physical Chemistry and Electrochemistry, Faculty of Non-Ferrous Metals, AGH University of Science and Technology, al. A. Mickiewicza 30, 30059 Krakow (Poland); Li, Donggang [Key Laboratory of Electromagnetic Processing of Materials (Ministry of Education), Northeastern University, 314 Box, 110004 Shenyang (China); Czapkiewicz, Maciej [Department of Electronics, AGH University of Science and Technology, al. A. Mickiewicza 30, 30059 Krakow (Poland); Kowalik, Remigiusz [Laboratory of Physical Chemistry and Electrochemistry, Faculty of Non-Ferrous Metals, AGH University of Science and Technology, al. A. Mickiewicza 30, 30059 Krakow (Poland); Bohr, Frédéric [Laboratoire d’Ingénierie et Sciences des Matériaux (LISM EA 4695), Université de Reims Champagne-Ardenne, UFR Sciences et Naturelles, Bat. 6, Moulin de la Housse, BP 1039, 51687 Reims Cedex 2 (France); and others

    2015-07-15

    The present work is focused on the investigations of magnetic properties dependence on microstructure of Co–Cu/Cu films electrodeposited under superimposed high magnetic field. The experimental results indicate a strong effect of an external magnetic field on the morphology of deposited films, more precisely on the Co:Cu ratio that determines the film growth. It is shown that the Co–Cu/Cu films electrodeposited without superimposed magnetic field consisted of two clearly visible features: compact film with incorporated granular particles. Under a superimposed external high magnetic field the privilege growth of the particles was induced. As a consequence, development of the well-defined branched structure of Co–Cu/Cu film was observed. In contrary, the phase compositional investigations do not reveal any changes in the phase formation during electrodeposition under magnetic field conditions. Thus, it is assumed that a strong growth of Co–Cu/Cu films in (111) direction under magnetic or non-magnetic electrodeposition conditions is related with the growth of Cu (111) plane and embedded into it some of the Co fcc atoms of same (111) orientation, as well as the Co hcp atoms that grows in the (002) direction. This non-equilibrium growth of Co–Cu/Cu films under magnetic deposition conditions affects strongly the magnetic properties of deposited films, revealing that films obtained under magnetic fields higher than 3 T were no more magnetic materials. - Highlights: • Co–Cu/Cu electrodeposits were obtained at elevated temperature under HMFs. • The effects of HMFs on microstructure and magnetic properties were investigated. • Interesting morphological changes due to HMFs has been observed. • Changes in Co:Cu ratio due to HMFs modified the magnetic properties of deposits.

  11. Growth and magnetic properties dependence of the Co–Cu/Cu films electrodeposited under high magnetic fields

    International Nuclear Information System (INIS)

    Franczak, Agnieszka; Levesque, Alexandra; Zabinski, Piotr; Li, Donggang; Czapkiewicz, Maciej; Kowalik, Remigiusz; Bohr, Frédéric

    2015-01-01

    The present work is focused on the investigations of magnetic properties dependence on microstructure of Co–Cu/Cu films electrodeposited under superimposed high magnetic field. The experimental results indicate a strong effect of an external magnetic field on the morphology of deposited films, more precisely on the Co:Cu ratio that determines the film growth. It is shown that the Co–Cu/Cu films electrodeposited without superimposed magnetic field consisted of two clearly visible features: compact film with incorporated granular particles. Under a superimposed external high magnetic field the privilege growth of the particles was induced. As a consequence, development of the well-defined branched structure of Co–Cu/Cu film was observed. In contrary, the phase compositional investigations do not reveal any changes in the phase formation during electrodeposition under magnetic field conditions. Thus, it is assumed that a strong growth of Co–Cu/Cu films in (111) direction under magnetic or non-magnetic electrodeposition conditions is related with the growth of Cu (111) plane and embedded into it some of the Co fcc atoms of same (111) orientation, as well as the Co hcp atoms that grows in the (002) direction. This non-equilibrium growth of Co–Cu/Cu films under magnetic deposition conditions affects strongly the magnetic properties of deposited films, revealing that films obtained under magnetic fields higher than 3 T were no more magnetic materials. - Highlights: • Co–Cu/Cu electrodeposits were obtained at elevated temperature under HMFs. • The effects of HMFs on microstructure and magnetic properties were investigated. • Interesting morphological changes due to HMFs has been observed. • Changes in Co:Cu ratio due to HMFs modified the magnetic properties of deposits

  12. Buckyball microwave plasmas: Fragmentation and diamond-film growth

    International Nuclear Information System (INIS)

    Gruen, D.M.; Liu, Shengzhong; Krauss, A.R.; Pan, Xianzheng.

    1993-08-01

    Microwave discharges (2.45 GHz) have been generated in C 60 -containing Ar produced by flowing Ar over fullerene-containing soot. Optical spectroscopy shows that the spectrum is dominated by the d 3 Πg-a 3 Πu Swan bands of C 2 and particularly the Δv = -2, -1, 0, +1, and +2 sequences. These results give direct evidence that C 2 is one of the products of C 60 fragmentation brought about, at least in part, by collisionally induced dissociation (CID). C 60 has been used as a precursor in a plasma-enhanced chemical vapor deposition (PECVD) experiment to grow diamond-thin films. The films, grown in an Ar/H 2 gas mixture (0.14% carbon content, 100 Torr, 20 sccm Ar, 4 sccm H 2 , 1500 W, 850 degree C substrate temperature), were characterized with SEM, XRD, and Raman spectroscopy. Growth rate was found to be ∼ 0.6 μ/hr. Assuming a linear dependence on carbon concentration, a growth rate at least six times higher than commonly observed using methane as a precursor, would be predicted at a carbon content of 1% based on C 60 . Energetic and mechanistic arguments are advanced to rationalize this result based on C 2 as the growth species

  13. Multi-Directional Growth of Aligned Carbon Nanotubes Over Catalyst Film Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Zhou Kai

    2010-01-01

    Full Text Available Abstract The structure of vertically aligned carbon nanotubes (CNTs severely depends on the properties of pre-prepared catalyst films. Aiming for the preparation of precisely controlled catalyst film, atomic layer deposition (ALD was employed to deposit uniform Fe2O3 film for the growth of CNT arrays on planar substrate surfaces as well as the curved ones. Iron acetylacetonate and ozone were introduced into the reactor alternately as precursors to realize the formation of catalyst films. By varying the deposition cycles, uniform and smooth Fe2O3 catalyst films with different thicknesses were obtained on Si/SiO2 substrate, which supported the growth of highly oriented few-walled CNT arrays. Utilizing the advantage of ALD process in coating non-planar surfaces, uniform catalyst films can also be successfully deposited onto quartz fibers. Aligned few-walled CNTs can be grafted on the quartz fibers, and they self-organized into a leaf-shaped structure due to the curved surface morphology. The growth of aligned CNTs on non-planar surfaces holds promise in constructing hierarchical CNT architectures in future.

  14. UV-Surface Treatment of Fungal Resistant Polyether Polyurethane Film-Induced Growth of Entomopathogenic Fungi.

    Science.gov (United States)

    Lando, Gabriela Albara; Marconatto, Letícia; Kessler, Felipe; Lopes, William; Schrank, Augusto; Vainstein, Marilene Henning; Weibel, Daniel Eduardo

    2017-07-18

    Synthetic polymers are the cause of some major environmental impacts due to their low degradation rates. Polyurethanes (PU) are widely used synthetic polymers, and their growing use in industry has produced an increase in plastic waste. A commercial polyether-based thermoplastic PU with hydrolytic stability and fungus resistance was only attacked by an entomopathogenic fungus, Metarhiziumanisopliae , when the films were pre-treated with Ultraviolet (UV) irradiation in the presence of reactive atmospheres. Water contact angle, Fourier transform infrared spectroscopy in attenuated total reflection mode (FTIR-ATR), scanning electron microscopy (SEM), and profilometer measurements were mainly used for analysis. Permanent hydrophilic PU films were produced by the UV-assisted treatments. Pristine polyether PU films incubated for 10, 30, and 60 days did not show any indication of fungal growth. On the contrary, when using oxygen in the UV pre-treatment a layer of fungi spores covered the sample, indicating a great adherence of the microorganisms to the polymer. However, if acrylic acid vapors were used during the UV pre-treatment, a visible attack by the entomopathogenic fungi was observed. SEM and FTIR-ATR data showed clear evidence of fungal development: growth and ramifications of hyphae on the polymer surface with the increase in UV pre-treatment time and fungus incubation time. The results indicated that the simple UV surface activation process has proven to be a promising alternative for polyether PU waste management.

  15. UV-Surface Treatment of Fungal Resistant Polyether Polyurethane Film-Induced Growth of Entomopathogenic Fungi

    Directory of Open Access Journals (Sweden)

    Gabriela Albara Lando

    2017-07-01

    Full Text Available Synthetic polymers are the cause of some major environmental impacts due to their low degradation rates. Polyurethanes (PU are widely used synthetic polymers, and their growing use in industry has produced an increase in plastic waste. A commercial polyether-based thermoplastic PU with hydrolytic stability and fungus resistance was only attacked by an entomopathogenic fungus, Metarhiziumanisopliae, when the films were pre-treated with Ultraviolet (UV irradiation in the presence of reactive atmospheres. Water contact angle, Fourier transform infrared spectroscopy in attenuated total reflection mode (FTIR-ATR, scanning electron microscopy (SEM, and profilometer measurements were mainly used for analysis. Permanent hydrophilic PU films were produced by the UV-assisted treatments. Pristine polyether PU films incubated for 10, 30, and 60 days did not show any indication of fungal growth. On the contrary, when using oxygen in the UV pre-treatment a layer of fungi spores covered the sample, indicating a great adherence of the microorganisms to the polymer. However, if acrylic acid vapors were used during the UV pre-treatment, a visible attack by the entomopathogenic fungi was observed. SEM and FTIR-ATR data showed clear evidence of fungal development: growth and ramifications of hyphae on the polymer surface with the increase in UV pre-treatment time and fungus incubation time. The results indicated that the simple UV surface activation process has proven to be a promising alternative for polyether PU waste management.

  16. Combined effect of electrode gap and radio frequency on power deposition and film growth kinetics in SiH4/H2 discharges

    International Nuclear Information System (INIS)

    Amanatides, E.; Mataras, D.; Rapakoulias, D.E.

    2002-01-01

    The combined effect of the variation of the interelectrode gap (1.3-2.5 cm) and radio frequency (13.56-50 MHz) on the properties of highly diluted silane in hydrogen discharges used for the deposition of microcrystalline silicon thin films is presented. The investigation included electrical and optical discharge measurements as well as the in situ determination of the film growth rate. In the lower frequencies regime, the increase of the interelectrode gap for the same applied voltage results in higher current flows and higher total power dissipation. On the other hand, at 50 MHz the variation of the interelectrode space has only a slight effect on the total power dissipation, due to the low excitation voltage. However, at all frequencies, the increase of the interelectrode space results in a drop of the power dissipation per discharge volume. This is related to the less effective energy transfer to the electrons that is due to the enhancement of the bulk relative to the sheath ohmic heating. The variation of the relative importance of the electron heating modes is reflected in the discharge radical production efficiency and the film growth rate

  17. Single crystalline metal films as substrates for graphene growth

    Energy Technology Data Exchange (ETDEWEB)

    Zeller, Patrick; Henss, Ann-Kathrin; Wintterlin, Joost [Department Chemie, Ludwig-Maximilians-Universitaet Muenchen (Germany); Weinl, Michael; Schreck, Matthias [Institut fuer Physik, Universitaet Augsburg (Germany); Speck, Florian; Ostler, Markus [Lehrstuhl fuer Technische Physik, Universitaet Erlangen-Nuernberg, Erlangen (Germany); Institut fuer Physik, Technische Universitaet Chemnitz (Germany); Seyller, Thomas [Institut fuer Physik, Technische Universitaet Chemnitz (Germany)

    2017-11-15

    Single crystalline metal films deposited on YSZ-buffered Si(111) wafers were investigated with respect to their suitability as substrates for epitaxial graphene. Graphene was grown by CVD of ethylene on Ru(0001), Ir(111), and Ni(111) films in UHV. For analysis a variety of surface science methods were used. By an initial annealing step the surface quality of the films was strongly improved. The temperature treatments of the metal films caused a pattern of slip lines, formed by thermal stress in the films, which, however, did not affect the graphene quality and even prevented wrinkle formation. Graphene was successfully grown on all three types of metal films in a quality comparable to graphene grown on bulk single crystals of the same metals. In the case of the Ni(111) films the originally obtained domain structure of rotational graphene phases could be transformed into a single domain by annealing. This healing process is based on the control of the equilibrium between graphene and dissolved carbon in the film. For the system graphene/Ni(111) the metal, after graphene growth, could be removed from underneath the epitaxial graphene layer by a pure gas phase reaction, using the reaction of CO with Ni to give gaseous Ni(CO){sub 4}. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. A stochastic model of solid state thin film deposition: Application to chalcopyrite growth

    Directory of Open Access Journals (Sweden)

    Robert J. Lovelett

    2016-04-01

    Full Text Available Developing high fidelity quantitative models of solid state reaction systems can be challenging, especially in deposition systems where, in addition to the multiple competing processes occurring simultaneously, the solid interacts with its atmosphere. In this work, we develop a model for the growth of a thin solid film where species from the atmosphere adsorb, diffuse, and react with the film. The model is mesoscale and describes an entire film with thickness on the order of microns. Because it is stochastic, the model allows us to examine inhomogeneities and agglomerations that would be impossible to characterize with deterministic methods. We demonstrate the modeling approach with the example of chalcopyrite Cu(InGa(SeS2 thin film growth via precursor reaction, which is a common industrial method for fabricating thin film photovoltaic modules. The model is used to understand how and why through-film variation in the composition of Cu(InGa(SeS2 thin films arises and persists. We believe that the model will be valuable as an effective quantitative description of many other materials systems used in semiconductors, energy storage, and other fast-growing industries.

  19. Tailoring the nanoscale morphology of HKUST-1 thin films via codeposition and seeded growth.

    Science.gov (United States)

    Brower, Landon J; Gentry, Lauren K; Napier, Amanda L; Anderson, Mary E

    2017-01-01

    Integration of surface-anchored metal-organic frameworks (surMOFs) within hierarchical architectures is necessary for potential sensing, electronic, optical, or separation applications. It is important to understand the fundamentals of film formation for these surMOFs in order to develop strategies for their incorporation with nanoscale control over lateral and vertical dimensions. This research identified processing parameters to control the film morphology for surMOFs of HKUST-1 fabricated by codeposition and seeded deposition. Time and temperature were investigated to observe film formation, to control film thickness, and to tune morphology. Film thickness was investigated by ellipsometry, while film structure and film roughness were characterized by atomic force microscopy. Films formed via codeposition resulted in nanocrystallites anchored to the gold substrate. A dynamic process at the interface was observed with a low density of large particulates (above 100 nm) initially forming on the substrate; and over time these particulates were slowly replaced by the prevalence of smaller crystallites (ca. 10 nm) covering the substrate at a high density. Elevated temperature was found to expedite the growth process to obtain the full range of surface morphologies with reasonable processing times. Seed crystals formed by the codeposition method were stable and nucleated growth throughout a subsequent layer-by-layer deposition process. These seed crystals templated the final film structure and tailor the features in lateral and vertical directions. Using codeposition and seeded growth, different surface morphologies with controllable nanoscale dimensions can be designed and fabricated for integration of MOF systems directly into device architectures and sensor platforms.

  20. Tailoring the nanoscale morphology of HKUST-1 thin films via codeposition and seeded growth

    Directory of Open Access Journals (Sweden)

    Landon J. Brower

    2017-11-01

    Full Text Available Integration of surface-anchored metal-organic frameworks (surMOFs within hierarchical architectures is necessary for potential sensing, electronic, optical, or separation applications. It is important to understand the fundamentals of film formation for these surMOFs in order to develop strategies for their incorporation with nanoscale control over lateral and vertical dimensions. This research identified processing parameters to control the film morphology for surMOFs of HKUST-1 fabricated by codeposition and seeded deposition. Time and temperature were investigated to observe film formation, to control film thickness, and to tune morphology. Film thickness was investigated by ellipsometry, while film structure and film roughness were characterized by atomic force microscopy. Films formed via codeposition resulted in nanocrystallites anchored to the gold substrate. A dynamic process at the interface was observed with a low density of large particulates (above 100 nm initially forming on the substrate; and over time these particulates were slowly replaced by the prevalence of smaller crystallites (ca. 10 nm covering the substrate at a high density. Elevated temperature was found to expedite the growth process to obtain the full range of surface morphologies with reasonable processing times. Seed crystals formed by the codeposition method were stable and nucleated growth throughout a subsequent layer-by-layer deposition process. These seed crystals templated the final film structure and tailor the features in lateral and vertical directions. Using codeposition and seeded growth, different surface morphologies with controllable nanoscale dimensions can be designed and fabricated for integration of MOF systems directly into device architectures and sensor platforms.

  1. Effect of Nano-Ni Catalyst on the Growth and Characterization of Diamond Films by HFCVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2010-01-01

    Full Text Available Four different catalysts, nanodiamond seed, nano-Ni, diamond powder, and mixture of nano-Ni/diamond powder, were used to activate Si wafers for diamond film growth by hot-filament CVD (HFCVD. Diamond crystals were shown to grow directly on both large diamond powder and small nanodiamond seed, but a better crystallinity of diamond film was observed on the ultrasonicated nanodiamond seeded Si substrate. On the other hand, nano-Ni nanocatalysts seem to promote the formation of amorphous carbon but suppress transpolyacetylene (t-PA phases at the initial growth of diamond films. The subsequent nucleation and growth of diamond crystals on the amorphous carbon layer leads to generation of the spherical diamond particles and clusters prior to coalescence into continuous diamond films based on the CH3 addition mechanism as characterized by XRD, Raman, ATR/FT-IR, XPS, TEM, SEM, and AFM techniques. Moreover, a 36% reduction in surface roughness of diamond film assisted by nano-Ni catalyst is quite significant.

  2. Domain growth of carbon nanotubes assisted by dewetting of thin catalyst precursor films

    Energy Technology Data Exchange (ETDEWEB)

    Srivastava, Alok Kumar [Defence Materials and Stores R and D Establishment (DRDO), GT Road, Kanpur 208013 (India); Department of Chemical Engineering, Indian Institute of Technology, Kanpur 208016 (India); Sachan, Priyanka; Samanta, Chandan [Department of Chemical Engineering, Indian Institute of Technology, Kanpur 208016 (India); Mukhopadhyay, Kingsuk [Defence Materials and Stores R and D Establishment (DRDO), GT Road, Kanpur 208013 (India); Sharma, Ashutosh, E-mail: ashutos@iitk.ac.in [Department of Chemical Engineering, Indian Institute of Technology, Kanpur 208016 (India)

    2014-01-01

    We explore self-organized dewetting of ultrathin films of a novel metal complex as a one step surface patterning method to create nanoislands of iron, using which spatially separated carbon nanostructures were synthesized. Dewetting of ultrathin metal complex films was induced by two different methods: liquid solvent exposure and thermal annealing to engender surface patterning. For thermal dewetting, thin films of the iron oleate complex were dewetted at high temperature. In the case of liquid solvent assisted dewetting, the metal complex, mixed with a sacrificial polymer (polystyrene) was spin coated as thin films (<40 nm) and then dewetted under an optimal solution mixture consisting of methyl ethyl ketone, acetone and water. The carrier polymer was then selectively removed to produce the iron metal islands. These metal islands were used for selective growth of discrete patches of multiwall CNTs and CNFs by a chemical vapor deposition (CVD) process. Solvent induced dewetting showed clear advantages over thermal dewetting owing to reduced size of catalyst domains formed by dewetting, an improved control over CNT growth as well as in its ability to immobilize the seed particles. The generic solution mediated dewetting and pattern generation in thin films of various catalytic precursors can thus be a powerful method for selective domain growth of a variety of functional nanomaterials.

  3. Intermediate surface structure between step bunching and step flow in SrRuO3 thin film growth

    Science.gov (United States)

    Bertino, Giulia; Gura, Anna; Dawber, Matthew

    We performed a systematic study of SrRuO3 thin films grown on TiO2 terminated SrTiO3 substrates using off-axis magnetron sputtering. We investigated the step bunching formation and the evolution of the SRO film morphology by varying the step size of the substrate, the growth temperature and the film thickness. The thin films were characterized using Atomic Force Microscopy and X-Ray Diffraction. We identified single and multiple step bunching and step flow growth regimes as a function of the growth parameters. Also, we clearly observe a stronger influence of the step size of the substrate on the evolution of the SRO film surface with respect to the other growth parameters. Remarkably, we observe the formation of a smooth, regular and uniform ``fish skin'' structure at the transition between one regime and another. We believe that the fish skin structure results from the merging of 2D flat islands predicted by previous models. The direct observation of this transition structure allows us to better understand how and when step bunching develops in the growth of SrRuO3 thin films.

  4. Synthesis and biological characterization of zirconium oxynitride thin film growth by radio-frequency sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cubillos, G.I. [Departamento de Química, Universidad Nacional de Colombia, AA 14490 Bogotá (Colombia); Olaya, J.J. [Facultad de Ingeniería, Universidad Nacional de Colombia, AA 14490 Bogotá (Colombia); Clavijo, D. [Facultad de Medicina, Universidad Nacional de Colombia, AA 14490 Bogotá (Colombia); Alfonso, J.E., E-mail: jealfonsoo@unal.edu.co [Grupo de materiales con Aplicaciones Tecnológicas, Universidad Nacional de Colombia, AA 14490 Bogotá (Colombia); Cardozo, C. [Instituto de Biotecnología, Universidad Nacional de Colombia, AA 14490 Bogotá (Colombia)

    2013-02-01

    Thin films of zirconium oxynitride were grown on common glass, silicon substrates (100) and on stainless steel 316L using the reactive RF magnetron sputtering technique. The films were analyzed through structural, morphological, and biocompatibility studies. The structural analysis was carried out using X-ray diffraction (XRD), and the morphological analysis was carried out using scanning electron microscopy (SEM) and atomic force microscopy (AFM). These studies were done as a function of growth parameters, such as power applied to the target, substrate temperature, and flow ratios. The studies of biocompatibility were carried out on zirconium oxynitride films deposited on stainless steel 316L through proliferation and cellular adhesion. The XRD analysis showed that films deposited at 623 K, with a flow ratio ΦN{sub 2}/ΦO{sub 2} of 1.25 and a total deposit time of 30 min grew preferentially oriented along the (111) plane of the zirconium oxynitride monoclinic phase. The SEM analyses showed that the films grew homogeneously, and the AFM studies indicated that the average rugosity of the film was 5.9 nm and the average particle size was 150 nm. Finally, through the analysis of the biocompatibility, we established that the films have a better surface than the substrate (stainless steel 316L) in terms of adhesion and proliferation of bone cells. - Highlights: ►ZrO{sub x}N{sub y} thin films were deposited using reactive radio-frequency magnetron sputtering. ►We studied the effect of deposition parameters on ZrO{sub x}N{sub y} thin films microstructure. ►We have been able to grow bone cells on ZrO{sub x}N{sub y} coated stainless steel 316L.

  5. Nanowire growth from the viewpoint of the thin film polylayer growth theory

    Science.gov (United States)

    Kashchiev, Dimo

    2018-03-01

    The theory of polylayer growth of thin solid films is employed for description of the growth kinetics of single-crystal nanowires. Expressions are derived for the dependences of the height h and radius r of a given nanowire on time t, as well as for the h(r) dependence. These dependences are applicable immediately after the nanowire nucleation on the substrate and thus include the period during which the nucleated nanowire changes its shape from that of cap to that of column. The analysis shows that the nanowire cap-to-column shape transition is continuous and makes it possible to kinetically define the nanowire shape-transition radius by means of the nanowire radial and axial growth rates. The obtained h(t), r(t) and h(r) dependences are found to provide a good description of available experimental data for growth of self-nucleated GaN nanowires by the vapor-solid mechanism.

  6. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  7. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    Science.gov (United States)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  8. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  9. Growth optimization and electronic structure of ultrathin CoO films on Ag(001): A LEED and photoemission study

    Science.gov (United States)

    Barman, Sukanta; Menon, Krishnakumar S. R.

    2018-04-01

    We present here a detailed growth optimization of CoO thin film on Ag(001) involving the effects of different growth parameters on the electronic structure. A well-ordered stoichiometric growth of 5 ML CoO film has been observed at 473 K substrate temperature and 1 × 10-6 mbar oxygen partial pressure. The growth at lower substrate temperature and oxygen partial pressure show non-stoichiometric impurity phases which have been investigated further to correlate the growth parameters with surface electronic structure. The coverage dependent valence band electronic structure of the films grown at optimized condition reveals the presence of interfacial states near the Fermi edge (EF) for lower film coverages. Presence of interfacial states in the stoichiometric films rules out their defect-induced origin. We argue that this is an intrinsic feature of transition metal monoxides like NiO, CoO, MnO in the low coverage regime.

  10. Growth and BZO-doping of the nanostructured YBCO thin films on buffered metal substrates

    DEFF Research Database (Denmark)

    Huhtinen, H.; Irjala, M.; Paturi, P.

    2010-01-01

    The growth of the nanostructured YBa2Cu3O6+x (YBCO) films is investigated for the first time on biaxially textured NiW substrates used in coated conductor technology. The optimization process of superconducting layers is made in wide magnetic field and temperature range in order to understand...... the vortex pinning structure and mechanism in our films prepared from nanostructured material. Structural analysis shows that growth mechanism in YBCO films grown on NiW is completely different when compared to YBCO on STO. Films on NiW are much rougher, there is huge in-plane variation of YBCO crystals...... and moreover out-of-plane long range lattice ordering is greatly reduced. Magnetic measurements demonstrate that jc in films grown on NiW is higher in high magnetic fields and low temperatures. This effect is connected to the amount of pinning centres observed in films on metal substrates which are effective...

  11. Diffusion and crystal growth in plasma deposed thin ITO films

    International Nuclear Information System (INIS)

    Steffen, H.; Wulff, H.; Quaas, M.; Tun, Tin Maung.; Hipple, R.

    2000-01-01

    Tin-doped indium oxide (ITO) films were deposited by means of DC-planar magnetron sputtering. A metallic In/Sn (90/10) target an Ar/O 2 gas mixture were used. The oxygen flow was varied between 0 and 2 sccm. Substrate voltages between 0 and -100 V were used. With increasing oxygen flow film structure and composition change from crystalline metallic In/Sn to amorphous ITO. Simultaneously the deposition rate decreases and the film density increases. The diffusion of oxygen into metallic In/Sn films and the amorphous-to-crystalline transformation of ITO were studied using in situ grazing incidence X-ray diffractometry (GIXRD), grazing incidence reflectometry (GIXR), and AFM. From the X-ray integral intensities diffusion constants, activation energies of the diffusion, reaction order and activation energy of the crystal growth were extracted. (authors)

  12. Photoluminescence properties of ZnTe homoepitaxial films deposited by synchrotron-radiation-excited growth

    International Nuclear Information System (INIS)

    Nishio, Mitsuhiro; Hayashida, Kazuki; Harada, Hiroki; Mitsuishi, Yoshiaki; Guo Qixin; Ogawa, Hiroshi

    2001-01-01

    ZnTe homoepitaxial films have been deposited at substrate temperatures between 27 deg. C and 100 deg. C by synchrotron-radiation-excited growth using diethylzinc and diethyltelluride. Effects of diethylzinc transport rate and substrate temperature upon the photoluminescence properties of the ZnTe films have been clarified. Strong deep level emissions centered at 1.85 and 2.1 eV related to defects such as vacancy-impurity complex become emerged with increasing diethylzinc transport rate or substrate temperature. A sharply excitonic emission at 2.375 eV associated with shallow acceptors is observed and neither a donor-acceptor pair recombination nor a deep level luminescence signal is detected in the spectrum of the film grown under the nearly stoichiometric condition, which indicates that ZnTe films of good quality can be grown even at room temperature by this growth technique

  13. Structure and wettability property of the growth and nucleation surfaces of thermally treated freestanding CVD diamond films

    Science.gov (United States)

    Pei, Xiaoqiang; Cheng, Shaoheng; Ma, Yibo; Wu, Danfeng; Liu, Junsong; Wang, Qiliang; Yang, Yizhou; Li, Hongdong

    2015-08-01

    This paper reports the surface features and wettability properties of the (1 0 0)-textured freestanding chemical vapor deposited (CVD) diamond films after thermal exposure in air at high temperature. Thermal oxidation at proper conditions eliminates selectively nanodiamonds and non-diamond carbons in the films. The growth side of the films contains (1 0 0)-oriented micrometer-sized columns, while its nucleation side is formed of nano-sized tips. The examined wettability properties of the as-treated diamond films reveal a hydrophilicity and superhydrophilicity on the growth surface and nucleation surface, respectively, which is determined by oxygen termination and geometry structure of the surface. When the surface termination is hydrogenated, the wettability of nucleation side converted from superhydrophilicity to high hydrophobicity, while the hydrophilicity of the growth side does not change significantly. The findings open a possibility for realizing freestanding diamond films having not only novel surface structures but also multifunction applications, especially proposed on the selected growth side or nucleation side in one product.

  14. Growth analysis of cadmium sulfide thin films by atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Moutinho, H.R.; Dhere, R.G.; Ramanathan, K. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    CdS films have been deposited by solution growth on SnO{sub 2} and glass substrates. Nucleation on SnO{sub 2} occurs at early deposition times, and complete conformal coverage is observed at low thickness values. The average grain size of the CdS films is established at these early times. In films deposited on glass substrates, nucleation is slower and occurs through 3-dimensional islands that increase in size and number as deposition proceeds. Optical measurements show that the bandgap values of CdS films deposited on SnO{sub 2} depend mainly on substrate structure. Hydrogen heat treatment does not affect the surface morphology of the samples, but decreases bandgap values.

  15. Effects of a magnetic field on growth of porous alumina films on aluminum

    Energy Technology Data Exchange (ETDEWEB)

    Ispas, Adriana; Bund, Andreas [Technische Universitaet Dresden, Physikalische Chemie und Elektrochemie, 01062 Dresden (Germany); Vrublevsky, Igor, E-mail: vrublevsky@bsuir.edu.b [Belarusian State University of Informatics and Radioelectronics Minsk, Department of Micro and Nanoelectronics, 220013 Minsk (Belarus)

    2010-05-01

    The effects induced by a magnetic field on the oxide film growth on aluminum in sulfuric, oxalic, phosphoric and sulfamic acid, and on current transients during re-anodizing of porous alumina films in the barrier-type electrolyte, were studied. Aluminum films of 100 nm thickness were prepared by thermal evaporation on Si wafer substrates. We could show that the duration of the anodizing process increased by 33% during anodizing in sulfuric acid when a magnetic field was applied (0.7 T), compared to the process without a magnetic field. Interestingly, such a magnetic field effect was not found during anodizing in oxalic and sulfamic acid. The pore intervals were decreased by ca. 17% in oxalic acid. These findings were attributed to variations in electronic properties of the anodic oxide films formed in various electrolytes and interpreted on the basis of the influence of trapped electrons on the mobility of ions migrating during the film growth. The spin dependent tunneling of electrons into the surface layer of the oxide under the magnetic field could be responsible for the shifts of the current transients to lower potentials during re-anodizing of heat-treated oxalic and phosphoric acid alumina films.

  16. Optimizing growth conditions for electroless deposition of Au films ...

    Indian Academy of Sciences (India)

    Unknown

    Optimizing growth conditions for electroless deposition of Au films on. Si(111) substrates. BHUVANA and G U KULKARNI*. Chemistry and Physics of Materials Unit and DST Unit on Nanoscience, Jawaharlal Nehru Centre for. Advanced Scientific Research, Jakkur PO, Bangalore 560 064, India. MS received 24 March 2006.

  17. Interface-Limited Spherulitic Growth of Hydroxyapatite/Chondroitin Sulfate Composite Enamel-like Films

    Science.gov (United States)

    Ma, Guobin; Xu, Yifei; Wang, Xiyan; Wang, Mu

    2012-02-01

    Understanding and mimicking the growth of hard tissues such as tooth enamel may lead to innovative approaches toward engineering novel functional materials and providing new therapeutics. Up to now, in vitro growth of enamel-like materials is still a great challenge, and the microscopic formation mechanisms are far from well understood. Here we report synthesis of large-scale hydroxyapatite (HAP) and chondroitin sulfate (ChS) composite films by an efficient solution-air interface growth method. The products have the characteristic hierarchical prism structures of enamel and the mechanical properties comparable to dentin. We demonstrate that the films are assembled by spherulites nucleated at the solution surface. The growth of the spherulites is limited by the interfaces between them as well as between the solution and air, leading to the ordered prism structure. The results are beneficial for a clearer understanding of the fundamentals of tooth enamel formation.

  18. Growth of CrTe thin films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Sreenivasan, M.G.; Hou, X.J.; Teo, K.L.; Jalil, M.B.A.; Liew, T.; Chong, T.C.

    2006-01-01

    We report the growth of Cr 1-δ Te films on (100) GaAs substrates using ZnTe buffer layers by solid-source molecular-beam epitaxial technique. RHEED patterns indicate a clear structural change during the initial stages of deposition. Temperature-dependent magnetization results reveal that different NiAs-related phases of Cr 1-δ Te can be obtained at different substrate temperatures. By varying the film thickness, a metastable zinc blende structure of CrTe could be obtained at lower substrate temperature

  19. Growth and characterization of magnetite-maghemite thin films by the dip coating method

    International Nuclear Information System (INIS)

    Velásquez, A. A.; Arnedo, A.

    2017-01-01

    We present the process of growth and characterization of magnetite-maghemite thin films obtained by the dip coating method. The thin films were deposited on glass substrates, using a ferrofluid of nanostructured magnetite-maghemite particles as precursor solution. During the growth of the films the following parameters were controlled: number of dips of the substrates, dip velocity of the substrates and drying times. The films were characterized by Atomic Force Microscopy, Scanning Elelectron Microscopy, four-point method for resistance measurement, Room Temperature Mössbauer Spectroscopy and Hall effect. Mössbauer measurements showed the presence of a sextet attributed to maghemite (γ-Fe_2O_3) and two doublets attributed to superparamagnetic magnetite (Fe_3O_4), indicating a distribution of oxidation states of the iron as well as a particle size distribution of the magnetic phases in the films. Atomic force microscopy measurements showed that the films cover quasi uniformly the substrates, existing in them some pores with sub-micron size. Scanning Electron Microscopy measurements showed a uniform structure in the films, with spherical particles with size around 10 nm. Voltage versus current measurements showed an ohmic response of the films for currents between 0 and 100 nA. On the other hand, Hall effect measurements showed a nonlinear response of the Hall voltage with the magnetic flux density applied perpendicular to the plane of the films, however the response is fairly linear for magnetic flux densities between 0.15 and 0.35 T approximately. The results suggest that the films are promising for application as magnetic flux density sensors.

  20. Optical properties of organic semiconductor thin films. Static spectra and real-time growth studies

    Energy Technology Data Exchange (ETDEWEB)

    Heinemeyer, Ute

    2009-07-20

    The aim of this work was to establish the anisotropic dielectric function of organic thin films on silicon covered with native oxide and to study their optical properties during film growth. While the work focuses mainly on the optical properties of Diindenoperylene (DIP) films, also the optical response of Pentacene (PEN) films during growth is studied for comparison. Spectroscopic ellipsometry and differential reflectance spectroscopy are used to determine the dielectric function of the films ex-situ and in-situ, i.e. in air and in ultrahigh vacuum. Additionally, Raman- and fluorescence spectroscopy is utilized to characterize the DIP films serving also as a basis for spatially resolved optical measurements beyond the diffraction limit. Furthermore, X-ray reflectometry and atomic force microscopy are used to determine important structural and morphological film properties. The absorption spectrum of DIP in solution serves as a monomer reference. The observed vibronic progression of the HOMO-LUMO transition allows the determination of the Huang-Rhys parameter experimentally, which is a measure of the electronic vibrational coupling. The corresponding breathing modes are measured by Raman spectroscopy. The optical properties of DIP films on native oxide show significant differences compared to the monomer spectrum due to intermolecular interactions. First of all, the thin film spectra are highly anisotropic due to the structural order of the films. Furthermore the Frenkel exciton transfer is studied and the energy difference between Frenkel and charge transfer excitons is determined. Real-time measurements reveal optical differences between interfacial or surface molecules and bulk molecules that play an important role for device applications. They are not only performed for DIP films but also for PEN films. While for DIP films on glass the appearance of a new mode is visible, the spectra of PEN show a pronounced energy red-shift during growth. It is shown how the

  1. The influence of energetic bombardment on the structure formation of sputtered zinc oxide films. Development of an atomistic growth model and its application to tailor thin film properties

    Energy Technology Data Exchange (ETDEWEB)

    Koehl, Dominik

    2011-02-17

    The focus of this work is the investigation of the growth of zinc oxide (ZnO) thin films. It is demonstrated that with a modified, ion beam assisted sputtering (IBAS) process, zinc oxide films can be deposited which exhibit a markedly improved crystalline order. Furthermore, it is demonstrated that intense energetic oxygen ion bombardment can be utilized to change film texture from the typical (002)-self-texture to an a-axis texture where the (002)-planes are perpendicular to the substrate surface. An understanding of the underlying mechanisms is developed which also facilitates a more detailed understanding of the action of ion bombardment during zinc oxide film growth. It is shown that zinc oxide films are susceptible to the influence of ion bombardment particularly in the nucleation regime of growth and that this finding is generally true for all observed structural changes induced by ion bombardment with various species, energies and flux densities. It is demonstrated not only that the initial growth stage plays an important role in the formation of a preferred growth orientation but also that the action of texture forming mechanisms in subsequent growth stages is comparatively weak. (orig.)

  2. The influence of energetic bombardment on the structure formation of sputtered zinc oxide films. Development of an atomistic growth model and its application to tailor thin film properties

    International Nuclear Information System (INIS)

    Koehl, Dominik

    2011-01-01

    The focus of this work is the investigation of the growth of zinc oxide (ZnO) thin films. It is demonstrated that with a modified, ion beam assisted sputtering (IBAS) process, zinc oxide films can be deposited which exhibit a markedly improved crystalline order. Furthermore, it is demonstrated that intense energetic oxygen ion bombardment can be utilized to change film texture from the typical (002)-self-texture to an a-axis texture where the (002)-planes are perpendicular to the substrate surface. An understanding of the underlying mechanisms is developed which also facilitates a more detailed understanding of the action of ion bombardment during zinc oxide film growth. It is shown that zinc oxide films are susceptible to the influence of ion bombardment particularly in the nucleation regime of growth and that this finding is generally true for all observed structural changes induced by ion bombardment with various species, energies and flux densities. It is demonstrated not only that the initial growth stage plays an important role in the formation of a preferred growth orientation but also that the action of texture forming mechanisms in subsequent growth stages is comparatively weak. (orig.)

  3. Controlled Growth of Ultrathin Film of Organic Semiconductors by Balancing the Competitive Processes in Dip-Coating for Organic Transistors.

    Science.gov (United States)

    Wu, Kunjie; Li, Hongwei; Li, Liqiang; Zhang, Suna; Chen, Xiaosong; Xu, Zeyang; Zhang, Xi; Hu, Wenping; Chi, Lifeng; Gao, Xike; Meng, Yancheng

    2016-06-28

    Ultrathin film with thickness below 15 nm of organic semiconductors provides excellent platform for some fundamental research and practical applications in the field of organic electronics. However, it is quite challenging to develop a general principle for the growth of uniform and continuous ultrathin film over large area. Dip-coating is a useful technique to prepare diverse structures of organic semiconductors, but the assembly of organic semiconductors in dip-coating is quite complicated, and there are no reports about the core rules for the growth of ultrathin film via dip-coating until now. In this work, we develop a general strategy for the growth of ultrathin film of organic semiconductor via dip-coating, which provides a relatively facile model to analyze the growth behavior. The balance between the three direct factors (nucleation rate, assembly rate, and recession rate) is the key to determine the growth of ultrathin film. Under the direction of this rule, ultrathin films of four organic semiconductors are obtained. The field-effect transistors constructed on the ultrathin film show good field-effect property. This work provides a general principle and systematic guideline to prepare ultrathin film of organic semiconductors via dip-coating, which would be highly meaningful for organic electronics as well as for the assembly of other materials via solution processes.

  4. Growth of high Tc Bi-Sr-Ca-Cu-O thick films

    International Nuclear Information System (INIS)

    Chaudhry, Sangeeta; Khare, Neeraj; Gupta, A.K.; Nagpal, K.C.; Ojha, V.N.; Reddy, G.S.N.; Tomar, V.S.

    1991-01-01

    Thick films of Bi-Sr-Ca-Cu-O were deposited on (100) MgO substrates by screen-printing technique with the starting composition 1112. To attain the superconducting state, the films were subjected to two-step heat-treatment. R-T and XRD have been studied for films annealed at different durations of the second step. Initially T c (R=O) increased from 77 to 103 K as the annealing duration was increased after which T c decreased. Kinetics of the growth of high T c phase is discussed in the light of results. (author). 7 refs., 2 figs., 1 tab

  5. Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)

    2009-07-01

    Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.

  6. Mechanistic Insights into Growth of Surface‐Mounted Metal‐Organic Framework Films Resolved by Infrared (Nano‐) Spectroscopy

    OpenAIRE

    Delen, Guusje; Ristanović, Zoran; Mandemaker, Laurens D. B.; Weckhuysen, Bert M.

    2017-01-01

    Abstract Control over assembly, orientation, and defect‐free growth of metal‐organic framework (MOF) films is crucial for their future applications. A layer‐by‐layer approach is considered a suitable method to synthesize highly oriented films of numerous MOF topologies, but the initial stages of the film growth remain poorly understood. Here we use a combination of infrared (IR) reflection absorption spectroscopy and atomic force microscopy (AFM)‐IR imaging to investigate the assembly and gro...

  7. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  8. Comparative Review on Thin Film Growth of Iron-Based Superconductors

    Directory of Open Access Journals (Sweden)

    Yoshinori Imai

    2017-07-01

    Full Text Available Since the discovery of the novel iron-based superconductors, both theoretical and experimental studies have been performed intensively. Because iron-based superconductors have a smaller anisotropy than high-Tc cuprates and a high superconducting transition temperature, there have been a lot of researchers working on the film fabrication of iron-based superconductors and their application. Accordingly, many novel features have been reported in the films of iron-based superconductors, for example, the fabrication of the epitaxial film with a higher Tc than bulk samples, the extraction of the metastable phase which cannot be obtained by the conventional solid state reaction, and so on. In this paper, we review the progress of research on thin film fabrications of iron-based superconductors, especially the four categories: LnFeAs(O,F (Ln = Lanthanide, AEFe2As2 (AE = Alkaline-earth metal, FeCh (Ch = Chalcogen, and FeSe monolayer. Furthermore, we focus on two important topics in thin films of iron-based superconductors; one is the substrate material for thin film growth on the iron-based superconductors, and the other is the whole phase diagram in FeSe1-xTex which can be obtained only by using film-fabrication technique.

  9. Investigation of growth, coverage and effectiveness of plasma assisted nano-films of fluorocarbon

    International Nuclear Information System (INIS)

    Joshi, Pratik P.; Pulikollu, Rajasekhar; Higgins, Steven R.; Hu Xiaoming; Mukhopadhyay, S.M.

    2006-01-01

    Plasma-assisted functional films have significant potential in various engineering applications. They can be tailored to impart desired properties by bonding specific molecular groups to the substrate surface. The aim of this investigation was to develop a fundamental understanding of the atomic level growth, coverage and functional effectiveness of plasma nano-films on flat surfaces and to explore their application-potential for complex and uneven shaped nano-materials. In this paper, results on plasma-assisted nano-scale fluorocarbon films, which are known for imparting inertness or hydrophobicity to the surface, will be discussed. The film deposition was studied as a function of time on flat single crystal surfaces of silicon, sapphire and graphite, using microwave plasma. X-ray photoelectron spectroscopy (XPS) was used for detailed study of composition and chemistry of the substrate and coating atoms, at all stages of deposition. Atomic force microscopy (AFM) was performed in parallel to study the coverage and growth morphology of these films at each stage. Combined XPS and AFM results indicated complete coverage of all the substrates at the nanometer scale. It was also shown that these films grew in a layer-by-layer fashion. The nano-films were also applied to complex and uneven shaped nano-structured and porous materials, such as microcellular porous foam and nano fibers. It was seen that these nano-films can be a viable approach for effective surface modification of complex or uneven shaped nano-materials

  10. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, Chintalapalle V.; Atuchin, Victor V.; Kesler, V. G.; Kochubey, V. A.; Pokrovsky, L. D.; Shutthanandan, V.; Becker, U.; Ewing, Rodney C.

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of argon-oxygen gas mixture under varying conditions of substrate temperature (Ts) and oxygen partial pressure (pO2). The effect of Ts and pO2 on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of Ts and pO2 on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 *C under 62.3% O2 pressure were stoichiometric and polycrystalline MoO3. Films grown at lower pO2 were nonstoichiometric MoOx films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO3 films.

  11. Growth and characterization of magnetite-maghemite thin films by the dip coating method

    Energy Technology Data Exchange (ETDEWEB)

    Velásquez, A. A., E-mail: avelas26@eafit.edu.edu.co; Arnedo, A. [Universidad EAFIT, Grupo de Electromagnetismo Aplicado (Colombia)

    2017-11-15

    We present the process of growth and characterization of magnetite-maghemite thin films obtained by the dip coating method. The thin films were deposited on glass substrates, using a ferrofluid of nanostructured magnetite-maghemite particles as precursor solution. During the growth of the films the following parameters were controlled: number of dips of the substrates, dip velocity of the substrates and drying times. The films were characterized by Atomic Force Microscopy, Scanning Elelectron Microscopy, four-point method for resistance measurement, Room Temperature Mössbauer Spectroscopy and Hall effect. Mössbauer measurements showed the presence of a sextet attributed to maghemite (γ-Fe{sub 2}O{sub 3}) and two doublets attributed to superparamagnetic magnetite (Fe{sub 3}O{sub 4}), indicating a distribution of oxidation states of the iron as well as a particle size distribution of the magnetic phases in the films. Atomic force microscopy measurements showed that the films cover quasi uniformly the substrates, existing in them some pores with sub-micron size. Scanning Electron Microscopy measurements showed a uniform structure in the films, with spherical particles with size around 10 nm. Voltage versus current measurements showed an ohmic response of the films for currents between 0 and 100 nA. On the other hand, Hall effect measurements showed a nonlinear response of the Hall voltage with the magnetic flux density applied perpendicular to the plane of the films, however the response is fairly linear for magnetic flux densities between 0.15 and 0.35 T approximately. The results suggest that the films are promising for application as magnetic flux density sensors.

  12. Modeling growth kinetics of thin films made by atomic layer deposition in lateral high-aspect-ratio structures

    Science.gov (United States)

    Ylilammi, Markku; Ylivaara, Oili M. E.; Puurunen, Riikka L.

    2018-05-01

    The conformality of thin films grown by atomic layer deposition (ALD) is studied using all-silicon test structures with long narrow lateral channels. A diffusion model, developed in this work, is used for studying the propagation of ALD growth in narrow channels. The diffusion model takes into account the gas transportation at low pressures, the dynamic Langmuir adsorption model for the film growth and the effect of channel narrowing due to film growth. The film growth is calculated by solving the diffusion equation with surface reactions. An efficient analytic approximate solution of the diffusion equation is developed for fitting the model to the measured thickness profile. The fitting gives the equilibrium constant of adsorption and the sticking coefficient. This model and Gordon's plug flow model are compared. The simulations predict the experimental measurement results quite well for Al2O3 and TiO2 ALD processes.

  13. Surfactant-mediated growth of ultrathin Ge and Si films and their interfaces: Interference-enhanced Raman study

    OpenAIRE

    Kanakaraju, S; Sood, AK; Mohan, S

    2000-01-01

    We report on the growth and interfaces of ultrathin polycrystalline Ge and Si films when they are grown on each other using ion beam sputter deposition with and without surfactant at different growth temperatures, studied using interference enhanced Raman spectroscopy. Ge films grown on Si without surfactant show Ge segregation at the interfaces forming an alloy of GexSi1-x as indicated by the Ge-Si Raman mode. However, use of Sb as surfactant strongly suppresses the intermixing. Also Si film...

  14. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    Energy Technology Data Exchange (ETDEWEB)

    Guimond, Sebastien

    2009-06-04

    The growth and the surface structure of well-ordered V{sub 2}O{sub 3}, V{sub 2}O{sub 5} and MoO{sub 3} thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V{sub 2}O{sub 3}(0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V{sub 2}O{sub 3} bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V{sub 2}O{sub 5}(001) and MoO{sub 3}(010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O{sub 2} in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V{sub 2}O{sub 5} and MoO{sub 3} layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a

  16. Vanadium and molybdenum oxide thin films on Au(111). Growth and surface characterization

    International Nuclear Information System (INIS)

    Guimond, Sebastien

    2009-01-01

    The growth and the surface structure of well-ordered V 2 O 3 , V 2 O 5 and MoO 3 thin films have been investigated in this work. These films are seen as model systems for the study of elementary reaction steps occurring on vanadia and molybdena-based selective oxidation catalysts. It is shown that well-ordered V 2 O 3 (0001) thin films can be prepared on Au(111). The films are terminated by vanadyl groups which are not part of the V 2 O 3 bulk structure. Electron irradiation specifically removes the oxygen atoms of the vanadyl groups, resulting in a V-terminated surface. The fraction of removed vanadyl groups is controlled by the electron dose. Such surfaces constitute interesting models to probe the relative role of both the vanadyl groups and the undercoordinated V ions at the surface of vanadia catalysts. The growth of well-ordered V 2 O 5 (001) and MoO 3 (010) thin films containing few point defects is reported here for the first time. These films were grown on Au(111) by oxidation under 50 mbar O 2 in a dedicated high pressure cell. Contrary to some of the results found in the literature, the films are not easily reduced by annealing in UHV. This evidences the contribution of radiation and surface contamination in some of the reported thermal reduction experiments. The growth of ultrathin V 2 O 5 and MoO 3 layers on Au(111) results in formation of interface-specific monolayer structures. These layers are coincidence lattices and they do not correspond to any known oxide bulk structure. They are assumed to be stabilized by electronic interaction with Au(111). Their formation illustrates the polymorphic character and the ease of coordination units rearrangement which are characteristic of both oxides. The formation of a second layer apparently precedes the growth of bulk-like crystallites for both oxides. This observation is at odds with a common assumption that crystals nucleate as soon as a monolayer is formed dur-ing the preparation of supported vanadia

  17. In situ growth of p and n-type graphene thin films and diodes by pulsed laser deposition

    KAUST Repository

    Sarath Kumar, S. R.

    2013-11-07

    We report the in situ growth of p and n-type graphene thin films by ultraviolet pulsed laser deposition in the presence of argon and nitrogen, respectively. Electron microscopy and Raman studies confirmed the growth, while temperature dependent electrical conductivity and Seebeck coefficient studies confirmed the polarity type of graphene films. Nitrogen doping at different sites of the honeycomb structure, responsible for n-type conduction, is identified using X-ray photoelectron spectroscopy, for films grown in nitrogen. A diode-like rectifying behavior is exhibited by p-n junction diodes fabricated using the graphene films.

  18. Influence of Te and Se doping on ZnO films growth by SILAR method

    Science.gov (United States)

    Güney, Harun; Duman, Ćaǧlar

    2016-04-01

    The AIP Successive ionic layer adsorption and reaction (SILAR) is an economic and simple method to growth thin films. In this study, SILAR method is used to growth Selenium (Se) and Tellurium (Te) doped zinc oxide (ZnO) thin films with different doping rates. For characterization of the films X-ray diffraction (XRD), absorbance and scanning electron microscopy (SEM) are used. XRD results are showed well-defined strongly (002) oriented crystal structure for all samples. Also, absorbance measurements show, Te and Se concentration are proportional and inversely proportional with band gap energy, respectively. SEM measurements show that the surface morphology and thickness of the material varied with Se and/or Te and varying concentrations.

  19. Influence of Te and Se doping on ZnO films growth by SILAR method

    International Nuclear Information System (INIS)

    Güney, Harun; Duman, Çağlar

    2016-01-01

    The AIP Successive ionic layer adsorption and reaction (SILAR) is an economic and simple method to growth thin films. In this study, SILAR method is used to growth Selenium (Se) and Tellurium (Te) doped zinc oxide (ZnO) thin films with different doping rates. For characterization of the films X-ray diffraction (XRD), absorbance and scanning electron microscopy (SEM) are used. XRD results are showed well-defined strongly (002) oriented crystal structure for all samples. Also, absorbance measurements show, Te and Se concentration are proportional and inversely proportional with band gap energy, respectively. SEM measurements show that the surface morphology and thickness of the material varied with Se and/or Te and varying concentrations.

  20. Influence of Te and Se doping on ZnO films growth by SILAR method

    Energy Technology Data Exchange (ETDEWEB)

    Güney, Harun, E-mail: harunguney25@hotmail.com [Department of Electric and Energy, Vocation High School, Ağrı İbrahim Çeçen University (Turkey); Duman, Çağlar, E-mail: caglarduman@erzurum.edu.tr [Department of Electrical and Electronic Engineering, Faculty of Engineering, Erzurum Technical University (Turkey)

    2016-04-18

    The AIP Successive ionic layer adsorption and reaction (SILAR) is an economic and simple method to growth thin films. In this study, SILAR method is used to growth Selenium (Se) and Tellurium (Te) doped zinc oxide (ZnO) thin films with different doping rates. For characterization of the films X-ray diffraction (XRD), absorbance and scanning electron microscopy (SEM) are used. XRD results are showed well-defined strongly (002) oriented crystal structure for all samples. Also, absorbance measurements show, Te and Se concentration are proportional and inversely proportional with band gap energy, respectively. SEM measurements show that the surface morphology and thickness of the material varied with Se and/or Te and varying concentrations.

  1. Setup for in situ X-ray diffraction studies of thin film growth by magnetron sputtering

    CERN Document Server

    Ellmer, K; Weiss, V; Rossner, H

    2001-01-01

    A novel method is described for the in situ-investigation of nucleation and growth of thin films during magnetron sputtering. Energy dispersive X-ray diffraction with synchrotron light is used for the structural analysis during film growth. An in situ-magnetron sputtering chamber was constructed and installed at a synchrotron radiation beam line with a bending magnet. The white synchrotron light (1-70 keV) passes the sputtering chamber through Kapton windows and hits one of the substrates on a four-fold sample holder. The diffracted beam, observed under a fixed diffraction angle between 3 deg. and 10 deg., is energy analyzed by a high purity Ge-detector. The in situ-EDXRD setup is demonstrated for the growth of tin-doped indium oxide (ITO) films prepared by reactive magnetron sputtering from a metallic target.

  2. Growth and characterization of ZnO thin films prepared by electrodeposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Fahoume, M.; Maghfoul, O.; Aggour, M. [L.P.M.C., Faculte des Sciences, Universite Ibn Tofail, BP. 133-14000 Kenitra (Morocco); Hartiti, B. [L.P.M.A.E.R., Faculte des Sciences et Techniques, B.P. 146 Mohammedia (Morocco); Chraibi, F.; Ennaoui, A. [L.P.M., Faculte des Sciences, Universite Mohammed V, BP.1014 Rabat (Morocco)

    2006-06-15

    ZnO thin films were deposited on either indium tin oxide-coated glass or copper substrate by the electrodeposition process, using zinc chloride and flowing air as precursors. The effect of pH on the structural and morphological ZnO films was studied and the optimum deposition conditions have been outlined. The kinetics of the growth of the films have been investigated. We note that the rate of deposition of ZnO in an acidic solution was larger than in a basic solution. The structure of the films was studied using X-ray diffractometry (XRD) and transmission electron microscopy (TEM). The surface morphology and thickness of the films were determined using scanning electron microscopy. The X-ray diffraction analysis shows that the films are polycrystalline with hexagonal crystal structure (zincite) at pH 4. The optical transmittance of ZnO decreases with varying film thickness. The optical energy bandgap was found to be 3.26eV. (author)

  3. Study both films structure and fractal growth in the T-10 tokamak

    International Nuclear Information System (INIS)

    Khimchenko, L.N.; Budaev, V.P.; Guseva, M.I.; Kamneva, S.A.; Kolbasov, B.N.; Kuteev, B.V.; Martynenko, Yu.V.; Svechnikov, N.Yu.; Stankevich, V.G.; Loginov, B.A.; Romanov, P.B.

    2005-01-01

    In the paper results of films growth mechanism study, their internal structure are summarized. The mechanism leading to hydrogen capture effect on the first wall at over dusting stage is stated. Films internal structure have been studied with help of synchrotron radiation, electron paramagnetic resonance, IR reflection spectra, thermal gravimetric methods, spectroscopy in the vision spectrum range and UV vacuum range. Structure of the films surfaces have been examined with help of electron microscopy, probe scanning tunnel and atom-force microscopy, as well as miniature scanning tunnel microscope placed in the T-10 tokamak

  4. Growth of Pb(Ti,Zr)O 3 thin films by metal-organic molecular beam epitaxy

    Science.gov (United States)

    Avrutin, V.; Liu, H. Y.; Izyumskaya, N.; Xiao, B.; Özgür, Ü.; Morkoç, H.

    2009-02-01

    Single-crystal Pb(Zr xTi 1-x)O 3 thin films have been grown on (0 0 1) SrTiO 3 and SrTiO 3:Nb substrates by molecular beam epitaxy using metal-organic source of Zr and two different sources of reactive oxygen—RF plasma and hydrogen-peroxide sources. The same growth modes and comparable structural properties were observed for the films grown with both oxygen sources, while the plasma source allowed higher growth rates. The films with x up to 0.4 were single phase, while attempts to increase x beyond gave rise to the ZrO 2 second phase. The effects of growth conditions on growth modes, Zr incorporation, and phase composition of the Pb(Zr xTi 1-x)O 3 films are discussed. Electrical and ferroelectric properties of the Pb(Zr xTi 1-x)O 3 films of ~100 nm in thickness grown on SrTiO 3:Nb were studied using current-voltage, capacitance-voltage, and polarization-field measurements. The single-phase films show low leakage currents and large breakdown fields, while the values of remanent polarization are low (around 5 μC/cm 2). It was found that, at high sweep fields, the contribution of the leakage current to the apparent values of remanent polarization can be large, even for the films with large electrical resistivity (˜10 8-10 9 Ω cm at an electric filed of 1 MV/cm). The measured dielectric constant ranges from 410 to 260 for Pb(Zr 0.33Ti 0.67)O 3 and from 313 to 213 for Pb(Zr 0.2Ti 0.8)O 3 in the frequency range from 100 to 1 MHz.

  5. Morphology and grain structure evolution during epitaxial growth of Ag films on native-oxide-covered Si surface

    International Nuclear Information System (INIS)

    Hur, Tae-Bong; Kim, Hong Koo; Perello, David; Yun, Minhee; Kulovits, Andreas; Wiezorek, Joerg

    2008-01-01

    Epitaxial nanocrystalline Ag films were grown on initially native-oxide-covered Si(001) substrates using radio-frequency magnetron sputtering. Mechanisms of grain growth and morphology evolution were investigated. An epitaxially oriented Ag layer (∼5 nm thick) formed on the oxide-desorbed Si surface during the initial growth phase. After a period of growth instability, characterized as kinetic roughening, grain growth stagnation, and increase of step-edge density, a layer of nanocrystalline Ag grains with a uniform size distribution appeared on the quasi-two-dimensional layer. This hierarchical process of film formation is attributed to the dynamic interplay between incoming energetic Ag particles and native oxide. The cyclic interaction (desorption and migration) of the oxide with the growing Ag film is found to play a crucial role in the characteristic evolution of grain growth and morphology change involving an interval of grain growth stagnation

  6. Thin film solar cells from earth abundant materials growth and characterization of Cu2(ZnSn)(SSe)4 thin films and their solar cells

    CERN Document Server

    Kodigala, Subba Ramaiah

    2013-01-01

    The fundamental concept of the book is to explain how to make thin film solar cells from the abundant solar energy materials by low cost. The proper and optimized growth conditions are very essential while sandwiching thin films to make solar cell otherwise secondary phases play a role to undermine the working function of solar cells. The book illustrates growth and characterization of Cu2ZnSn(S1-xSex)4 thin film absorbers and their solar cells. The fabrication process of absorber layers by either vacuum or non-vacuum process is readily elaborated in the book, which helps for further developm

  7. Chemical vapor deposition of hexagonal boron nitride films in the reduced pressure

    International Nuclear Information System (INIS)

    Choi, B.J.

    1999-01-01

    Hexagonal boron nitride (h-BN) films were deposited onto a graphite substrate in reduced pressure by reacting ammonia and boron tribromide at 800--1,200 C. The growth rate of h-BN films was dependent on the substrate temperature and the total pressures. The growth rate increased with increasing the substrate temperature at the pressure of 2 kPa, while it showed a maximum value at the pressures of 4 and 8 kPa. The temperature at which the maximum growth rate occurs decreased with increasing total pressure. With increasing the substrate temperature and total pressure, the apparent grain size increased and the surface morphology showed a rough, cauliflower-like structure

  8. solution growth and characterization of copper oxide thin films ...

    African Journals Online (AJOL)

    Thin films of copper oxide (CuO) were grown on glass slides by using the solution growth technique. Copper cloride (CuCl ) and potassium telluride (K T O ) were used. Buffer 2 2e 3 solution was used as complexing agent. The solid state properties and optical properties were obtained from characterization done using PYE ...

  9. Effects of local film properties on the nucleation and growth of tin whiskers and hillocks

    Science.gov (United States)

    Sarobol, Pylin

    Whiskers and hillocks grow spontaneously on Pb-free Sn electrodeposited films as a response to thin film stresses. Stress relaxation occurs by atom deposition to specific grain boundaries in the plane of the film, with hillocks being formed when grain boundary migration accompanies growth out of the plane of the film. The implication for whisker formation in electronics is serious: whiskers can grow to be millimeters long, sometimes causing short circuiting between adjacent components and, thereby, posing serious electrical reliability risks. In order to develop more effective whisker mitigation strategies, a predictive physics-based model has been needed. A growth model is developed, based on grain boundary faceting, localized Coble creep, as well as grain boundary sliding for whiskers, and grain boundary sliding with shear induced grain boundary migration for hillocks. In this model of whisker formation, two mechanisms are important: accretion of atoms by Coble creep on grain boundary planes normal to the growth direction inducing a grain boundary shear and grain boundary sliding in the direction of whisker growth. The model accurately captures the importance of the geometry of "surface grains"---shallow grains on film surfaces whose depths are significantly less than their in-plane grain sizes. A critical factor in the analysis is the ratio of the grain boundary sliding coefficient to the in-plane film compressive stress. If the accretion-induced shear stresses are not coupled to grain boundary motion and sliding occurs, a whisker forms. If the shear stress is coupled to grain boundary migration, a hillock forms. Based on this model, long whiskers grow from shallow surface grains with easy grain boundary sliding in the direction of growth. Other observed growth morphologies will be discussed in light of our model. Additional insights into the preferred sites for whisker and hillock growth were developed based on elastic anisotropy, local film microstructure

  10. Growth and magnetic structure of La{sub 0.67}Sr{sub 0.33}MnO{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Brown, G.W.; Jia, Q.X.; Peterson, E.J.; Hristova, D.K.; Hundley, M.F.; Thompson, J.D.; Maggiore, C.J.; Tesmer, J.; Hawley, M.E.

    1997-08-01

    Growth of LaMnO{sub 3} films that exhibit colossal magnetoresistance (CMR) has concentrated heavily on Ca doped materials. However, since the 33% Sr doped films are ferromagnetic at room temperature, they are ideal candidates for dual growth-magnetic structure studies using scanned probe techniques. In this study, interest was focused on the relations between growth/processing parameters, film morphology, and electronic/magnetic properties. In addition, films were grown on both LaAlO{sub 3} (LAO) and SrTiO{sub 3} (STO) to examine the results of stress induced by different substrate mismatches. La{sub 0.67}Sr{sub 0.33}MnO{sub 3} (LSMO) was grown using pulsed laser deposition (PLD) at temperatures between 500 C and 800 C. The film microstructure, crystallinity, and magnetic and electrical properties were characterized by room temperature scanning tunneling microscopy (STM), atomic force microscopy (AFM), magnetic force microscopy (MFM), x-ray diffraction, and temperature dependent transport and magnetization measurements. The growth trends follow those previously reported for Ca doped films. Grains increase in size with increasing temperature and coalesce into extended layers after annealing. Although topographic contributions complicate interpretation of some MFM data, local magnetic structure observed here is generally associated with film defects.

  11. Non-vacuum growth of graphene films using solid carbon source

    International Nuclear Information System (INIS)

    Nguyen, Ba-Son; Lin, Jen-Fin; Perng, Dung-Ching

    2015-01-01

    This study demonstrates that air annealing can grow high-quality graphene films on the surface of polycrystalline nickel film with the help of an effective SiO 2 capping layer. The number of graphene layers can be modulated by the amount of carbon embedded in the Ni film before annealing. Raman analysis results, transmission electron microscopy images, and electron diffraction patterns of the samples confirm that graphene films can be grown in air with an oxygen blocking layer and a 10 °C/s cooling rate in an open-vented rapid thermal annealing chamber or an open tube furnace. The high-quality low-defect air-annealing grown graphene is comparable to commercially available graphene grown via chemical vapor deposition. The proposed graphene growth using air annealing technique is simple and low-cost, making it highly attractive for mass production. It is transfer-free to a silicon substrate and can speed up graphene development, opening up new applications

  12. Solution growth of Tb doped Gd_2O_3 film

    International Nuclear Information System (INIS)

    Ghosh, M.; Pitale, S.; Desai, D.G.; Patra, G.D.; Sen, S.; Gadkari, S.C.

    2016-01-01

    Nanomaterials of Gd_2O_3 have proven applications in medical imaging and cancer therapy due to the presence of element Gd. Also Gd_2O_3 films have been grown by vapor phase method as well as self assembly in solution and studied as a high-k dielectric and efficient luminescence material. Here, we report a method to obtain Tb doped Gd_2O_3 film by solution growth method followed by suitable heat treatment. Uniform films of Tb doped Gadolinium hydroxycarbonate have been deposited on fused quartz substrates kept inside a solution containing gadolinium nitrate, terbium nitrate and Urea maintained at 90°C. Gadolinium hydroxy-carbonate films are then treated at 800°C for 2 hour to obtain Tb doped cubic Gd_2O_3 as confirmed by X-ray diffraction measurement. The photoluminescence spectra display characteristic Tb emission at 544 nm when excited at 285 nm. The lifetime of Tb emission is found to be of the order of few microseconds. (author)

  13. Non-vacuum growth of graphene films using solid carbon source

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Ba-Son [Department of Mechanical Engineering, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China); Faculty of Mechatronics – Electronics, Lac Hong University, 10 Huynh Van Nghe Road, Bienhoa (Viet Nam); Lin, Jen-Fin, E-mail: jflin@mail.ncku.edu.tw, E-mail: dcperng@ee.ncku.edu.tw [Department of Mechanical Engineering, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China); Center for Micro/Nano Science and Technology, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China); Perng, Dung-Ching, E-mail: jflin@mail.ncku.edu.tw, E-mail: dcperng@ee.ncku.edu.tw [Center for Micro/Nano Science and Technology, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China); Institute of Microelectronics and Electrical Engineering Department, National Cheng Kung University, 1 University Road, Tainan 701, Taiwan (China)

    2015-06-01

    This study demonstrates that air annealing can grow high-quality graphene films on the surface of polycrystalline nickel film with the help of an effective SiO{sub 2} capping layer. The number of graphene layers can be modulated by the amount of carbon embedded in the Ni film before annealing. Raman analysis results, transmission electron microscopy images, and electron diffraction patterns of the samples confirm that graphene films can be grown in air with an oxygen blocking layer and a 10 °C/s cooling rate in an open-vented rapid thermal annealing chamber or an open tube furnace. The high-quality low-defect air-annealing grown graphene is comparable to commercially available graphene grown via chemical vapor deposition. The proposed graphene growth using air annealing technique is simple and low-cost, making it highly attractive for mass production. It is transfer-free to a silicon substrate and can speed up graphene development, opening up new applications.

  14. Growth and characterization of tris(8-hydroxyquinoline)-aluminum molecular films

    Energy Technology Data Exchange (ETDEWEB)

    Jan, Da-Jeng; Wang, Sheng-Shin; Tang, Shiow-Jing; Lin, Ku-Yen; Yang, Jiun-Jie; Shen, Ji-Lin; Chiu, Kuan-Cheng, E-mail: kcchiu@cycu.edu.tw

    2011-11-30

    Various tris(8-hydroxyquinoline)-aluminum (Alq3) molecular solid films were grown on top of indium-tin-oxide (ITO) glass substrates using physical vapor deposition. The effect of changing the growth conditions on the properties of the films was studied. From scanning electron microscopy, an Alq3 planar layer over an ITO-substrate was observed at the initial period, and an Alq3 tubular structure (which becomes dominant at substrate temperature T{sub sub} Greater-Than-Over-Equal-To 90 Degree-Sign C) was found to nucleate from this layer. From X-ray diffraction, the Alq3 planar layer possesses an amorphous character while the Alq3 tubular layer has a triclinic {alpha}-phase structure. Based on an Arrhenius plot of the growth rate versus 1/T{sub sub}, the growth behaviors in various T{sub sub}-regions were discussed to be dominated by adhesion (for T{sub sub} < 90 Degree-Sign C), steric effect (90 Degree-Sign C < T{sub sub} < 150 Degree-Sign C), and re-evaporation (T{sub sub} > 150 Degree-Sign C). Then, from optical transmission and photoluminescence spectra performed on the high crystalline Alq3 films, two signals associated with the optical-bandgap E{sub g} absorption and the gap-state absorption were determined and discussed in terms of the optical properties of the constituent Alq3 molecules. Finally, from a fit of E{sub g}(T) by an effective electron-phonon interaction model, the physical significance of these fitting parameters for the Alq3 molecular solid was investigated.

  15. Growth of fine holes in polyethylenenaphthalate film irradiated by fission fragments

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.

    1976-01-01

    Growth of fine holes by chemical etching in polyethylenenaphthalate films exposed to fission fragments were examined by measuring gas flow through the films. The etching rate along tracks, the radial etching rate, and the bulk etching rate were determined at effective hole diameters of 100 to 1000 A and hole densities of approximately 10 8 cm -2 . The effects of ethanol and surfactants on the etching rates were studied from the viewpoint of attaining less-tapered holes

  16. Analysis of thin-film polymers using attenuated total internal reflection-Raman microspectroscopy.

    Science.gov (United States)

    Tran, Willie; Tisinger, Louis G; Lavalle, Luis E; Sommer, André J

    2015-01-01

    Two methods commonly employed for molecular surface analysis and thin-film analysis of microscopic areas are attenuated total reflection infrared (ATR-IR) microspectroscopy and confocal Raman microspectroscopy. In the former method, the depth of the evanescent probe beam can be controlled by the wavelength of light, the angle of incidence, or the refractive index of the internal reflection element. Because the penetration depth is proportional to the wavelength of light, one could interrogate a smaller film thickness by moving from the mid-infrared region to the visible region employing Raman spectroscopy. The investigation of ATR Raman microspectroscopy, a largely unexplored technique available to Raman microspectroscopy, was carried out. A Renishaw inVia Raman microscope was externally modified and used in conjunction with a solid immersion lens (SIL) to perform ATR Raman experiments. Thin-film polymer samples were analyzed to explore the theoretical sampling depth for experiments conducted without the SIL, with the SIL, and with the SIL using evanescent excitation. The feasibility of micro-ATR Raman was examined by collecting ATR spectra from films whose thickness measured from 200 to 60 nm. Films of these thicknesses were present on a much thicker substrate, and features from the underlying substrate did not become visible until the thin film reached a thickness of 68 nm.

  17. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  18. Growth and surface characterization of sputter-deposited molybdenum oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ramana, C.V. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)]. E-mail: ramanacv@umich.edu; Atuchin, V.V. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Technical Centre, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Kochubey, V.A. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Pokrovsky, L.D. [Laboratory of Optical Materials and Structures, Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Shutthanandan, V. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Becker, U. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States); Ewing, R.C. [Nanoscience and Surface Chemistry Laboratory, Department of Geological Sciences, University of Michigan, Ann Arbor, MI 48109 (United States)

    2007-04-15

    Molybdenum oxide thin films were produced by magnetron sputtering using a molybdenum (Mo) target. The sputtering was performed in a reactive atmosphere of an argon-oxygen gas mixture under varying conditions of substrate temperature (T {sub s}) and oxygen partial pressure (pO{sub 2}). The effect of T {sub s} and pO{sub 2} on the growth and microstructure of molybdenum oxide films was examined in detail using reflection high-energy electron diffraction (RHEED), Rutherford backscattering spectrometry (RBS), energy-dispersive X-ray spectrometry (EDS), X-ray photoelectron spectroscopy (XPS), and scanning electron microscopy (SEM) measurements. The analyses indicate that the effect of T {sub s} and pO{sub 2} on the microstructure and phase of the grown molybdenum oxide thin films is remarkable. RHEED and RBS results indicate that the films grown at 445 deg. C under 62.3% O{sub 2} pressure were stoichiometric and polycrystalline MoO{sub 3}. Films grown at lower pO{sub 2} were non-stoichiometric MoO {sub x} films with the presence of secondary phase. The microstructure of the grown Mo oxide films is discussed and conditions were optimized to produce phase pure, stoichiometric, and highly textured polycrystalline MoO{sub 3} films.

  19. Laterally enhanced growth of electrodeposited Au to form ultrathin films on nonconductive surfaces

    International Nuclear Information System (INIS)

    Kobayashi, Chiaki; Saito, Mikiko; Homma, Takayuki

    2012-01-01

    We investigated the laterally enhanced growth of electrodeposited Au for fabricating nanogap electrodes. To enhance the lateral growth, we carried out electrodeposition over patterned electrodes onto a SiO 2 surface modified with self-assembled monolayers (SAMs) or dendrimers with amine groups. The morphology and thickness of the Au films were controlled by adjusting deposition conditions such as duration, applied potential, and Au ion concentration in the bath. To investigate the mechanism of the laterally enhanced growth, the surface states of SAM- or dendrimer-modified SiO 2 were analyzed by X-ray photoelectron spectroscopy (XPS). The XPS results indicate the existence of organic molecules and Au ions on the SiO 2 surface, which suggests that laterally enhanced growth is induced by the Au ions coordinated on the amine groups of the organic molecules. To further analyze the mechanism of the laterally enhanced growth, we investigated the relationship between the morphology of the laterally enhanced growth of Au and the amount of Au ions on organic molecules. The laterally enhanced growth of Au is expected to be useful for fabricating thin film nanogap electrodes.

  20. Stabilisation of late transition metal and noble metal films in hexagonal and body centred tetragonal phases by epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Hueger, E.

    2005-08-26

    In this work ultrathin metallic films with a crystal phase different to their natural bulk structure were produced by hetero-epitaxial growth on metallic substrates. A further aim of this work was to understand the initiation, growth and stability of crystal phase modifications of these films. there exist cases where the films turn beyond the pseudomorphic-growth to a crystal phase different from their natural bulk structure. The present work presents and discusses such a case in addition to the general phenomenon of pseudomorphic-growth. In particular it is shown that metals whose natural phase is face centred cubic (fcc) can be grown in body centred tetragonal (bct) or hexagonal close packed (hcp) phases in the form of thin films on (001) surfaces of appropriate substrates. The growth behavior, electron diffraction analysis, appearance conditions, geometric fit considerations, examples and a discussion of the phase stability of non-covered films and superlattices is given reviewing all epitaxial-systems whose diffraction pattern can be explained by the hexagonal or pseudomorphic bct phase. (orig.)

  1. Totally impermeable film (TIF reduces emissions in perennial crop fumigation

    Directory of Open Access Journals (Sweden)

    Suduan Gao

    2013-10-01

    Full Text Available Many perennial nursery fields and replanted orchards and vineyards in California are treated with preplant soil fumigants to control soilborne pests. In annual crops, such as strawberry, covering fumigated fields with totally impermeable film (TIF has shown promise in controlling emissions and improving fumigant distribution in soil. The objective of this research was to optimize the use of TIF for perennial crops via three field trials. TIF reduced peak emission flux and cumulative emissions by > 90% relative to polyethylene tarp during a 2-week covering period. After the TIF was cut, emissions were greatly reduced compared to when tarps were cut after 6 days. TIF maintained higher fumigant concentrations under tarp and in the soil than polyethylene film. The results indicate that TIF can increase fumigation efficiency for perennial crop growers.

  2. Controllable growth and characterization of highly aligned ZnO nanocolumnar thin films

    Energy Technology Data Exchange (ETDEWEB)

    Onuk, Zuhal [Department of Physics, Recep Tayyip Erdogan University, Rize, 53100 (Turkey); Department of Materials Science and Engineering, University of Delaware, Newark, DE, 19716 (United States); Rujisamphan, Nopporn [Nanoscience and Nanotechnology Graduate Program, Faculty of Science, King Mongkut’s University of Technology Thonburi, 10140, Bangkok (Thailand); Theoretical and Computational Science Center (TaCS), Faculty of Science, King Mongkut’s University of Technology Thonburi, Bangkok 10140 (Thailand); Murray, Roy [Department of Physics and Astronomy, University of Delaware, Newark, DE, 19716 (United States); Bah, Mohamed [Department of Materials Science and Engineering, University of Delaware, Newark, DE, 19716 (United States); Tomakin, Murat [Department of Physics, Recep Tayyip Erdogan University, Rize, 53100 (Turkey); Shah, S.Ismat, E-mail: ismat@udel.edu [Department of Materials Science and Engineering, University of Delaware, Newark, DE, 19716 (United States); Department of Physics and Astronomy, University of Delaware, Newark, DE, 19716 (United States)

    2017-02-28

    Graphical abstract: Scanning electron micrographs of the top view surfaces (left column) and cross sections of sputtered ZnO thin films prepared at various Ar:O{sub 2} ratios: (a) and (b) 10:0, (c) and (d) 7.5:2.5, (e) and (f) 5:5, (g) and (h) 2.5:7.5. - Highlights: • Nanocolumnar ZnO films were prepared by controlling the argon-oxygen sputtering gas ratio. • Oxygen partial pressure affects the band gap alignment of the ZnO films. • Optical transmission spectroscopy and XPS were used to study band gap shifts. - Abstract: We investigated the effects of growth conditions during magnetron sputtering on the structural, morphological, and optical properties of nanostructured ZnO thin films. Undoped ZnO thin films are deposited onto p-type Si (100) and corning 7059 glass substrates by RF magnetron sputtering using a ZnO target in combination with various Ar-O{sub 2} sputtering gas mixtures at room temperature. The effect of the partial pressure of oxygen on the morphology of ZnO thin film structure and band alignment were investigated. Thickness, and therefore the growth rate of the samples measured from the cross-sectional SEM micrographs, is found to be strongly correlated with the oxygen partial pressure in the sputtering chamber. The optical transmittance spectrometry results show that the absorption edge shifts towards the longer wavelength at higher oxygen partial pressure. X-ray photoelectron spectroscopy (XPS) used for determining the surface chemical structure and valence band offsets show that conduction band can be controlled by changing the sputtering atmosphere.

  3. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  4. Mechanistic Insights into Growth of Surface-Mounted Metal-Organic Framework Films Resolved by Infrared (Nano-) Spectroscopy

    NARCIS (Netherlands)

    Delen, Guusje; Ristanovic, Zoran; Mandemaker, Laurens D. B.; Weckhuysen, Bert M.

    2018-01-01

    Control over assembly, orientation, and defect-free growth of metal-organic framework (MOF) films is crucial for their future applications. A layer-by-layer approach is considered a suitable method to synthesize highly oriented films of numerous MOF topologies, but the initial stages of the film

  5. Growth process and structure of Er/Si(100) thin film

    International Nuclear Information System (INIS)

    Fujii, S.; Michishita, Y.; Miyamae, N.; Suto, H.; Honda, S.; Okado, H.; Oura, K.; Katayama, M.

    2006-01-01

    The solid-phase reactive epitaxial growth processes and structures of Er/Si(100) thin films were investigated by coaxial impact-collision ion scattering spectroscopy (CAICISS), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The as-deposited Er film grown at room temperature was transformed into crystalline rectangular-shaped islands after annealing at 900 deg. C. These islands have a hexagonal AlB 2 -type structure and the epitaxial relationship is determined to be ErSi 2 (011-bar0)[0001]//Si(100)[011-bar]. It has been revealed that the surface of the Er silicide island is terminated with an Er plane

  6. Surface morphology study on chromium oxide growth on Cr films by Nd-YAG laser oxidation process

    International Nuclear Information System (INIS)

    Dong Qizhi; Hu Jiandong; Guo Zuoxing; Lian Jianshe; Chen Jiwei; Chen Bo

    2002-01-01

    Grain sized (60-100 nm) Cr 2 O 3 thin films were prepared on Cr thin film surfaces by Nd-YAG laser photothermal oxidation process. Surface morphology study showed crack-free short plateau-like oxide films formed. Increase of dislocation density after pulsed laser irradiation was found. Thin film external surfaces, grain boundaries and dislocations are main paths of laser surface oxidation. Pinning and sealing of grain boundary was the reason that deeper oxidation did not produce. Grain growth and agglomeration of Cr sub-layer yielded tensile stress on the surface Cr 2 O 3 thin film. It was the reason that short plateau-like surface morphology formed and cracks appeared sometimes. In oxygen annealing at 700 deg. C, grain boundaries were considered not to be pinned at the surface, mixture diffusion was main mechanism in growth of oxide. Compression stress development in whole film led to extrusion of grains that was the reason that multiple appearances such as pyramid-like and nutshell-like morphology formed

  7. Organic semiconductor growth and morphology considerations for organic thin-film transistors.

    Science.gov (United States)

    Virkar, Ajay A; Mannsfeld, Stefan; Bao, Zhenan; Stingelin, Natalie

    2010-09-08

    Analogous to conventional inorganic semiconductors, the performance of organic semiconductors is directly related to their molecular packing, crystallinity, growth mode, and purity. In order to achieve the best possible performance, it is critical to understand how organic semiconductors nucleate and grow. Clever use of surface and dielectric modification chemistry can allow one to control the growth and morphology, which greatly influence the electrical properties of the organic transistor. In this Review, the nucleation and growth of organic semiconductors on dielectric surfaces is addressed. The first part of the Review concentrates on small-molecule organic semiconductors. The role of deposition conditions on film formation is described. The modification of the dielectric interface using polymers or self-assembled mono-layers and their effect on organic-semiconductor growth and performance is also discussed. The goal of this Review is primarily to discuss the thin-film formation of organic semiconducting species. The patterning of single crystals is discussed, while their nucleation and growth has been described elsewhere (see the Review by Liu et. al).([¹]) The second part of the Review focuses on polymeric semiconductors. The dependence of physico-chemical properties, such as chain length (i.e., molecular weight) of the constituting macromolecule, and the influence of small molecular species on, e.g., melting temperature, as well as routes to induce order in such macromolecules, are described.

  8. Substrate structure dependence of the growth modes of p-quaterphenyl thin films on gold

    International Nuclear Information System (INIS)

    Muellegger, S.; Mitsche, S.; Poelt, P.; Haenel, K.; Birkner, A.; Woell, C.; Winkler, A.

    2005-01-01

    The variably oriented crystallite surfaces of a recrystallized polycrystalline gold sample served as substrates for the investigation of the structure dependence of p-quaterphenyl (4P) thin film growth. The films were prepared in ultrahigh vacuum by organic molecular beam evaporation. Optical microscopy, scanning electron microscopy, combined with laterally resolved electron backscatter diffraction and scanning tunnelling microscopy have been applied to determine the correlation between the substrate surface structure and 4P film morphology. Crystallite surfaces consisting of (110) terraces favour highly anisotropic needle-like 4P growth with the needle orientation normal to the Au directions. Atomic steps on vicinal planes with narrow terraces (< 2 nm) can also induce anisotropy in the 4P thin film growth, in particular elongated 4P islands normal to the step direction. In contrast to that, a nearly isotropic distribution of the needle orientations is observed on Au grains terminated by highly symmetric (111) or (100) crystal planes. Additionally, patches of continuous 4P layers can be found on these surfaces. There is strong evidence that the 4P molecules within the needle-like crystallites are oriented parallel to the Au surface, whereas for the continuous layers the 4P molecules are oriented nearly upright on the surface

  9. Effect of growth interruptions on TiO{sub 2} films deposited by plasma enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, D., E-mail: dyli@yzu.edu.cn [College of Mechanical Engineering, Yangzhou University, Yangzhou, 225127 (China); Goullet, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Carette, M. [Institut d’Electronique, de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, Avenue Poincaré, 59652, Villeneuve d' Ascq (France); Granier, A. [Institut des Matériaux Jean Rouxel (IMN), UMR CNRS 6502, 2 rue de la Houssinière, 44322, Nantes (France); Landesman, J.P. [Institut de Physique de Rennes, UMR CNRS 6251, 263 av. Général Leclerc, 35042, Rennes (France)

    2016-10-01

    TiO{sub 2} films of ∼300 nm were deposited at low temperature (<140 °C) and pressure (0.4 Pa) using plasma enhanced chemical vapour deposition at the floating potential (V{sub f}) or the substrate self-bias voltage (V{sub b}) of −50 V. The impact of growth interruptions on the morphology, microstructure and optical properties of the films was investigated. The interruptions were carried out by stopping the plasma generation and gas injection once the increase of the layer thickness during each deposition step was about ∼100 nm. In one case of V{sub f}, the films of ∼300 nm exhibit a columnar morphology consisting of a bottom dense layer, an intermediate gradient layer and a top roughness layer. But the growth interruptions result in an increase of the dense layer thickness and a decrease of surface roughness. The film inhomogeneity has been identified by the in-situ real-time evolution of the kinetic ellipsometry (KE) parameters and the modeling process of spectroscopic ellipsometry (SE). The discrepancy of the refractive index measured by SE between bottom and upper layers can be reduced by growth interruptions. In the other case of V{sub b} = −50 V, the films exhibit a more compact arrangement which is homogeneous along the growth direction as confirmed by KE and SE. Both of Fourier transform infrared spectra and X-ray diffraction illustrate a phase transformation from anatase to rutile with the bias of −50 V, and also evidenced on the evolution of the refractive index dispersion curves. And a greatly increase of the refractive indice in the transparent range can be identified. However, the growth interruptions seem to have no influence on the morphology and optical properties in this case. - Highlights: • TiO{sub 2} films deposited by plasma processes at low temperature and pressure. • Influence of growth interruptions on structural and optical properties. • In-situ real-time ellipsometry measurements on film properties. • Structural and

  10. Disilane chemisorption on Si(x)Ge(1-x)(100)-(2 x 1): molecular mechanisms and implications for film growth rates.

    Science.gov (United States)

    Ng, Rachel Qiao-Ming; Tok, E S; Kang, H Chuan

    2009-07-28

    At low temperatures, hydrogen desorption is known to be the rate-limiting process in silicon germanium film growth via chemical vapor deposition. Since surface germanium lowers the hydrogen desorption barrier, Si(x)Ge((1-x)) film growth rate increases with the surface germanium fraction. At high temperatures, however, the molecular mechanisms determining the epitaxial growth rate are not well established despite much experimental work. We investigate these mechanisms in the context of disilane adsorption because disilane is an important precursor used in film growth. In particular, we want to understand the molecular steps that lead, in the high temperature regime, to a decrease in growth rate as the surface germanium increases. In addition, there is a need to consider the issue of whether disilane adsorbs via silicon-silicon bond dissociation or via silicon-hydrogen bond dissociation. It is usually assumed that disilane adsorption occurs via silicon-silicon bond dissociation, but in recent work we provided theoretical evidence that silicon-hydrogen bond dissociation is more important. In order to address these issues, we calculate the chemisorption barriers for disilane on silicon germanium using first-principles density functional theory methods. We use the calculated barriers to estimate film growth rates that are then critically compared to the experimental data. This enables us to establish a connection between the dependence of the film growth rate on the surface germanium content and the kinetics of the initial adsorption step. We show that the generally accepted mechanism where disilane chemisorbs via silicon-silicon bond dissociation is not consistent with the data for film growth kinetics. Silicon-hydrogen bond dissociation paths have to be included in order to give good agreement with the experimental data for high temperature film growth rate.

  11. Solution growth, characterization and applications of zinc sulphide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ndukwe, I C [School of Physical Sciences, Abia State University, Uturu, Abia State (Nigeria)

    1996-04-29

    Zinc sulphide (ZnS) thin films were successfully deposited on glass substrates under varying deposition conditions using the electroless or solution growth technique. The film properties investigated include their transmittance/reflectance/absorbance spectra, bandgap, optical constants, and thicknesses. Films grown under certain parametric conditions were found to exhibit high transmittance (64-98%), low absorbance, and low reflectance in the ultraviolet (uv)/visible/near infrared (nir) regions up to 1.00 {mu}m. Those obtained under other conditions exhibited high transmittance (78-98%) and low absorbance (0.01-0.1) in the uv/visible regions but low transmittance (30-37) and high absorbance (0.56) in the nir region. These characteristics revealed their suitability for various solar device applications. Bandgap range E{sub g}=3.7-3.8 eV and thickness range t=0.07 - 0.73 {mu}m were obtained.

  12. Heteroepitaxial silicon film growth at 600 oC from an Al-Si eutectic melt

    International Nuclear Information System (INIS)

    Chaudhari, P.; Shim, Heejae; Wacaser, Brent A.; Reuter, Mark C.; Murray, Conal; Reuter, Kathleen B.; Jordan-Sweet, Jean; Ross, Frances M.; Guha, Supratik

    2010-01-01

    A method for growing heteroepitaxial Si films on sapphire was developed using a 6 nm thin Al layer at substrate temperature of 600 o C. Subsequently, the growth of Si nanowires was demonstrated on these films at 490 o C without breaking vacuum. We characterized the properties of the Si films by Raman scattering, X-ray diffraction and transmission electron microscopy and show that the crystal quality and dopant control are promising for photovoltaic applications.

  13. Evaporation temperature-tuned physical vapor deposition growth engineering of one-dimensional non-Fermi liquid tetrathiofulvalene tetracyanoquinodimethane thin films

    DEFF Research Database (Denmark)

    Sarkar, I.; Laux, M.; Demokritova, J.

    2010-01-01

    We describe the growth of high quality tetrathiofulvalene tetracyanoquinodimethane (TTF-TCNQ) organic charge-transfer thin films which show a clear non-Fermi liquid behavior. Temperature dependent angle resolved photoemission spectroscopy and electronic structure calculations show that the growth...... of TTF-TCNQ films is accompanied by the unfavorable presence of neutral TTF and TCNQ molecules. The quality of the films can be controlled by tuning the evaporation temperature of the precursor in physical vapor deposition method....

  14. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  15. Pulsed laser thin film growth of di-octyl substituted polyfluorene and its co-polymers

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, R.K.; Ghosh, K.; Kahol, P.K. [Department of Physics, Astronomy and Materials Science, Missouri State University, Springfield, MO 65897 (United States); Yoon, J. [Department of Physics and Astronomy, University of Missouri, Columbia, MO 65211 (United States); Guha, S. [Department of Physics and Astronomy, University of Missouri, Columbia, MO 65211 (United States)], E-mail: guhas@missouri.edu

    2008-08-30

    Matrix-assisted pulsed laser deposition (PLD) allows a controlled layer-by-layer growth of polymer films. Di-octyl substituted polyfluorene (PF8) and its copolymers were deposited as thin films using matrix-assisted PLD by employing a KrF excimer laser with a fluence of 125 mJ/pulses. The optical and structural properties of these films are compared with spincoated films via Raman spectroscopy, absorption and photoluminescence. The Raman spectra of both PLD and spincoated films are similar indicating that the polymer films deposited via PLD maintain their molecular structure. Both the spincoated and the PLD grown PF8 films that were cast from toluene show the presence of the {beta} phase. Benzothiadiazole substituted PF8 (F8BT) and butyl phenyl-substituted PF8 (PFB) PLD grown films show a slightly broader emission compared to the spincoated films, which is attributed to an enhanced intermolecular interaction in the PLD grown thin films.

  16. Pulsed laser thin film growth of di-octyl substituted polyfluorene and its co-polymers

    International Nuclear Information System (INIS)

    Gupta, R.K.; Ghosh, K.; Kahol, P.K.; Yoon, J.; Guha, S.

    2008-01-01

    Matrix-assisted pulsed laser deposition (PLD) allows a controlled layer-by-layer growth of polymer films. Di-octyl substituted polyfluorene (PF8) and its copolymers were deposited as thin films using matrix-assisted PLD by employing a KrF excimer laser with a fluence of 125 mJ/pulses. The optical and structural properties of these films are compared with spincoated films via Raman spectroscopy, absorption and photoluminescence. The Raman spectra of both PLD and spincoated films are similar indicating that the polymer films deposited via PLD maintain their molecular structure. Both the spincoated and the PLD grown PF8 films that were cast from toluene show the presence of the β phase. Benzothiadiazole substituted PF8 (F8BT) and butyl phenyl-substituted PF8 (PFB) PLD grown films show a slightly broader emission compared to the spincoated films, which is attributed to an enhanced intermolecular interaction in the PLD grown thin films

  17. Pulsed laser thin film growth of di-octyl substituted polyfluorene and its co-polymers

    Science.gov (United States)

    Gupta, R. K.; Ghosh, K.; Kahol, P. K.; Yoon, J.; Guha, S.

    2008-08-01

    Matrix-assisted pulsed laser deposition (PLD) allows a controlled layer-by-layer growth of polymer films. Di-octyl substituted polyfluorene (PF8) and its copolymers were deposited as thin films using matrix-assisted PLD by employing a KrF excimer laser with a fluence of 125 mJ/pulses. The optical and structural properties of these films are compared with spincoated films via Raman spectroscopy, absorption and photoluminescence. The Raman spectra of both PLD and spincoated films are similar indicating that the polymer films deposited via PLD maintain their molecular structure. Both the spincoated and the PLD grown PF8 films that were cast from toluene show the presence of the β phase. Benzothiadiazole substituted PF8 (F8BT) and butyl phenyl-substituted PF8 (PFB) PLD grown films show a slightly broader emission compared to the spincoated films, which is attributed to an enhanced intermolecular interaction in the PLD grown thin films.

  18. Improvement of ZnO TCO film growth for photovoltaic devices by reactive plasma deposition (RPD)

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Shirakata, S.; Matsubara, K.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2005-01-01

    Reactive plasma deposition (RPD) is a technique for depositing a thin film on a substrate using a pressure-slope type plasma ion gun. This method offers the advantage of low-ion damage, low deposition temperature, large area deposition and high growth rates. Ga-doped zinc oxide (ZnO) thin film was grown on a moving glass substrate by RPD. Evaporation of very small quantity of tungsten from anode electrode by plasma collision lets the resistivity of grown ZnO transparent conductive oxide (TCO) film to increase. However, no reduction of carrier concentration was observed but only reduction of carrier mobility. It indicates that reduction of evaporation of tungsten from anode electrode induces increase of carrier mobility without any increase of carrier concentration. After installation of an anode cooling system in order to avoid the tungsten evaporation, increase of the mobility (37 cm 2 /Vsec) was observed and the lowest resistivity (2.0x10 -4 no. OMEGAno. cm) film was obtained from large size grown ZnO TCO of 200x200 mm at low growth temperature of 200 deg. C with high growth rate of 24 no. muno. m/h

  19. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  20. Amorphous-tetrahedral diamondlike carbon layered structures resulting from film growth energetics

    Science.gov (United States)

    Siegal, M. P.; Barbour, J. C.; Provencio, P. N.; Tallant, D. R.; Friedmann, T. A.

    1998-08-01

    High-resolution transmission electron microscopy (HRTEM) shows that amorphous-tetrahedral diamondlike carbon (a-tC) films grown by pulsed-laser deposition on Si(100) consist of three-to-four layers, depending on the growth energetics. We estimate the density of each layer using both HRTEM image contrast and Rutherford backscattering spectrometry. The first carbon layer and final surface layer have relatively low density. The bulk of the film between these two layers has higher density. For films grown under the most energetic conditions, there exists a superdense a-tC layer between the interface and bulk layers. The density of all four layers, and the thickness of the surface and interfacial layers, correlate well with the energetics of the depositing carbon species.

  1. Growth and characteristics of PbS/polyvinyl alcohol nanocomposites for flexible high dielectric thin film applications

    International Nuclear Information System (INIS)

    Hmar, J.J.L.; Majumder, T.; Mondal, S.P.

    2016-01-01

    PbS/polyvinyl alcohol (PbS/PVA) nanocomposites have been grown by a chemical bath deposition process at various growth temperatures (60–100 °C). Transmission electron microscopy (TEM) study revealed the formation of PbS nanoparticles of diameter 6–20 nm encapsulated in PVA matrix. Optical band gap of the nanocomposite films have been found to decrease (1.45 eV–0.67 eV) with increase in growth temperature from 60 °C to 100 °C. The impedance measurements have been carried out by depositing the PbS/PVA films on indium tin oxide (ITO) coated flexible polyethylene terephthalate (PET) substrates. The room temperature dielectric permittivity and ac conductivity measurements have been carried out for ITO/PbS/PVA/Al devices deposited at various growth temperatures. The nanocomposite films demonstrate superior dielectric permittivity compare to pure PVA polymer. The flexibility studies of ITO/PbS/PVA/Al devices have been performed at different bending angles. - Highlights: • PbS nanoparticles of diameter 6–20 nm were grown in polyvinyl (PVA) matrix. • Optical band gap of nanocomposite films was varied from 1.45–0.67 eV. • The nanocomposite thin films demonstrated superior dielectric permittivity. • Flexibility study of thin film devices was performed at various bending angles.

  2. The influence of growth temperature on the structural characteristics of YBa2Cu3O7-δ films: a Raman scattering study

    International Nuclear Information System (INIS)

    Belousov, M.V.; Davydov, V.Yu.; Sherman, A.B.

    1993-01-01

    Orientation of YBa 2 Cu 3 O 7-δ films at the surface and at the film-(100) MgO substrate interface for various growth temperatures T s have been studied using Raman scattering. On the film-substrate interface the films were c-oriented for T s >670 degrees C and a-oriented for lower growth temperatures. Films grown at T s approximately=670 degrees C to a thickness >0.2 mu m contained a transition from the c- to the a-orientation near the surface. A possible mechanism for the influence of growth temperature on the YBa 2 Cu 3 O 7-δ film orientation is discussed. (author)

  3. Composite depth dose measurement for total skin electron (TSE) treatments using radiochromic film

    International Nuclear Information System (INIS)

    Gamble, Lisa M; Farrell, Thomas J; Jones, Glenn W; Hayward, Joseph E

    2003-01-01

    Total skin electron (TSE) radiotherapy is routinely used to treat cutaneous T-cell lymphomas and can be implemented using a modified Stanford technique. In our centre, the composite depth dose for this technique is achieved by a combination of two patient positions per day over a three-day cycle, and two gantry angles per patient position. Due to patient morphology, underdosed regions typically occur and have historically been measured using multiple thermoluminescent dosimeters (TLDs). We show that radiochromic film can be used as a two-dimensional relative dosimeter to measure the percent depth dose in TSE radiotherapy. Composite depth dose curves were measured in a cylindrical, polystyrene phantom and compared with TLD data. Both multiple films (1 film per day) and a single film were used in order to reproduce a realistic clinical scenario. First, three individual films were used to measure the depth dose, one per treatment day, and then compared with TLD data; this comparison showed a reasonable agreement. Secondly, a single film was used to measure the dose delivered over three daily treatments and then compared with TLD data; this comparison showed good agreement throughout the depth dose, which includes doses well below 1 Gy. It will be shown that one piece of radiochromic film is sufficient to measure the composite percent depth dose for a TSE beam, hence making radiochromic film a suitable candidate for monitoring underdosed patient regions

  4. Growth of epitaxial Pt thin films on (0 0 1) SrTiO{sub 3} by rf magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kahsay, A. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Polo, M.C., E-mail: mcpolo@ub.edu [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Ferrater, C.; Ventura, J. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Rebled, J.M. [Departament d’Electrònica, Universitat de Barcelona Institut de Nanociència i Nanotecnologia IN 2UB, 08028 Barcelona (Spain); Varela, M. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain)

    2014-07-01

    The growth of platinum thin film by rf magnetron sputtering on SrTiO{sub 3}(0 0 1) substrates for oxide based devices was investigated. Platinum films grown at temperatures higher than 750 °C were epitaxial ([1 0 0]Pt(0 0 1)//[1 0 0]STO(0 0 1)), whereas at lower temperatures Pt(1 1 1) films were obtained. The surface morphology of the Pt films showed a strong dependence on the deposition temperature as was revealed by atomic force microscopy (AFM). At elevated temperatures there is a three-dimensional (3D) growth of rectangular atomically flat islands with deep boundaries between them. On the other hand, at low deposition temperatures, a two-dimensional (2D) layered growth was observed. The transition from 2D to 3D growth modes was observed that occurs for temperatures around 450 °C. The obtained epitaxial thin films also formed an atomically sharp interface with the SrTiO{sub 3}(0 0 1) substrate as confirmed by HRTEM.

  5. Investigation of plasma dynamics during the growth of amorphous titanium dioxide thin films

    Science.gov (United States)

    Kim, Jin-Soo; Jee, Hyeok; Yu, Young-Hun; Seo, Hye-Won

    2018-06-01

    We have grown amorphous titanium dioxide thin films by reactive DC sputtering method using a different argon/oxygen partial pressure at a room temperature. The plasma dynamics of the process, reactive and sputtered gas particles was investigated via optical emission spectroscopy. We then studied the correlations between the plasma states and the structural/optical properties of the films. The growth rate and morphology of the titanium dioxide thin films turned out to be contingent with the population and the energy profile of Ar, O, and TiO plasma. In particular, the films grown under energetic TiO plasma have shown a direct band-to-band transition with an optical energy band gap up to ∼4.2 eV.

  6. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  7. Molecular beam epitaxy growth of InSb1−xBix thin films

    DEFF Research Database (Denmark)

    Song, Yuxin; Wang, Shumin; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1−xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  8. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  9. Growth and transport properties of thin Co-based Heusler films; Wachstum und Transporteigenschaften duenner Co-basierter Heusler-Filme

    Energy Technology Data Exchange (ETDEWEB)

    Schneider, Horst

    2010-07-01

    During this work, thin films of Co-based Heusler compounds were deposited under optimized conditions, and their structural, magnetic, and transport properties were investigated. The growth of the thin film samples was accomplished by two different methods. At first Co{sub 2}Cr{sub 0.6}Fe{sub 0.4}Al and Co{sub 2}FeSi were deposited by sputter deposition from stoichiometric targets. This is considered the standard technique for the preparation of thin Heusler films. Also for the compounds investigated here it resulted in samples with a high degree of L2{sub 1} ordering. An excess of Fe atoms on Si sites was discovered by a detailed X-ray analysis in conjunction with NMR spectroscopy. The choice of different substrates allowed the adjustment of the growth direction. On the other hand, bulk magnetometry revealed that these sputter deposited films exhibit only a reduced magnetic moment, which is an indication of a reduced spin asymmetry at the Fermi level. One source of this problem seems to be a high residual gas pressure, which leads to an increased sample contamination. To improve this situation, a pulsed laser deposition system was constructed and put into operation. The resulting film growth under ultra-high vacuum conditions led to a further improvement of the short-range crystallographic ordering and a clear enhancement of the magnetic properties. The additional use of a metallic buffer layer resulted in samples with a smooth surface. This opens the door for a number of further analytical experiments, such as tunneling spectroscopy or Brillouin light scattering. After this successful demonstration of this growth technique, an additional method for the flexible variation of the film stoichiometry was implemented. In this work, this method was successfully applied in the deposition of Co{sub 2}Mn{sub 1-x}Fe{sub x}Si films. All samples in this series show a high degree of atomic ordering. Their magnetization values are compatible with the Slater-Pauling rule for

  10. Nucleation, growth and evolution of calcium phosphate films on calcite.

    Science.gov (United States)

    Naidu, Sonia; Scherer, George W

    2014-12-01

    Marble, a stone composed of the mineral calcite, is subject to chemically induced weathering in nature due to its relatively high dissolution rate in acid rain. To protect monuments and sculpture from corrosion, we are investigating the application of thin layers of hydroxyapatite (HAP) onto marble. The motivation for using HAP is its low dissolution rate and crystal and lattice compatibility with calcite. A mild, wet chemical synthesis route, in which diammonium hydrogen phosphate salt was reacted with marble, alone and with cationic and anionic precursors under different reaction conditions, was used to produce inorganic HAP layers on marble. Nucleation and growth on the calcite substrate was studied, as well as metastable phase evolution, using scanning electron microscopy, grazing incidence X-ray diffraction, and atomic force microscopy. Film nucleation was enhanced by surface roughness. The rate of nucleation and the growth rate of the film increased with cationic (calcium) and anionic (carbonate) precursor additions. Calcium additions also influenced phase formation, introducing a metastable phase (octacalcium phosphate) and a different phase evolution sequence. Copyright © 2014 Elsevier Inc. All rights reserved.

  11. Growth of thin films of TiN on MgO(100) monitored by high-pressure RHEED

    DEFF Research Database (Denmark)

    Pryds, Nini; Cockburn, D.; Rodrigo, Katarzyna Agnieszka

    2008-01-01

    Reflection high-energy electron diffraction (RHEED) operated at high pressure has been used to monitor the initial growth of titanium nitride (TiN) thin films on single-crystal (100) MgO substrates by pulsed laser deposition (PLD). This is the first RHEED study where the growth of TiN films...... electron microscopy. These observations are in good agreement with the three-dimensional Volmer-Weber growth type, by which three-dimensional crystallites are formed and later cause a continuous surface roughening. This leads to an exponential decrease in the intensity of the specular spot in the RHEED...

  12. Synchrotron x-ray-diffraction study of the structure and growth of Xe films adsorbed on the Ag(111) surface

    International Nuclear Information System (INIS)

    Dai, P.; Wu, Z.; Angot, T.; Wang, S.; Taub, H.; Ehrlich, S.N.

    1999-01-01

    Synchrotron x-ray scattering has been used to investigate the structure and growth of perhaps the simplest of all films: xenon physisorbed on the Ag(111) surface. High-resolution x-ray scans of the in-plane structure and lower-resolution scans (specular and nonspecular) of the out-of-plane order were performed. The Xe films were prepared under both quasiequilibrium and kinetic growth conditions, and have fewer structural defects than those investigated previously by others on graphite substrates. Under quasiequilibrium conditions, the bulk Xe-Xe spacing is reached at monolayer completion, and the monolayer and bilayer lattice constants at coexistence are inferred equal to within 0.005 Angstrom, consistent with theoretical calculations. The Xe/vacuum interface profile for a complete monolayer and bilayer grown at quasiequilibrium is found to be sharper than for kinetically grown films. At coverages above two layers, diffraction scans along the Xe(01l) rod for quasiequilibrated films are consistent with the presence of two domains having predominantly an ABC stacking sequence and rotated 60 degree with respect to each other about the surface normal. Annealing of these films alters neither the population of the two domains nor the fraction of ABA stacking faults. The thickest film grown under quasiequilibrium conditions exceeds 220 Angstrom (resolution limited). Under kinetic growth conditions, x-ray intensity oscillations at the Xe anti-Bragg position of the specular rod are observed as a function of time, indicating nearly layer-by-layer growth. Up to four complete oscillations corresponding to a film of eight layers have been observed before the intensity is damped out; the number of oscillations is found to depend on the substrate temperature, the growth rate, and the quality of the Ag(111) substrate. The specular reflectivity from kinetically grown films at nominal coverages of three and four layers has been analyzed using a Gaussian model which gives a film

  13. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  14. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  15. Growth of Sr2CrReO6 epitaxial thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Orna, J.; Morellon, L.; Algarabel, P.A.; Pardo, J.A.; Magen, C.; Varela, M.; Pennycook, S.J.; De Teresa, J.M.; Ibarra, M.R.

    2010-01-01

    We report the growth, structural, magnetic, and electrical transport properties of epitaxial Sr 2 CrReO 6 thin films. We have succeeded in depositing films with a high crystallinity and a relatively large cationic order in a narrow window of growth parameters. The epitaxy relationship is Sr 2 CrReO 6 (SCRO) (0 0 1) [1 0 0]-parallel SrTiO 3 (STO) (0 0 1) [1 1 0] as determined by high-resolution X-ray diffraction and scanning transmission electron microscopy (STEM). Typical values of saturation magnetization of M S (300 K)=1 μ B /f.u. and ρ (300 K)=2.8 mΩ cm have been obtained in good agreement with previous published results in sputtered epitaxial thin films. We estimate that the antisite defects concentration in our thin films is of the order of 14%, and the measured Curie temperature is T C =481(2) K. We believe these materials be of interest as electrodes in spintronic devices.

  16. Growth, structural, optical and electrical study of ZnS thin films deposited by solution growth technique (SGT)

    Energy Technology Data Exchange (ETDEWEB)

    Sadekar, H K [Arts, Commerce and Science college, Sonai 414105 (M.S.) (India); Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India); Deshpande, N G; Gudage, Y G; Ghosh, A; Chavhan, S D; Gosavi, S R [Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India); Sharma, Ramphal [Thin film and Nanotechnology Laboratory, Department of Physics, Dr. B.A.M. University, Aurangabad 431004 (M.S.) (India)

    2008-04-03

    ZnS thin films have been deposited onto glass substrates at temperature 90 deg. C by solution growth technique (SGT). The deposition parameters were optimized. Triethanolamine (TEA) was used as a complexing agent for uniform deposition of the thin films. The elemental composition of the film was confirmed by energy dispersive analysis by X-ray (EDAX) technique. Structure and surface morphology of as-deposited films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM), atomic force microscopy (AFM), respectively. XRD patterns reveal that as-deposited thin films were amorphous in nature; while the obtained precipitate powder was polycrystalline in nature. SEM results revealed that deposited ZnS material has {approx}120 {+-} 20 nm average grain size and the spherical grains are distributed over the entire glass substrate. Low surface roughness was found to be 2.7 nm from AFM studies. Transmission spectra indicate a high transmission coefficient ({approx}75%) with direct band gap energy equal to 3.72 eV while indirect band gap was found to be 3.45 eV. A photoluminescence (PL) study of the ZnS at room temperature (300 K) indicates a strong luminescence band at energy 2.02 eV.

  17. Growth and structure of Co/Au magnetic thin films; Croissance et structure des couches minces magnetiques Co/Au

    Energy Technology Data Exchange (ETDEWEB)

    Marsot, N

    1999-01-14

    We have studied the growth and the crystallographic structure of magnetic ultra thin cobalt/gold films (Co/Au), in order to investigate the correlations between their magnetic and structural properties. Room temperature (R.T.) Co growth on Au (111) proceeds in three stages. Up to 2 Co monolayers (ML), a bilayer island growth mode is observed. Between 2 and 5 ML, coalescence of the islands occurs, covering the substrate surface and a Co/Au mixing is observed resulting from the de-construction of the Herringbone reconstruction. Finally, beyond 5 ML, the CoAu mixing is buried and the Co growth continues in a 3-D growth. Annealing studies at 600 K on this system show a smoothing effect of the Co film, and at the same time, segregation of Au atoms. The quality of the Co/Au interface (sharpness) is not enhanced by the annealing. The local order was studied by SEXAFS and the long range order by GIXRD showing that the Co film has a hexagonal close packed structure, with an easy magnetization axis perpendicular to the surface. From a local order point of view, the Co grows with an incoherent epitaxy and keeps its own bulk parameters. The GIXRD analysis shows a residual strain in the Co film of 4%. The difference observed between the local order analysis and the long range order results is explained in terms of the low dimensions of the diffracting domains. The evolution of film strains, as a function of the Co coverage, shows a marked deviation from the elastic strain theory. Modification of the strain field in the Co film as a function of the Au coverage is studied by GIXRD analysis. The Au growth study, at R.T., shows no evidence of a Au/Co mixing in the case of the Au/Co interface. The Au overlayer adopts a twinned face centred cubic structure on the rough Co film surface. (author)

  18. Attachment and growth of human bone marrow derived mesenchymal stem cells on regenerated antheraea pernyi silk fibroin films

    Energy Technology Data Exchange (ETDEWEB)

    Luan Xiying [Institute of Medical Biotechnology, Jiangsu Province Key Laboratory of Stem Cell, Suzhou University, Suzhou 215007 (China); Wang Yong [Institute of Medical Biotechnology, Jiangsu Province Key Laboratory of Stem Cell, Suzhou University, Suzhou 215007 (China); Duan Xiang [Institute of Medical Biotechnology, Jiangsu Province Key Laboratory of Stem Cell, Suzhou University, Suzhou 215007 (China); Duan Qiaoyan [Institute of Medical Biotechnology, Jiangsu Province Key Laboratory of Stem Cell, Suzhou University, Suzhou 215007 (China); Li Mingzhong [School of Materials Engineering, Suzhou University, Suzhou 215006 (China); Lu Shenzhou [School of Materials Engineering, Suzhou University, Suzhou 215006 (China); Zhang Huanxiang [Institute of Medical Biotechnology, Jiangsu Province Key Laboratory of Stem Cell, Suzhou University, Suzhou 215007 (China); Zhang Xueguang [Institute of Medical Biotechnology, Jiangsu Province Key Laboratory of Stem Cell, Suzhou University, Suzhou 215007 (China)

    2006-12-15

    Silk fibroin of the silkworm Bombyx mori has been studied extensively, while the research on Antheraea pernyi silk fibroin (A. pernyi SF) in biomaterials is only at an early stage. In this study, the attachment, morphology, growth and phenotype of human bone marrow derived mesenchymal stem cells (hBMSCs) cultured on the regenerated A. pernyi SF films were studied in vitro. The results indicated that the attachment of hBMSCs on the regenerated A. pernyi SF films was almost the same as that on the collagen films. MTT and cell counting analyses demonstrated that the growth of hBMSCs on the regenerated A. pernyi SF films was better than that on controls. Moreover, electron scanning microscopy and fluorescence-activated cell sorting assays showed that the regenerated A. pernyi SF supported hBMSCs growth and functional maintenance compared with the controls. These data suggest that the regenerated A. pernyi SF, like Bombyx mori silk fibroin (B. mori SF) and collagen, can support hBMSCs attachment, growth and phenotypic maintenance, and has better biocompatibilities for hBMSCs in vitro culture.

  19. Attachment and growth of human bone marrow derived mesenchymal stem cells on regenerated antheraea pernyi silk fibroin films

    International Nuclear Information System (INIS)

    Luan Xiying; Wang Yong; Duan Xiang; Duan Qiaoyan; Li Mingzhong; Lu Shenzhou; Zhang Huanxiang; Zhang Xueguang

    2006-01-01

    Silk fibroin of the silkworm Bombyx mori has been studied extensively, while the research on Antheraea pernyi silk fibroin (A. pernyi SF) in biomaterials is only at an early stage. In this study, the attachment, morphology, growth and phenotype of human bone marrow derived mesenchymal stem cells (hBMSCs) cultured on the regenerated A. pernyi SF films were studied in vitro. The results indicated that the attachment of hBMSCs on the regenerated A. pernyi SF films was almost the same as that on the collagen films. MTT and cell counting analyses demonstrated that the growth of hBMSCs on the regenerated A. pernyi SF films was better than that on controls. Moreover, electron scanning microscopy and fluorescence-activated cell sorting assays showed that the regenerated A. pernyi SF supported hBMSCs growth and functional maintenance compared with the controls. These data suggest that the regenerated A. pernyi SF, like Bombyx mori silk fibroin (B. mori SF) and collagen, can support hBMSCs attachment, growth and phenotypic maintenance, and has better biocompatibilities for hBMSCs in vitro culture

  20. Growth and structure of L1 sub 0 ordered FePt films on GaAs(001)

    CERN Document Server

    Nefedov, A; Theis-Broehl, K; Zabel, H; Doi, M; Schuster, E; Keune, W

    2002-01-01

    The structural properties of epitaxial L1 sub 0 ordered FePt(001) films, grown by molecular beam epitaxy (alternating deposition of Fe and Pt atomic layers) on buffer-Pt/seed-Fe/GaAs(001) have been studied by in situ reflection high-energy electron diffraction and by ex situ x-ray scattering as a function of the growth conditions. Reflection high-energy electron diffraction intensity oscillations measured during FePt layer growth provide evidence for island growth at T sub s = 200 deg. C and quasi layer-by-layer growth at T sub s = 350 deg. C. From small-angle and wide-angle x-ray scattering it was found that the degree of epitaxy depends critically on morphology of the seed layer and the substrate roughness. X-ray diffraction analysis showed that the long-range order parameter increases from near zero for films grown at 200 deg. C to 0.65 for films grown at 350 deg. C. This confirms the fact that the order parameter is mainly determined by the surface mobility of the atoms which is controlled experimentally ...

  1. Low-temperature growth of high quality AlN films on carbon face 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Myunghee [Department of General Systems Studies, The University of Tokyo, 3-8-1 Komaba, Meguro-ku, Tokyo 153-8902 (Japan); Ohta, Jitsuo; Fujioka, Hiroshi [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Tokyo 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Kawasaki 213-0012 (Japan); Kobayashi, Atsushi [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Tokyo 153-8505 (Japan); Oshima, Masaharu [Department of General Systems Studies, The University of Tokyo, 3-8-1 Komaba, Meguro-ku, Tokyo 153-8902 (Japan); Department of Applied Chemistry, The University of Tokyo, 4-3-1 Hongo, Tokyo 113-8656 (Japan); Core Research for Evolutional Science and Technology (CREST), Japan Science and Technology Agency (JST), Chiyoda-ku, Tokyo 102-0075 (Japan)

    2008-01-15

    AlN films have been grown on atomically flat carbon face 6H-SiC (000 anti 1) substrates by pulsed laser deposition and their structural properties have been investigated. In-situ reflection high-energy electron diffraction observations have revealed that growth of AlN at 710 C proceeds in a Stranski-Krastanov mode, while typical layer-by-layer growth occurs at room temperature (RT) with atomically flat surfaces. It has been revealed that the crystalline quality of the AlN film is dramatically improved by the reduction in growth temperature down to RT and the full width at half maximum values in the X-ray rocking curves for 0004 and 10 anti 12 diffractions of the RT-grown AlN film are 0.05 and 0.07 , respectively. X-ray reciprocal space mapping has revealed that the introduction of misfit dislocations is suppressed in the case of RT growth, which is probably responsible for the improvement in crystalline quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Epitaxial growth of fcc-CoxNi100-x thin films on MgO(110) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Sato, Yoichi; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    Co x Ni 100-x (x=100, 80, 20, 0 at. %) epitaxial thin films were prepared on MgO(110) single-crystal substrates heated at 300 deg. C by ultrahigh vacuum molecular beam epitaxy. The growth mechanism is discussed based on lattice strain and crystallographic defects. CoNi(110) single-crystal films with a fcc structure are obtained for all compositions. Co x Ni 100-x film growth follows the Volmer-Weber mode. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the Co x Ni 100-x films are in agreement within ±0.5% with the values of the respective bulk Co x Ni 100-x crystals, suggesting that the strain in the film is very small. High-resolution cross-sectional transmission microscopy shows that an atomically sharp boundary is formed between a Co(110) fcc film and a MgO(110) substrate, where periodical misfit dislocations are preferentially introduced in the film at the Co/MgO interface. The presence of such periodical misfit dislocations relieves the strain caused by the lattice mismatch between the film and the substrate.

  3. Oxidation phase growth diagram of vanadium oxides film fabricated by rapid thermal annealing

    Institute of Scientific and Technical Information of China (English)

    Tamura KOZO; Zheng-cao LI; Yu-quan WANG; Jie NI; Yin HU; Zheng-jun ZHANG

    2009-01-01

    Thermal evaporation deposited vanadium oxide films were annealed in air by rapid thermal annealing (RTP). By adjusting the annealing temperature and time, a series of vanadium oxide films with various oxidation phases and surface morphologies were fabricated, and an oxidation phase growth diagram was established. It was observed that different oxidation phases appear at a limited and continuous annealing condition range, and the morphologic changes are related to the oxidation process.

  4. Improved interface growth and enhanced flux pinning in YBCO films deposited on an advanced IBAD-MgO based template

    Science.gov (United States)

    Khan, M. Z.; Zhao, Y.; Wu, X.; Malmivirta, M.; Huhtinen, H.; Paturi, P.

    2018-02-01

    The growth mechanism is studied from the flux pinning point of view in small-scale YBa2Cu3O6+x (YBCO) thin films deposited on a polycrystalline hastelloy with advanced IBAD-MgO based buffer layer architecture. When compared the situation with YBCO films grown on single crystal substrates, the most critical issues that affect the suitable defect formation and thus the optimal vortex pinning landscape, have been studied as a function of the growth temperature and the film thickness evolution. We can conclude that the best critical current property in a wide applied magnetic field range is observed in films grown at relatively low temperature and having intermediate thickness. These phenomena are linked to the combination of the improved interface growth, to the film thickness related crystalline relaxation and to the formation of linear array of edge dislocations that forms the low-angle grain boundaries through the entire film thickness and thus improve the vortex pinning properties. Hence, the optimized buffer layer structure proved to be particularly suitable for new coated conductor solutions.

  5. Effects of Sb-doping on the grain growth of Cu(In, Ga)Se2 thin films fabricated by means of single-target sputtering

    International Nuclear Information System (INIS)

    Zhang, Shu; Wu, Lu; Yue, Ruoyu; Yan, Zongkai; Zhan, Haoran; Xiang, Yong

    2013-01-01

    To investigate the effects of Sb doping on the kinetics of grain growth in Cu(In,Ga)Se 2 (CIGS) thin films during annealing, CIGS thin films were sputtered onto Mo coated substrates from a single CIGS alloy target, followed by chemical bath deposition of Sb 2 S 3 thin layers on top of CIGS layers and subsequent annealing at different temperatures for 30 min in Se vapors. X-ray diffraction results showed that CIGS thin films were obtained directly using the single-target sputtering method. After annealing, the In/Ga ratio in Sb-doped CIGS thin films remained stable compared to undoped film, possibly because Sb can promote the incorporation of Ga into CIGS. The grain growth in CIGS thin films was enhanced after Sb doping, exhibiting significantly larger grains after annealing at 400 °C or 450 °C compared to films without Sb. In particular, the effect was strikingly significant in grain growth across the film thickness, resulting in columnar grain structure in Sb-doped films. This grain growth improvement may be led by the diffusion of Sb from the front surface to the CIGS-Mo back interface, which promoted the mass transport process in CIGS thin films. - Highlights: ► Cu(In,Ga)Se 2 (CIGS) thin films made by sputtering from a single CIGS target. ► Chemical bath deposition used to introduce antimony into CIGS absorber layers. ► In/Ga ratio decreases in Sb-doped annealed films, comparatively to undoped films. ► Sb-doped CIGS films are superior to undoped films in terms of grain-growth kinetics

  6. Construction of Zn-incorporated multilayer films to promote osteoblasts growth and reduce bacterial adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Peng, E-mail: liupeng79@cqu.edu.cn [Key Laboratory of Biorheological Science and Technology of Ministry of Education, College of Bioengineering, Chongqing University, Chongqing 400044 (China); State Key Laboratory of Molecular Engineering of Polymers, Department of Macromolecular Science, Fudan University, Shanghai 200433 (China); Zhao, Yongchun; Yuan, Zhang [Key Laboratory of Biorheological Science and Technology of Ministry of Education, College of Bioengineering, Chongqing University, Chongqing 400044 (China); Ding, Hongyan [Jiangsu Provincial Key Laboratory for Interventional Medical Devices, Huaiyin Institute of Technology, Huaian, Jiangsu Province 223003 (China); Hu, Yan; Yang, Weihu [Key Laboratory of Biorheological Science and Technology of Ministry of Education, College of Bioengineering, Chongqing University, Chongqing 400044 (China); Cai, Kaiyong, E-mail: kaiyong_cai@cqu.edu.cn [Key Laboratory of Biorheological Science and Technology of Ministry of Education, College of Bioengineering, Chongqing University, Chongqing 400044 (China)

    2017-06-01

    To improve the biological performance of titanium substrates, a bioactive multilayered structure of chitosan/gelatin pair, containing zinc ions, was constructed via a layer-by-layer self-assembly technique. The successful preparation of zinc ions incorporated multilayer films was demonstrated by scanning electron microscopy, X-ray photoelectron spectroscopy, and contact angle measurements, respectively. The biological behaviors of osteoblasts adhered to modified Ti substrates were investigated in vitro via cytoskeleton observation, cell viability measurement, and alkaline phosphatase activity assay. The cytocompatibility evaluation verified that the present system was capable of promoting the growth of osteoblasts. In addition, Gram-positive (Staphylococcus aureus) and Gram-negative (Escherichia coli) bacteria were used to evaluate antibacterial property of modified Ti substrates. Bacterial adhesion and viability assay confirmed that Zn-loaded multilayer films were able to inhibit the adhesion and growth of bacteria. The approach presented here affords an alternative to reduce bacterial infection and promote osteoblast growth for titanium-based implants. - Highlights: • Polyelectrolyte multilayer films containing Zn ions were fabricated on Ti substrate. • Modified Ti substrate stimulated the biological responses of osteoblast. • Antibacterial property of Ti substrate was significantly improved. • The resulting material thus has potential application in orthopedic field.

  7. In situ X-ray synchrotron study of organic semiconductor ultra-thin films growth

    International Nuclear Information System (INIS)

    Moulin, J.-F.; Dinelli, F.; Massi, M.; Albonetti, C.; Kshirsagar, R.; Biscarini, F.

    2006-01-01

    In this work we present an X-ray diffraction study of the early stages of growth of an organic semiconductor (sexithiophene, T 6 ) thin film prepared by high vacuum sublimation. Specular reflectometry and grazing incidence X-ray diffraction were used to monitor the formation of T 6 films on silicon oxide. Our results show that T 6 grows as a crystalline layer from the beginning of the evaporation. The reflectometry analysis suggests that, in the range of rates and temperatures studied, the growth is never layer by layer but rather 3D in nature. In-plane GIXD has allowed us to observe for the first time a thin film phase of T 6 formed of molecules standing normal to the substrate and arranged in a compressed unit cell with respect to the bulk, i.e. the unit cell parameters b and c are relatively smaller. We have followed the dynamics of formation of this new phase and identified the threshold of appearance of the bulk phase, which occurs above ∼5-6 monolayers. These results are relevant to the problem of organic thin film transistors, for which we have previously demonstrated experimentally that only the first two monolayers of T 6 films are involved in the electrical transport. The layers above the second one do not effectively contribute to charge mobility, either because they are more 'disordered' or because of a screening of the gate field

  8. Preferential growth of short aligned, metallic-rich single-walled carbon nanotubes from perpendicular layered double hydroxide film.

    Science.gov (United States)

    Zhao, Meng-Qiang; Tian, Gui-Li; Zhang, Qiang; Huang, Jia-Qi; Nie, Jing-Qi; Wei, Fei

    2012-04-07

    Direct bulk growth of single-walled carbon nanotubes (SWCNTs) with required properties, such as diameter, length, and chirality, is the first step to realize their advanced applications in electrical and optical devices, transparent conductive films, and high-performance field-effect transistors. Preferential growth of short aligned, metallic-rich SWCNTs is a great challenge to the carbon nanotube community. We report the bulk preferential growth of short aligned SWCNTs from perpendicular Mo-containing FeMgAl layered double hydroxide (LDH) film by a facile thermal chemical vapor deposition with CH(4) as carbon source. The growth of the short aligned SWCNTs showed a decreased growth velocity with an initial value of 1.9 nm s(-1). Such a low growth velocity made it possible to get aligned SWCNTs shorter than 1 μm with a growth duration less than 15 min. Raman spectra with different excitation wavelengths indicated that the as-grown short aligned SWCNTs showed high selectivity of metallic SWCNTs. Various kinds of materials, such as mica, quartz, Cu foil, and carbon fiber, can serve as the substrates for the growth of perpendicular FeMoMgAl LDH films and also the growth of the short aligned SWCNTs subsequently. These findings highlight the easy route for bulk preferential growth of aligned metallic-rich SWCNTs with well defined length for further bulk characterization and applications. This journal is © The Royal Society of Chemistry 2012

  9. STM and x-ray diffraction temperature-dependent growth study of SrRuO{sub 3} PLD thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hawley, M.E.; Jia, Q.X.; Brown, G.W.

    1996-12-31

    SrRuO{sub 3} (SRO) has recently found a number of applications in different fields, e.g. as a buffer layer for the growth of high temperature superconductor (HTS) YBa{sub 2}Cu{sub 3}O{sub 7-x} films and as a bottom electrode for ferroelectric or high dielectric constant thin film capacitors and nonvolatile data storage. The growth of high crystallinity SRO films with good structural and electrical properties is the prerequisite for each of these applications. In this paper we describe the affect of one growth parameters temperature (T), on the crystalline quality, epitaxial substrate relationship and resulting electrical properties. SRO films were deposited on LaAlO{sub 3} single crystal substrates by pulsed laser deposition at substrate temperatures (T{sub s}) ranging from room temperature (RT) up to 800{degrees}C with a nominal film thickness of 150 nm range. The resulting films were characterized by x-ray diffraction, 4-point transport, and STM. The films` microstructures, as revealed by STM, evolved from polygranular at RT to a layered plate-like structure at higher deposition temperatures, T{sub s}, Increasing T{sub s} was marked first by increasing grain size, then a stronger orientational relationship between film and substrate, finally followed by the development of increased connectivity between grains to an extended island or condensed layered state. The transition from polygranular to layered structure occurred at T{sub s} > 650{degrees}C. Increased conductivity paralleled the changes in microstructure. The surfaces of all of the films were relatively smooth; the oriented films are suitable for use as conductive templates in multilayer structures.

  10. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  11. Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Anderson, Virginia R. [American Society for Engineering Education, 1818 N Street NW, Washington, DC 20036; Johnson, Scooter D. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Downey, Brian P. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Meyer, David J. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; DeMasi, Alexander [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Robinson, Zachary R. [Department of Physics, SUNY College at Brockport, 350 New Campus Dr, Brockport, New York 14420; Ludwig, Karl F. [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Eddy, Charles R. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375

    2017-03-13

    The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities due to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful method to

  12. Atomic control of substrate termination and heteroepitaxial growth of SrTiO sub 3 /LaAlO sub 3 films

    CERN Document Server

    Kim, D W; Choi, C; Lim, K D; Noh, T W; Lee, D R; Park, J H; Lee, K B

    2000-01-01

    The roles of substrate termination in the growth behaviors of SrTiO sub 3 (STO) films were investigated. With heat treatment and an atomic layer deposition technique, LaAlO sub 3 (LAO) substrates with two kinds of terminations, i.e., LaO- and AlO sub 2 -terminated ones, could be prepared. On top of them STO films were grown by using laser molecular beam epitaxy. In the case of the STO/LaO-LAO film, a transition from layer-by-layer growth to island growth was observed after growth of about 10 monolayers (ML). On the other hand, the STO/AlO sub 2 -LAO film could be grown in a layer-by-layer mode with a flat surface up to 40 ML. We suggest that defects induced by charge compensation influence the strain states and the physical properties of oxide heterostructures significantly.

  13. Models of WO x films growth during pulsed laser deposition at elevated pressures of reactive gas

    Science.gov (United States)

    Gnedovets, A. G.; Fominski, V. Y.; Nevolin, V. N.; Romanov, R. I.; Fominski, D. V.; Soloviev, A. A.

    2017-12-01

    The films of tungsten oxides were prepared by pulsed laser ablation of W target in a reactive gas atmosphere (air of laboratory humidity). Optical analysis and ion signal measurements for the laser plume allowed to recognise a threshold gas pressure that suppresses the deposition of non-scattered atomic flux from the plume. When the pressure exceeds about 40 Pa, the films grow due to the deposition of species that could be formed in collisions of W atoms with reactive molecules (e.g., O2). Kinetic Monte Carlo method was used for modelling film growth. Comparison of the model structures with the experimentally prepared films has shown that the growth mechanism of ballistic deposition at a pressure of 40 Pa could be changed on the diffusion limited aggregation at a pressure of ~100 Pa. Thus, a cauliflower structure of the film transformed to a web-like structure. For good correlation of experimental and model structures of WO x , a dimension of structural elements in the model should coincide with W-O cluster size.

  14. Growth dependent magnetization reversal in Co2MnAl full Heusler alloy thin films

    Science.gov (United States)

    Barwal, Vineet; Husain, Sajid; Behera, Nilamani; Goyat, Ekta; Chaudhary, Sujeet

    2018-02-01

    Angular dependent magnetization reversal has been investigated in Co2MnAl (CMA) full Heusler alloy thin films grown on Si(100) at different growth temperatures (Ts) by DC-magnetron sputtering. An M -shaped curve is observed in the in-plane angular (0°-360°) dependent coercivity (ADC) by magneto-optical Kerr effect measurements. The dependence of the magnetization reversal on Ts is investigated in detail to bring out the structure-property correlation with regards to ADC in these polycrystalline CMA thin films. This magnetization reversal ( M -shaped ADC behavior) is well described by the two-phase model, which is a combination of Kondorsky (domain wall motion) and Stoner Wohlfarth (coherent rotation) models. In this model, magnetization reversal starts with depinning of domain walls, with their gradual displacement explained by the Kondorsky model, and at a higher field (when the domain walls merge), the system follows coherent rotation before reaching its saturation following the Stoner Wohlfarth model. Further, the analysis of angular dependent squareness ratio (Mr/Ms) indicates that our films clearly exhibited twofold uniaxial anisotropy, which is related to self-steering effect arising due to the obliquely incident flux during the film-growth.

  15. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  16. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  17. Wafer bowing control of free-standing heteroepitaxial diamond (100) films grown on Ir(100) substrates via patterned nucleation growth

    International Nuclear Information System (INIS)

    Yoshikawa, Taro; Kodama, Hideyuki; Kono, Shozo; Suzuki, Kazuhiro; Sawabe, Atsuhito

    2015-01-01

    The potential of patterned nucleation growth (PNG) technique to control the wafer bowing of free-standing heteroepitaxial diamond films was investigated. The heteroepitaxial diamond (100) films were grown on an Ir(100) substrate via PNG technique with different patterns of nucleation regions (NRs), which were dot-arrays with 8 or 13 μm pitch aligned to < 100 > or < 110 > direction of the Ir(100) substrate. The wafer bows and the local stress distributions of the free-standing films were measured using a confocal micro-Raman spectrometer. For each NR pattern, the stress evolutions within the early stage of diamond growth were also studied together with a scanning electron microscopic observation of the coalescing diamond particles. These investigations revealed that the NR pattern, in terms of pitch and direction of dot-array, strongly affects the compressive stress on the nucleation side of the diamond film and dominantly contributes to the elastic deformation of the free-standing film. This indicates that the PNG technique with an appropriate NR pattern is a promising solution to fabricate free-standing heteroepitaxial diamond films with extremely small bows. - Highlights: • Wafer bowing control of free-standing heteroepitaxial diamond (100) films • Effect of patterned nucleation and growth (PNG) technique on wafer bowing reduction • Influence of nucleation region patterns of PNG on wafer bowing • Internal stress analysis of PNG films via confocal micro-Raman spectroscopy

  18. Growth of C60 thin films on Al2O3/NiAl(100) at early stages

    Science.gov (United States)

    Hsu, S.-C.; Liao, C.-H.; Hung, T.-C.; Wu, Y.-C.; Lai, Y.-L.; Hsu, Y.-J.; Luo, M.-F.

    2018-03-01

    The growth of thin films of C60 on Al2O3/NiAl(100) at the earliest stage was studied with scanning tunneling microscopy and synchrotron-based photoelectron spectroscopy under ultrahigh-vacuum conditions. C60 molecules, deposited from the vapor onto an ordered thin film of Al2O3/NiAl(100) at 300 K, nucleated into nanoscale rectangular islands, with their longer sides parallel to direction either [010] or [001] of NiAl. The particular island shape resulted because C60 diffused rapidly, and adsorbed and nucleated preferentially on the protrusion stripes of the crystalline Al2O3 surface. The monolayer C60 film exhibited linear protrusions of height 1-3 Å, due to either the structure of the underlying Al2O3 or the lattice mismatch at the boundaries of the coalescing C60 islands; such protrusions governed also the growth of the second layer. The second layer of the C60 film grew only for a C60 coverage >0.60 ML, implying a layer-by-layer growth mode, and also ripened in rectangular shapes. The thin film of C60 was thermally stable up to 400 K; above 500 K, the C60 islands dissociated and most C60 desorbed.

  19. Growth mechanisms and thickness effect on the properties of Al-doped ZnO thin films grown on polymeric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Koidis, Christos; Logothetidis, Stergios; Kassavetis, Spiridon; Laskarakis, Argiris [Lab for Thin Films-Nanosystems and Nanometrology (LTFN), Physics Department, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece); Hastas, Nikolaos A.; Valassiades, Odisseas [Solid State Section, Physics Department, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2010-07-15

    The properties of Al-doped ZnO (AZO) thin films deposited by pulsed DC magnetron sputtering under various target power on polyethylene terephthalate (PET) substrates have been investigated. In situ and real-time spectroscopic ellipsometry (1.5-6.5 eV) has been employed to study the optical properties of the AZO films as well as the growth mechanisms taking place. With X-ray diffraction technique under grazing-incidence geometry, the structural characteristics profiles of the AZO films have been depicted. Nanoindentation measurements revealed information about the mechanical properties of the films and have been correlated to the conductivity measurements towards growth insights understanding. As results have shown, the increase of target power led to the increase of the carrier density as well as the hardness of the AZO films possibly both ascribed to dislocations induced. The stress during the deposition of AZO film under high target power favoured the island growth which is possibly both connected to the formation of defects as electron traps and dislocations as electron sources. Finally, the increase of AZO film thickness led to the increase of the resistivity possibly due to the enrichment of grain boundaries with defects as electron traps. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  20. The effect of chitosan and whey proteins-chitosan films on the growth of Penicillium expansum in apples.

    Science.gov (United States)

    Simonaitiene, Dovile; Brink, Ieva; Sipailiene, Ausra; Leskauskaite, Daiva

    2015-05-01

    Penicillium expansum causes a major post-harvest disease of apples. The aim of this study was to investigate the inhibition effect of chitosan and whey proteins-chitosan films containing different amounts of quince and cranberry juice against P. expansum on the simulation medium and on apples. The mechanical properties of films were also evaluated. The presence of cranberry and quince juice in the composition of chitosan and whey proteins-chitosan films caused a significant (P ≤ 0.05) increase in elasticity and decrease in tensile strength of films. Chitosan and whey proteins-chitosan films with quince and cranberry juice demonstrated a significant (P ≤ 0.05) inhibition effect against P. expansum growth on the simulated medium and apples. The presence of cranberry juice in the composition of chitosan and whey proteins-chitosan films resulted in a longer lag phase and a lower P. expansum growth rate on the simulation medium in comparison with films made with the addition of quince juice. These differences were not evident when experiment was conducted with apples. Addition of quince and cranberry juice to the chitosan and whey proteins-chitosan films as natural antifungal agents has some potential for prolonging the shelf life of apples. © 2014 Society of Chemical Industry.

  1. Investigating the crystal growth behavior of biodegradable polymer blend thin films using in situ atomic force microscopy

    CSIR Research Space (South Africa)

    Malwela, T

    2014-01-01

    Full Text Available This article reports the crystal growth behavior of biodegradable polylactide (PLA)/poly[(butylene succinate)-co-adipate] (PBSA) blend thin films using atomic force microscopy (AFM). Currently, polymer thin films have received increased research...

  2. Metal thin film growth on multimetallic surfaces: From quaternary metallic glass to binary crystal

    Energy Technology Data Exchange (ETDEWEB)

    Jing, Dapeng [Iowa State Univ., Ames, IA (United States)

    2010-01-01

    The work presented in this thesis mainly focuses on the nucleation and growth of metal thin films on multimetallic surfaces. First, we have investigated the Ag film growth on a bulk metallic glass surface. Next, we have examined the coarsening and decay of bilayer Ag islands on NiAl(110) surface. Third, we have investigated the Ag film growth on NiAl(110) surface using low-energy electron diffraction (LEED). At last, we have reported our investigation on the epitaxial growth of Ni on NiAl(110) surface. Some general conclusions can be drawn as follows. First, Ag, a bulk-crystalline material, initially forms a disordered wetting layer up to 4-5 monolayers on Zr-Ni-Cu-Al metallic glass. Above this coverage, crystalline 3D clusters grow, in parallel with the flatter regions. The cluster density increases with decreasing temperature, indicating that the conditions of island nucleation are far-from-equilibrium. Within a simple model where clusters nucleate whenever two mobile Ag adatoms meet, the temperature-dependence of cluster density yields a (reasonable) upper limit for the value of the Ag diffusion barrier on top of the Ag wetting layer of 0.32 eV. Overall, this prototypical study suggests that it is possible to grow films of a bulk-crystalline metal that adopt the amorphous character of a glassy metal substrate, if film thickness is sufficiently low. Next, the first study of coarsening and decay of bilayer islands has been presented. The system was Ag on NiAl(110) in the temperature range from 185 K to 250 K. The coarsening behavior, has some similarities to that seen in the Ag(110) homoepitaxial system studied by Morgenstern and co-workers. At 185 K and 205 K, coarsening of Ag islands follows a Smoluchowski ripening pathway. At 205 K and 250 K, the terrace diffusion limited Ostwald ripening dominants. The experimental observed temperature for the transition from SR to OR is 205 K. The SR exhibits anisotropic island diffusion and the OR exhibits 1D decay of island

  3. Growth, structural, optical and electrical study of ZnS thin films deposited by solution growth technique (SGT)

    International Nuclear Information System (INIS)

    Sadekar, H.K.; Deshpande, N.G.; Gudage, Y.G.; Ghosh, A.; Chavhan, S.D.; Gosavi, S.R.; Sharma, Ramphal

    2008-01-01

    ZnS thin films have been deposited onto glass substrates at temperature 90 deg. C by solution growth technique (SGT). The deposition parameters were optimized. Triethanolamine (TEA) was used as a complexing agent for uniform deposition of the thin films. The elemental composition of the film was confirmed by energy dispersive analysis by X-ray (EDAX) technique. Structure and surface morphology of as-deposited films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM), atomic force microscopy (AFM), respectively. XRD patterns reveal that as-deposited thin films were amorphous in nature; while the obtained precipitate powder was polycrystalline in nature. SEM results revealed that deposited ZnS material has ∼120 ± 20 nm average grain size and the spherical grains are distributed over the entire glass substrate. Low surface roughness was found to be 2.7 nm from AFM studies. Transmission spectra indicate a high transmission coefficient (∼75%) with direct band gap energy equal to 3.72 eV while indirect band gap was found to be 3.45 eV. A photoluminescence (PL) study of the ZnS at room temperature (300 K) indicates a strong luminescence band at energy 2.02 eV

  4. Centimetre-scale micropore alignment in oriented polycrystalline metal-organic framework films via heteroepitaxial growth.

    Science.gov (United States)

    Falcaro, Paolo; Okada, Kenji; Hara, Takaaki; Ikigaki, Ken; Tokudome, Yasuaki; Thornton, Aaron W; Hill, Anita J; Williams, Timothy; Doonan, Christian; Takahashi, Masahide

    2017-03-01

    The fabrication of oriented, crystalline films of metal-organic frameworks (MOFs) is a critical step toward their application to advanced technologies such as optics, microelectronics, microfluidics and sensing. However, the direct synthesis of MOF films with controlled crystalline orientation remains a significant challenge. Here we report a one-step approach, carried out under mild conditions, that exploits heteroepitaxial growth for the rapid fabrication of oriented polycrystalline MOF films on the centimetre scale. Our methodology employs crystalline copper hydroxide as a substrate and yields MOF films with oriented pore channels on scales that primarily depend on the dimensions of the substrate. To demonstrate that an anisotropic crystalline morphology can translate to a functional property, we assembled a centimetre-scale MOF film in the presence of a dye and showed that the optical response could be switched 'ON' or 'OFF' by simply rotating the film.

  5. Direct observation of atomic-level nucleation and growth processes from an ultrathin metallic glass films

    Energy Technology Data Exchange (ETDEWEB)

    Huang, K. Q.; Cao, C. R.; Sun, Y. T.; Li, J.; Bai, H. Y.; Zheng, D. N., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn; Wang, W. H., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn [Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Gu, L., E-mail: l.gu@iphy.ac.cn, E-mail: dzheng@iphy.ac.cn, E-mail: whw@iphy.ac.cn [Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-01-07

    Till date, there have been no direct atomic-level experimental observations of the earliest stages of the nucleation and growth processes of nanocrystals formed by thermally induced crystallization in ultrathin metallic glasses (MGs). Here, we present a study of the crystallization process in atomically thin and highly stable MG films using double spherical aberration-corrected scanning transmission electron microscopy (Cs-TEM). Taking advantage of the stability of MG films with a slow crystallization process and the atomic-level high resolution of Cs-TEM, we observe the formation of the nucleus precursor of nanocrystals formed by atom aggregation followed by concomitant coalescence and stepwise evolution of the shape of the nanocrystals with a monodispersed and separated bimodal size distribution. Molecular dynamics simulation of the atomic motion in the glass film on a rigid amorphous substrate confirms the stepwise evolution processes of atom aggregation, cluster formation, cluster movement on the substrate, and cluster coalescence into larger crystalline particles. Our results might provide a better fundamental understanding of the nucleation and growth processes of nanocrystals in thin MG films.

  6. Surface characterization of selected polymer thin films by total-reflection x-ray fluorescence spectroscopy and x-ray reflectivity

    International Nuclear Information System (INIS)

    Innis, Vallerie Ann A.

    2006-01-01

    Development of available x-ray characterizations tools for grazing incidence techniques was done to be able to probe nano-size thin films. Alignment of a Philips x-ray powder diffractometer was improved to let it perform as an x-ray reflectometer. X-ray reflectometry was coupled with total-reflection x-ray fluorescence spectroscopy. Evaluation of the performance of this grazing incidence techniques was done by preparing polymer thin films of carboxymethylcellulose, carrageenan and polyvinylpyrrolidone (PVP). The thickness of the films were varied by varying the process parameters such as concentration, spin speed and spin time. Angle-dispersive total-reflection x-ray fluorescence spectroscopy profiles of three films showed film formation only in carrageenan and PVP. For both carrageenan and PVP, an increase in concentration yielded a corresponding increase in intensity of the fluorescent or scattered peaks. XRR profiles of carrageenan thin films yielded a mean value for the critical angle close to quartz substrate. Thickness measurements of the prepared carrageenan thin films showed that concentration was the main determinant for final film thickness over the other process parameters. Sulfur fluorescent intensity derived from the TXRF measurement showed a linear relationship with the measured thickness by XRR. For PVP, measured critical angle is lower than quartz. Poor adhesion of the polymer onto the substrate yielded a limited number of thickness measurements made from the XRR profiles. (Author)

  7. Growth and thermal oxidation of Ru and ZrO2 thin films as oxidation protective layers

    NARCIS (Netherlands)

    Coloma Ribera, R.

    2017-01-01

    This thesis focuses on the study of physical and chemical processes occurring during growth and thermal oxidation of Ru and ZrO2 thin films. Acting as oxidation resistant capping materials to prevent oxidation of layers underneath, these films have several applications, i.e., in microelectronics

  8. Texture of the nano-crystalline AlN thin films and the growth conditions in DC magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Shakil Khan

    2015-08-01

    Full Text Available DC reactive magnetron sputtering technique has been used for the preparation of AlN thin films. The deposition temperature and the flow ratio of N2/Ar were varied and subsequent dependency of the films crystallites orientation/texture has been addressed. In general, deposited films were found hexagonal polycrystalline with a (002 preferred orientation. The X-ray diffraction (XRD data revealed that the film crystallinity improves, with the increase of substrate temperature from 300 °C to 500 °C. The dropped in full width half maximum (FWHM of the XRD rocking curve value further confirmed it. However, increasing substrate temperature above 500 °C or reducing the nitrogen condition (from 60 to 30% in the environment induced the growth of crystallites with (102 and (103 orientations. The rise of rocking curve FWHM for the corresponding conditions depicted that the films texture quality deteriorated. A further confirmation of the variation in film texture/orentation with the growth conditions has been obtained from the variation in FWHM values of a dominant E1 (TO mode in the Fourier transform infrared (FTIR spectra and the E2 (high mode in Raman spectra. We have correlated the columnar structure in AFM surface analyses with the (002 or c-axis orientation as well. Spectroscopic ellipsometry of the samples have shown a higher refractive index at 500 °C growth temperature.

  9. Influence of substrate temperature, growth rate and TCO substrate on the properties of CSS deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Schaffner, J., E-mail: jschaffner@surface.tu-darmstadt.de; Feldmeier, E.; Swirschuk, A.; Schimper, H.-J.; Klein, A.; Jaegermann, W.

    2011-08-31

    The growth of CdS thin films by close space sublimation (CSS) has been systematically studied using an ultra-high vacuum system known as DAISY-SOL in order to understand the basic growth mechanisms and their impact on the film properties. Substrate temperature and deposition rate were varied, and the surface properties of the CdS layer were determined by photoelectron spectroscopy (XPS) without breaking the vacuum. To analyze the influence of the deposition conditions on the layer morphology and crystallographic structure, the films were further characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The SEM and AFM studies show a correlation between the deposition rate and the film morphology. For high deposition rates, edged grain shapes and smoother surfaces were observed than for low deposition rates. CdS films were deposited onto two different commercially available fluorine-doped tin oxide (FTO) substrates. XRD studies show that a high <200> texture of the FTO substrate prefers the CdS growth in <0001> orientation of the hexagonal crystal modification.

  10. Effect of growth interruption on the crystalline quality and electrical properties of Ga-doped ZnO thin film deposited on quartz substrate by magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Geun-Hyoung

    2013-01-01

    Ga-doped ZnO(GZO) thin films were deposited on the quartz substrate by magnetron sputtering system with growth interruption technique. As the number of interruptions and interruption time increased, the carrier concentration and Hall mobility in GZO films significantly increased. As a result, the resistivity of GZO films decreased. The optical transmittance of GZO films also increased with the number of interruption and interruption time. The transmittance showed over 90% in visual region. Atomic force microscopy measurement showed that the film surface became smoother with an increase of the number of interruption. In addition, the crystalline quality and electrical properties of GZO films were more improved when the growth interruption was employed with a temperature gradient. - Highlights: • Ga-doped ZnO thin films were deposited with growth interruption technique. • The crystallinity of the films was improved with the number of interruptions. • The crystallinity of the films was improved as the interruption time increased. • The growth interruption with a temperature gradient more improved the film quality

  11. Observation of feature ripening inversion effect at the percolation threshold for the growth of thin silver films

    Energy Technology Data Exchange (ETDEWEB)

    Nehm, Frederik, E-mail: frederik.nehm@iapp.de; Schubert, Sylvio; Müller-Meskamp, Lars; Leo, Karl

    2014-04-01

    The growth behavior of thin silver films on organic layers is investigated during deposition by means of simultaneous in-situ monitoring of sheet resistance and transmittance. Thermally evaporated films up to 11 nm show a distinct percolation behavior with strong resistance drop at the percolation thickness. Additionally, evaporations are divided into a sequence of one nanometer steps. In the deposition breaks, the films exhibit a ripening effect with an inversion at the percolation thickness, by changing from an increasing to decreasing sheet resistance over time. Scanning electron micrographs suggest same ripening mechanisms for islands below the percolation thickness as for holes above. - Highlights: • Fundamental understanding of metal thin film growth is presented. • Optical and electrical in-situ measurements used for optimizing transparent electrodes • Stepwise Ag deposition reveals extraordinary ripening effects. • Feature ripening inversion is discovered at the percolation threshold.

  12. Observation of feature ripening inversion effect at the percolation threshold for the growth of thin silver films

    International Nuclear Information System (INIS)

    Nehm, Frederik; Schubert, Sylvio; Müller-Meskamp, Lars; Leo, Karl

    2014-01-01

    The growth behavior of thin silver films on organic layers is investigated during deposition by means of simultaneous in-situ monitoring of sheet resistance and transmittance. Thermally evaporated films up to 11 nm show a distinct percolation behavior with strong resistance drop at the percolation thickness. Additionally, evaporations are divided into a sequence of one nanometer steps. In the deposition breaks, the films exhibit a ripening effect with an inversion at the percolation thickness, by changing from an increasing to decreasing sheet resistance over time. Scanning electron micrographs suggest same ripening mechanisms for islands below the percolation thickness as for holes above. - Highlights: • Fundamental understanding of metal thin film growth is presented. • Optical and electrical in-situ measurements used for optimizing transparent electrodes • Stepwise Ag deposition reveals extraordinary ripening effects. • Feature ripening inversion is discovered at the percolation threshold

  13. Diffusivity, solubility and thermodynamic modelling of diffusion growth of Ga"3"+-doped LiTaO_3 thin film for integrated optics

    International Nuclear Information System (INIS)

    Zhang, De-Long; Zhang, Qun; Zhang, Pei; Kang, Jian; Wong, Wing-Han; Yu, Dao-Yin

    2016-01-01

    Graphical abstract: Diffusion growth of Ga"3"+-doped LiTaO_3(LT) thin film was studied thermodynamically. Some Ga"3"+-doped LT thin films were grown on LT surface by in-diffusion of homogeneously coated Ga_2O_3 film at the temperature range of (1273 to 1473) K. The Ga"3"+ profile in the grown thin film was analyzed by secondary ion mass spectrometry. Form the measured Ga"3"+ profiles, some thermodynamic parameters were obtained. These include diffusivity, diffusion constant, chemical activation energy, solubility, solubility constant and enthalpy of solution. These parameters are crucial to design and growth of a Ga"3"+-doped LT thin film with desired Ga"3"+ profile for integrated optics application. A thermodynamic model is suggested for the growth and verified experimentally. - Highlights: • Diffusion growth of Ga"3"+-doped LiTaO_3 thin film were studied thermodynamically. • Diffusion constant is 1.41 · 10"−"6 m"2/s and activation energy is 237.2 kJ/mol. • Solubility constant is 22.9 · 10"2"6 ions/m"3 and enthalpy of solution is 28.9 kJ/mol. • Ga"3"+ dopant has small effect on LiTaO_3 refractive index. • Ga"3"+ growth can be described by a Fick-type equation with a constant diffusivity. - Abstract: A thermodynamic study was performed on diffusion growth of Ga"3"+-doped LiTaO_3(LT) thin film for integrated optics. Some Ga"3"+-doped LT thin films were grown on LT surface by in-diffusion of homogeneously coated Ga_2O_3 film at the temperature range of (1273 to 1473) K. After growth, the refractive indices at Ga"3"+-doped and un-doped surface parts were measured by prism coupling technique and Li composition there was evaluated from the measured refractive indices. The results show that Ga"3"+ dopant has small effect on the LT index. Li_2O out-diffusion is not measurable. The Ga"3"+ profile in the grown thin film was analysed by secondary ion mass spectrometry. It is found that the grown Ga"3"+ ions follow a complementary error function profile. A

  14. EuO and Gd-doped EuO thin films. Epitaxial growth and properties

    International Nuclear Information System (INIS)

    Sutarto, Ronny

    2009-01-01

    quality of many of the doped EuO samples used in the past bulk studies. The focus of this thesis is on the preparation and the properties of high-quality single-crystalline EuO and Gd-doped EuO thin films. The so-called Eu-distillation-assisted molecular beam epitaxy (MBE) has been employed to achieve full control of the stoichiometry. The films have been epitaxially grown on yttria-stabilized cubic zirconia (YSZ) (001) substrates. By a systematic variation of the oxygen deposition rates, we have been able to observe sustained oscillations in the intensity of the reflection high-electron energy diffraction (RHEED) pattern during growth. We thus have demonstrated that layer-by-layer growth has been achieved for the first time. We also have confirmed that YSZ indeed supplies oxygen during the initial stages of growth, yet the EuO stoichiometry can still be well maintained. In the case of Gd-doped EuO films, the presence of Gd even helps to stabilize the layer-by-layer growth mode. It is important to achieve this growth mode, since it enables the preparation of films with very smooth and at surfaces. This in turn facilitates the capping of the films with a thin Al overlayer in order to protect the films against degradation under ambient conditions. More important, the smoothness of the lm will enable the preparation of high quality device structures. By using ex-situ soft x-ray absorption spectroscopy (XAS) at the Eu and Gd M 4,5 edges, we have confirmed that the films are completely free from Eu 3+ contaminants, and we were able to determine reliably the actual Gd concentration. This actual Gd concentration could in fact significantly deviate from the nominal Gd/Eu evaporation ratio. From magnetization and susceptibility measurements, we found the Curie temperature to increase smoothly as a function of doping from 69 K up to a maximum of 125 K, all with a saturation moment of 7 μB. A threshold behavior was not observed for Gd concentrations as low as 0.2 %. Analysis of the

  15. EuO and Gd-doped EuO thin films. Epitaxial growth and properties

    Energy Technology Data Exchange (ETDEWEB)

    Sutarto, Ronny

    2009-07-06

    this respect the quality of many of the doped EuO samples used in the past bulk studies. The focus of this thesis is on the preparation and the properties of high-quality single-crystalline EuO and Gd-doped EuO thin films. The so-called Eu-distillation-assisted molecular beam epitaxy (MBE) has been employed to achieve full control of the stoichiometry. The films have been epitaxially grown on yttria-stabilized cubic zirconia (YSZ) (001) substrates. By a systematic variation of the oxygen deposition rates, we have been able to observe sustained oscillations in the intensity of the reflection high-electron energy diffraction (RHEED) pattern during growth. We thus have demonstrated that layer-by-layer growth has been achieved for the first time. We also have confirmed that YSZ indeed supplies oxygen during the initial stages of growth, yet the EuO stoichiometry can still be well maintained. In the case of Gd-doped EuO films, the presence of Gd even helps to stabilize the layer-by-layer growth mode. It is important to achieve this growth mode, since it enables the preparation of films with very smooth and at surfaces. This in turn facilitates the capping of the films with a thin Al overlayer in order to protect the films against degradation under ambient conditions. More important, the smoothness of the lm will enable the preparation of high quality device structures. By using ex-situ soft x-ray absorption spectroscopy (XAS) at the Eu and Gd M{sub 4,5} edges, we have confirmed that the films are completely free from Eu{sup 3+} contaminants, and we were able to determine reliably the actual Gd concentration. This actual Gd concentration could in fact significantly deviate from the nominal Gd/Eu evaporation ratio. From magnetization and susceptibility measurements, we found the Curie temperature to increase smoothly as a function of doping from 69 K up to a maximum of 125 K, all with a saturation moment of 7 {mu}B. A threshold behavior was not observed for Gd concentrations

  16. Piezoelectric actuated micro-resonators based on the growth of diamond on aluminum nitride thin films

    International Nuclear Information System (INIS)

    Hees, J; Heidrich, N; Pletschen, W; Sah, R E; Wolfer, M; Lebedev, V; Nebel, C E; Ambacher, O; Williams, O A

    2013-01-01

    Unimorph heterostructures based on piezoelectric aluminum nitride (AlN) and diamond thin films are highly desirable for applications in micro- and nanoelectromechanical systems. In this paper, we present a new approach to combine thin conductive boron-doped as well as insulating nanocrystalline diamond (NCD) with sputtered AlN films without the need for any buffer layers between AlN and NCD or polishing steps. The zeta potentials of differently treated nanodiamond (ND) particles in aqueous colloids are adjusted to the zeta potential of AlN in water. Thereby, the nucleation density for the initial growth of diamond on AlN can be varied from very low (10 8 cm −2 ), in the case of hydrogen-treated ND seeding particles, to very high values of 10 11 cm −2 for oxidized ND particles. Our approach yielding high nucleation densities allows the growth of very thin NCD films on AlN with thicknesses as low as 40 nm for applications such as microelectromechanical beam resonators. Fabricated piezo-actuated micro-resonators exhibit enhanced mechanical properties due to the incorporation of boron-doped NCD films. Highly boron-doped NCD thin films which replace the metal top electrode offer Young’s moduli of more than 1000 GPa. (paper)

  17. Growth temperature dependence of flux pinning properties in ErBa2Cu3Oy thin films with nano-rods

    International Nuclear Information System (INIS)

    Haruta, M.; Sueyoshi, T.; Fujiyoshi, T.; Mukaida, M.; Kai, H.; Matsumoto, K.; Mele, P.; Maeda, T.; Horii, S.

    2011-01-01

    Nano-rods were introduced into ErBa 2 Cu 3 O y thin films to improve J c . Pinning properties depended on the growth temperature of the films. Morphology of nano-rods was affected by the growth temperature. The growth temperature is important to achieve high in-field J c 's. Irreversibility lines and distributions of local critical current density (J cl ) based on the percolation transition model were affected by the growth temperature (T s ) in 3.5 wt.%-BaNb 2 O 6 -doped ErBa 2 Cu 3 O y thin films. The vortex-Bose-glass-like state appeared by the introduction of nano-rods, and this vortex state was affected by T s . The shape and width of the J cl distribution strongly depended on T s . These results are probably caused by variations of the density and the growth direction for nano-rods reflecting T s . The growth temperature is an important factor to achieve higher critical current properties under magnetic fields for coated conductors of rare-earth-based cuprates with nano-rods.

  18. Buffer Film Assisted Growth of Dense MWCNTs on Copper Foils for Flexible Electrochemical Applications

    Directory of Open Access Journals (Sweden)

    Udomdej Pakdee

    2017-01-01

    Full Text Available The novel Inconel buffer films were prepared on copper foils using unbalance direct current (DC magnetron sputtering. These films were employed as buffer layers for supporting the dense growth of multiwalled carbon nanotubes (MWCNTs. Thermal chemical vapor deposition (CVD with metal alloys such as stainless steel (SS type 304 films was considered to synthesize MWCNTs. To understand the effectiveness of these buffer films, the MWCNTs grown on buffer-free layer were carried out as a comparison. The main problem such as the diffusion of catalysts into the oxide layer of metal substrate during the CVD process was solved together with a creation of good electrical contact between substrate and nanotubes. The morphologies, crystallinities, and electrochemical behaviors of MWCNTs grown on Inconel buffer films with 304 SS catalysts revealed the better results for applying in flexible electrochemical applications.

  19. Effect of Substrate Morphology on Growth and Field Emission Properties of Carbon Nanotube Films

    Directory of Open Access Journals (Sweden)

    Kumar Vikram

    2008-01-01

    Full Text Available AbstractCarbon nanotube (CNT films were grown by microwave plasma-enhanced chemical vapor deposition process on four types of Si substrates: (i mirror polished, (ii catalyst patterned, (iii mechanically polished having pits of varying size and shape, and (iv electrochemically etched. Iron thin film was used as catalytic material and acetylene and ammonia as the precursors. Morphological and structural characteristics of the films were investigated by scanning and transmission electron microscopes, respectively. CNT films of different morphology such as vertically aligned, randomly oriented flowers, or honey-comb like, depending on the morphology of the Si substrates, were obtained. CNTs had sharp tip and bamboo-like internal structure irrespective of growth morphology of the films. Comparative field emission measurements showed that patterned CNT films and that with randomly oriented morphology had superior emission characteristics with threshold field as low as ~2.0 V/μm. The defective (bamboo-structure structures of CNTs have been suggested for the enhanced emission performance of randomly oriented nanotube samples.

  20. Growth of TiC films by Pulsed Laser Evaporation (PLE) and characterization by XPS and AES

    International Nuclear Information System (INIS)

    Rist, O.; Murray, P.T.

    1991-01-01

    Thin films of TiC with a thickness of some 100 nm have been grown on Si(100) substrates by Pulsed Laser Evaporation (PLE). Advantages of PLE in comparison with more conventional growth methods e.g. PVD or CVD are reported. The feasibility of growing stoichiometric thin films of TiC by PLE was investigated. These films produced have been analysed in situ by X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). XPS results and Auger sputter depht profiles indicate that the films grown between RT and 500degC are stoichiometric TiC. Film/substrate interdiffusion is observed at 600degC substrate temperature and higher. (orig.)

  1. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  2. Smooth Growth of Organic Semiconductor Films on Graphene for High-Efficiency Electronics

    NARCIS (Netherlands)

    Hlawacek, G.; Khokhar, F.S.; van Gastel, Raoul; Poelsema, Bene; Teichert, Christian

    2011-01-01

    High-quality thin films of conjugated molecules with smooth interfaces are important to assist the advent of organic electronics. Here, we report on the layer-by-layer growth of the organic semiconductor molecule p-sexiphenyl (6P) on the transparent electrode material graphene. Low energy electron

  3. The growth and evolution of thin oxide films on delta-plutonium surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Garcia Flores, Harry G [Los Alamos National Laboratory; Pugmire, David L [Los Alamos National Laboratory

    2009-01-01

    The common oxides of plutonium are the dioxide (PuO{sub 2}) and the sesquioxide (Pu{sub 2}O{sub 3}). The structure of an oxide on plutonium metal under air at room temperature is typically described as a thick PuO{sub 2} film at the gas-oxide interface with a thinner PuO{sub 2} film near the oxide-metal substrate interface. In a reducing environment, such as ultra high vacuum, the dioxide (Pu{sup 4+}; O/Pu = 2.0) readily converts to the sesquioxide (Pu{sup 3+}; O/Pu = 1.5) with time. In this work, the growth and evolution of thin plutonium oxide films is studied with x-ray photoelectron spectroscopy (XPS) under varying conditions. The results indicate that, like the dioxide, the sesquioxide is not stable on a very clean metal substrate under reducing conditions, resulting in substoichiometric films (Pu{sub 2}O{sub 3-y}). The Pu{sub 2}O{sub 3-y} films prepared exhibit a variety of stoichiometries (y = 0.2-1) as a function of preparation conditions, highlighting the fact that caution must be exercised when studying plutonium oxide surfaces under these conditions and interpreting resulting data.

  4. AES study of growth process of al thin films on uranium dioxide

    International Nuclear Information System (INIS)

    Zhou Wei; Liu Kezhao; Yang Jiangrong; Xiao Hong

    2009-01-01

    Metallic uranium was exposed to 40 languirs of oxygen at room temperature in order to form UO 2 on the surface of metallic U. And thin layers of aluminum on UO 2 were prepared by sputter deposition under ultra high vacuum conditions. Process of Al thin film growth and its interaction with UO 2 were investigated by auger electron spectroscopy (AES) and electron energy loss spectroscopy (EELS). It was shown that the Al thin film growth underwent via the Volmer-Weber (VW) mode. At room temperature, Al and UO 2 interact with each other, electrons transfer occurres from Al atoms to uranium ions, and a few of Al 2 O 3 exist in the region of UO 2 /Al interface due to O 2 adsorption to the surface. Inter-diffusion between UO 2 and Al is observable. Aluminum diffuses into interface region of UO 2 and U. It results in the formation of a coexistence regime containing uranium oxide, metallic U and Al. (authors)

  5. Decoding Nucleation and Growth of Zeolitic Imidazolate Framework Thin Films with Atomic Force Microscopy and Vibrational Spectroscopy

    NARCIS (Netherlands)

    Ozturk, Zafer; Filez, Matthias; Weckhuysen, Bert M.

    2017-01-01

    The synthesis of metal-organic framework (MOF) thin films has garnered significant attention during the past decade. By better understanding the parameters governing the nucleation and growth of such thin films, their properties can be rationally tuned, empowering their application as (reactive)

  6. Order-disorder criticality, wetting, and morphological phase transitions in the irreversible growth of far-from-equilibrium magnetic films

    International Nuclear Information System (INIS)

    Candia, J.Julian; Albano, E.V.Ezequiel V.

    2003-01-01

    An exhaustive numerical investigation of the growth of magnetic films in confined (d+1)-dimensional stripped geometries (d=1,2) is carried out by means of extensive Monte Carlo simulations. Films in contact with a thermal bath at temperature T, are grown by adding spins having two possible orientations and considering ferromagnetic (nearest-neighbor) interactions. At low temperatures, thin films of thickness L are constituted by a sequence of well-ordered domains of average length l D >>L. These domains have opposite magnetization. So, the films exhibit 'spontaneous magnetization reversal' during the growth process. Such reversal occurs within a short characteristic length l R , such that l D >>l R ∼L. Furthermore, it is found that for d=1 the system is non-critical, while a continuous order-disorder phase transition at finite temperature takes place in the d=2 case. Using standard finite-size scaling procedures, the critical temperature and some relevant critical exponents are determined. Finally, the growth of magnetic films in (2+1) dimensions with competing short-range magnetic fields acting along the confinement walls is studied. Due to the antisymmetric condition considered, an interface between domains with spins having opposite orientation develops along the growing direction. Such an interface undergoes a localization-delocalization transition that is the precursor of a wetting transition in the thermodynamic limit. Furthermore, the growing interface also undergoes morphological transitions in the growth mode. A comparison between the well-studied equilibrium Ising model and the studied irreversible magnetic growth model is performed throughout. Although valuable analogies are encountered, it is found that the non-equilibrium nature of the latter introduces new and rich physical features of interest

  7. Ground Based Experiments in Support of Microgravity Research Results-Vapor Growth of Organic Nonlinear Optical Thin Film

    Science.gov (United States)

    Zugrav, M. Ittu; Carswell, William E.; Haulenbeek, Glen B.; Wessling, Francis C.

    2001-01-01

    This work is specifically focused on explaining previous results obtained for the crystal growth of an organic material in a reduced gravity environment. On STS-59, in April 1994, two experiments were conducted with N,N-dimethyl-p-(2,2-dicyanovinyl) aniline (DCVA), a promising nonlinear optical (NLO) material. The space experiments were set to reproduce laboratory experiments that yielded small, bulk crystals of DCVA. The results of the flight experiment, however, were surprising. Rather than producing a bulk single crystal, the result was the production of two high quality, single crystalline thin films. This result was even more intriguing when it is considered that thin films are more desirable for NLO applications than are bulk single crystals. Repeated attempts on the ground to reproduce these results were fruitless. A second set of flight experiments was conducted on STS-69 in September 1995. This time eight DCVA experiments were flown, with each of seven experiments containing a slight change from the first reference experiment. The reference experiment was programmed with growth conditions identical to those of the STS-59 mission. The slight variations in each of the other seven were an attempt to understand what particular parameter was responsible for the preference of thin film growth over bulk crystal growth in microgravity. Once again the results were surprising. In all eight cases thin films were grown again, albeit with varying quality. So now we were faced with a phenomenon that not only takes place in microgravity, but also is very robust, resisting all attempts to force the growth of bulk single crystals.

  8. The effect of substrate orientation on the kinetics and thermodynamics of initial oxide-film growth on metals

    Energy Technology Data Exchange (ETDEWEB)

    Reichel, Friederike

    2007-11-19

    This thesis addresses the effect of the parent metal-substrate orientation on the thermodynamics and kinetics of ultra-thin oxide-film growth on bare metals upon their exposure to oxygen gas at low temperatures (up to 650 K). A model description has been developed to predict the thermodynamically stable microstructure of a thin oxide film grown on its bare metal substrate as function of the oxidation conditions and the substrate orientation. For Mg and Ni, the critical oxide-film thickness is less than 1 oxide monolayer and therefore the initial development of an amorphous oxide phase on these metal substrates is unlikely. Finally, for Cu and densely packed Cr and Fe metal surfaces, oxide overgrowth is predicted to proceed by the direct formation and growth of a crystalline oxide phase. Further, polished Al single-crystals with {l_brace}111{r_brace}, {l_brace}100{r_brace} and {l_brace}110{r_brace} surface orientations were introduced in an ultra-high vacuum system for specimen processing and analysis. After surface cleaning and annealing, the bare Al substrates have been oxidized by exposure to pure oxygen gas. During the oxidation, the oxide-film growth kinetics has been established by real-time in-situ spectroscopic ellipsometry. After the oxidation, the oxide-film microstructures were investigated by angle-resolved X-ray photoelectron spectroscopy and low energy electron diffraction. Finally, high-resolution transmission electron microscopic analysis was applied to study the microstructure and morphology of the grown oxide films on an atomic scale. (orig.)

  9. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    Science.gov (United States)

    Bayraktar, M.; Chopra, A.; Bijkerk, F.; Rijnders, G.

    2014-09-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In this article, we report on epitaxial growth of PZT films with (100)- and (110)-orientation achieved by utilizing Ca2Nb3O10 (CNO) and Ti0.87O2 (TO) nanosheets as crystalline buffer layers. Fatigue measurements demonstrated stable ferroelectric properties of these films up to 5 × 109 cycles. (100)-oriented PZT films on CNO nanosheets show a large remnant polarization of 21 μC/cm2 that is the highest remnant polarization value compared to (110)-oriented and polycrystalline films reported in this work. A piezoelectric response of 98 pm/V is observed for (100)-oriented PZT film which is higher than the values reported in the literature on Si substrates.

  10. The passive oxide films growth on 316L stainless steel in borate buffer solution measured by real-time spectroscopic ellipsometry

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Haisong; Wang, Lu; Sun, Dongbai [National Center for Materials Service Safety (NCMS), University of Science and Technology Beijing, Beijing 100083 (China); Yu, Hongying, E-mail: hyyu@ustb.edu.cn [Institute of Advanced Materials and Technology, University of Science and Technology Beijing, Beijing 100083 (China)

    2015-10-01

    Highlights: • The optical properties of passive oxide films on 316L stainless steel were studied. • The thickness of the oxide films (1.5–2.6 nm) increased linearly with the potentials. • The growth of passive film followed high electric field ion conduction model. • Selective solubility of oxide induced compositional change of passive film. - Abstract: Passive film growth on 316L stainless steel was investigated in borate buffer electrolyte (pH = 9.1) by real-time spectroscopic ellipsometry (SE) and the composition was estimated by X-ray photoelectron spectroscopy (XPS). Anodic passivation of 316L SS was carried out in the potential range from 0 V{sub SCE} to 0.9 V{sub SCE}, after potentiostatic polarization for 1800s, the current density decayed from 10{sup −2} A cm{sup −2} to 10{sup −6} A cm{sup −2}. The passive film thickness was simulated from Frenel and Drude reflection equations, the average complex refractive index was assumed to be N = 2.3 − j0.445. The estimated thickness increased linearly with potential from 1.5 nm at 0 V to 2.6 nm at 0.8 V. The growth of passive film followed high electric field ion conduction model. The passive film mainly contained the oxide/hydroxide of iron and chromium. The selective solubility of oxide in passive film explained the change of iron and chromium content at different potentials. Few nickel and molybdenum also contributed to the passive film with a constant content.

  11. Growth and hydrogenation of ultra-thin Mg films on Mo(111)

    DEFF Research Database (Denmark)

    Ostenfeld, Christopher Worsøe; Davies, Jonathan Conrad; Vegge, Tejs

    2005-01-01

    . Hydrogen cannot be adsorbed on magnesium films under UHV conditions. However, when evaporating Mg in a hydrogen background, a hydrogen overlayer is seen to adsorb at the Mg surface, due to the catalytic interaction with the Mo(1 1 1) substrate and subsequent spill-over. We show that two monolayers of Mg......The growth and hydrogenation of ultra-thin magnesium overlayers have been investigated on a Mo(1 1 1) single crystal substrate. For increasing magnesium coverages we observe intermediate stages in the TPD and LEISS profiles, which illustrate the transition from one monolayer to multilayer growth...

  12. Hydrothermal Growth of Quasi-Monocrystal ZnO Thin Films and Their Application in Ultraviolet Photodetectors

    Directory of Open Access Journals (Sweden)

    Yung-Chun Tu

    2015-01-01

    Full Text Available Quasi-monocrystal ZnO film grown using the hydrothermal growth method is used for the fabrication of Cu2O/ZnO heterojunction (HJ ultraviolet photodetectors (UV-PDs. The HJ was formed via the sputtering deposition of p-type Cu2O onto hydrothermally grown ZnO film (HTG-ZnO-film. The effect of annealing temperature in the nitrogen ambient on the photoluminescence spectra of the synthesized ZnO film was studied. The optoelectronic properties of Cu2O/ZnO film with various Cu2O thicknesses (250–750 nm under UV light (365 nm; intensity: 3 mW/cm2 were determined. The UV sensitivity of the HTG-ZnO-film-based UV-PDs and the sputtered ZnO-film-based UV-PDs were 55.6-fold (SHTG and 8.8-fold (Ssputter, respectively. The significant gain in sensitivity (SHTG/Ssputter = 630% of the proposed ZnO-film-based device compared to that for the device based on sputtered film can be attributed to the improved photoelectric properties of quasi-monocrystal ZnO film.

  13. A multiscale coupled finite-element and phase-field framework to modeling stressed grain growth in polycrystalline thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jamshidian, M., E-mail: jamshidian@cc.iut.ac.ir [Department of Mechanical Engineering, Isfahan University of Technology, Isfahan 84156-83111 (Iran, Islamic Republic of); Institute of Structural Mechanics, Bauhaus-University Weimar, Marienstrasse 15, 99423 Weimar (Germany); Thamburaja, P., E-mail: prakash.thamburaja@gmail.com [Department of Mechanical & Materials Engineering, Universiti Kebangsaan Malaysia (UKM), Bangi 43600 (Malaysia); Rabczuk, T., E-mail: timon.rabczuk@tdt.edu.vn [Division of Computational Mechanics, Ton Duc Thang University, Ho Chi Minh City (Viet Nam); Faculty of Civil Engineering, Ton Duc Thang University, Ho Chi Minh City (Viet Nam)

    2016-12-15

    A previously-developed finite-deformation- and crystal-elasticity-based constitutive theory for stressed grain growth in cubic polycrystalline bodies has been augmented to include a description of excess surface energy and grain-growth stagnation mechanisms through the use of surface effect state variables in a thermodynamically-consistent manner. The constitutive theory was also implemented into a multiscale coupled finite-element and phase-field computational framework. With the material parameters in the constitutive theory suitably calibrated, our three-dimensional numerical simulations show that the constitutive model is able to accurately predict the experimentally-determined evolution of crystallographic texture and grain size statistics in polycrystalline copper thin films deposited on polyimide substrate and annealed at high-homologous temperatures. In particular, our numerical analyses show that the broad texture transition observed in the annealing experiments of polycrystalline thin films is caused by grain growth stagnation mechanisms. - Graphical abstract: - Highlights: • Developing a theory for stressed grain growth in polycrystalline thin films. • Implementation into a multiscale coupled finite-element and phase-field framework. • Quantitative reproduction of the experimental grain growth data by simulations. • Revealing the cause of texture transition to be due to the stagnation mechanisms.

  14. Sulfide and Oxide Heterostructures For the SrTiO3 Thin Film Growth on Si and Their Structural and Interfacial Stabilities

    Science.gov (United States)

    Yoo, Young‑Zo; Song, Jeong‑Hwan; Konishi, Yoshinori; Kawasaki, Masashi; Koinuma, Hideomi; Chikyow, Toyohiro

    2006-03-01

    Epitaxial SrTiO3 (STO) thin films with high electrical properties were grown on Si using ZnS single- and SrS/MnS hetero-buffer layers. STO films on both ZnS-buffered and SrS/MnS-buffered Si showed two growth orientations, (100) and (110). The temperature dependence of the growth orientation for STO films was different for the ZnS single-buffer layer in comparison with the SrS/MnS heterobuffer layers. (100) growth of STO films on SrS/MnS-buffered Si became dominant at high temperatures about 700 °C, while (100) growth of STO films on ZnS-buffered Si became dominant at a relatively low growth temperature of 550 °C. STO(100) films on ZnS-buffered and SrS/MnS-buffered Si showed lattice and domain matches for epitaxial relationships with [001]ZnS\\parallel[011]STO and SrS[001]\\parallel[011]STO, respectively via 45° in-plane rotation of STO films relative to both ZnS and SrS layers. The ZnS buffer layer contained many stacking faults because of the mismatch between ZnS and Si, however, those defects were terminated at the ZnS/STO interface. In contrast, the MnS buffer was very stable against stacking defect formation. Transmission electron microscopy measurements revealed the presence of a disordered region at the ZnS/Si and MnS/Si interfaces. Auger electron spectroscopy and transmission electron microscopy results showed that a good MnS/Si interface at the initial growth stage degraded to a SiS2-x-rich phase during MnS deposition and again into a SiO2-x-rich phase during STO deposition at the high growth temperature of 700 °C. It was also observed that STO on SrS/MnS-buffered Si showed a markedly high dielectric constant compared with that of STO on ZnS-buffered Si.

  15. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  16. Epitaxial growth of textured YBa2Cu3O7-δ films on silver

    International Nuclear Information System (INIS)

    Liu Dan-Min; Liu Wei-Peng; Suo Hong-Li; Zhou Mei-Ling

    2005-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films were deposited on (100), (110) and (111) oriented silver single crystals and {100} left angle 100 right angle, {110} left angle 211 right angle, {110} left angle 100 right angle +{110} left angle 011 right angle {110} left angle 011 right angle and {012} left angle 100 right angle textured Ag substrates using pulsed laser deposition. The relationship between the epitaxial growth YBCO film and silver substrate has been determined. It is shown that among polycrystalline Ag substrates, {110} left angle 011 right angle textured tape is suitable for the deposition of YBCO thin films having strong texture. (orig.)

  17. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Science.gov (United States)

    Shibuya, Keisuke; Sawa, Akihito

    2015-10-01

    We systematically examined the effects of the substrate temperature (TS) and the oxygen pressure (PO2) on the structural and optical properties polycrystalline V O2 films grown directly on Si(100) substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal-insulator transition (MIT) temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  18. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Directory of Open Access Journals (Sweden)

    Keisuke Shibuya

    2015-10-01

    Full Text Available We systematically examined the effects of the substrate temperature (TS and the oxygen pressure (PO2 on the structural and optical properties polycrystalline V O2 films grown directly on Si(100 substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal–insulator transition (MIT temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  19. Pulsed-laser deposition and growth studies of Bi3Fe5O12 thin films

    International Nuclear Information System (INIS)

    Lux, Robert; Heinrich, Andreas; Leitenmeier, Stephan; Koerner, Timo; Herbort, Michael; Stritzker, Bernd

    2006-01-01

    Magneto-optical garnets are attractive because of their high Faraday rotation and low optical loss in the near infrared. Therefore their use is generally in nonreciprocal devices, i.e., as optical isolators in optical communication. In this paper we present data concerning the deposition of Bi 3 Fe 5 O 12 (BIG) thin films on (100) and (111) Gd 3 Ga 5 O 12 substrates using pulsed-laser deposition. Laser-induced processes on the surface of the oxide target used for ablation were analyzed and numerous films were deposited. We found the BIG film quality to be strongly affected by oxygen pressure, laser energy density, and the Bi/Fe film ratio, whereas temperature had a minor influence. We also investigated the BIG-film deposition using a target pressed from metallic Bi and Fe powders and found information on the growth behavior of BIG. We report on details of the film deposition and film properties determined by environmental scanning electron microscopy, energy dispersive x-ray analysis, Rutherford backscattering spectroscopy, and x-ray diffraction. In addition, we determined the Faraday rotation of the films

  20. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  1. Growth, morphology, and conductivity in semimetallic/metallic films on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Jnawali, Giriraj

    2009-06-09

    This dissertation deals with the study of epitaxial growth of semimetallic (Bi) and metallic (Ag) films on Si(001) as well as in situ electrical transport study of those films via surface manipulation. The focus of the transport measurements is to study the influence of the surface morphology or structure on the resistance of the film. In spite of the large lattice mismatch and different lattice geometry, it is possible to grow epitaxial Bi(111) films on Si(001) substrates, which are surprisingly smooth, relaxed and almost free of defects. Due to the two-fold symmetry of the substrates, the Bi(111) film is composed of crystallites rotated by 90 with respect to each other. Annealing of 6 nm film from 150 K to 450 K enables the formation of a periodic interfacial misfit dislocations, which accommodates a remaining lattice mismatch of 2.3 %. The surface/interface roughness and the bulk defect density of the film found to be extremely low, indicating the high crystalline quality of the film with atomically smooth surface and abrupt interface. Similar to the Bi films, Ag grows in a (111) orientation on Si(001) with two 90 rotated domains. The remaining strain of 2.2 % (tensile) is accommodated by the formation of an ordered network of dislocations. The Ag film exhibits atomically smooth surface. Those Bi films and Ag films were used as model systems to study the influence of the surface morphology on the electrical resistance. Surprisingly, all the Bi films (3-170 nm thicknesses) have shown an anomalous behavior of conductance with temperature and thickness. As in the case of doped semiconductor, the conductance increases exponentially from 150 K to 300 K and saturates at 350 K before finally decreasing with temperature. In situ measurements of the resistance during additional Bi deposition on the smooth Bi(111) films exhibit a square root dependent with coverage after a linear increase at very low coverage (1 % of a BL). During additional deposition of Bi, carriers are

  2. Modelling the growth of ZnO thin films by PVD methods and the effects of post-annealing.

    Science.gov (United States)

    Blackwell, Sabrina; Smith, Roger; Kenny, Steven D; Walls, John M; Sanz-Navarro, Carlos F

    2013-04-03

    Results are presented for modelling of the evaporation and magnetron sputter deposition of Zn(x)O(y) onto an O-terminated ZnO (0001¯) wurtzite surface. Growth was simulated through a combination of molecular dynamics (MD) and an on-the-fly kinetic Monte Carlo (otf-KMC) method, which finds diffusion pathways and barriers without prior knowledge of transitions. We examine the effects of varying experimental parameters, such as substrate bias, distribution of the deposition species and annealing temperature. It was found when comparing evaporation and sputtering growth that the latter process results in a denser and more crystalline structure, due to the higher deposition energy of the arriving species. The evaporation growth also exhibits more stacking faults than the sputtered growth. Post-annealing at 770 K did not allow complete recrystallization, resulting in films which still had stacking faults where monolayers formed in the zinc blende phase, whereas annealing at 920 K enabled the complete recrystallization of some films to the wurtzite structure. At the latter temperature atoms could also sometimes be locked in the zinc blende phase after annealing. When full recrystallization did not take place, both wurtzite and zinc blende phases were seen in the same layer, resulting in a phase boundary. Investigation of the various distributions of deposition species showed that, during evaporation, the best quality film resulted from a stoichiometric distribution where only ZnO clusters were deposited. During sputtering, however, the best quality film resulted from a slightly O rich distribution. Two stoichiometric distributions, one involving mainly ZnO clusters and the other involving mainly single species, showed that the distribution of deposition species makes a huge impact on the grown film. The deposition of predominantly single species causes many more O atoms at the surface to be sputtered or reflected, resulting in an O deficiency of up to 18% in the

  3. Growth of large-size-two-dimensional crystalline pentacene grains for high performance organic thin film transistors

    Directory of Open Access Journals (Sweden)

    Chuan Du

    2012-06-01

    Full Text Available New approach is presented for growth of pentacene crystalline thin film with large grain size. Modification of dielectric surfaces using a monolayer of small molecule results in the formation of pentacene thin films with well ordered large crystalline domain structures. This suggests that pentacene molecules may have significantly large diffusion constant on the modified surface. An average hole mobility about 1.52 cm2/Vs of pentacene based organic thin film transistors (OTFTs is achieved with good reproducibility.

  4. Total factor productivity (TFP) growth agriculture in pakistan: trends in different time horizons

    International Nuclear Information System (INIS)

    Ali, A.; Mushtaq, K.; Ashfaq, M.

    2008-01-01

    The present study estimated total factor productivity (TFP) growth of agriculture sector of Pakistan for the period 1971-2006 by employing Tornqvist-Theil (T-T) index number methodology. Most of the conventional inputs were used in constructing the input index. The output index includes major crops, minor crops, important fruits and vegetables and four categories of livestock products. The study estimated TFP growth rates for different decades. The results showed that TFP growth rate was lowest during the decade of 70s (0.96 percent) and highest during the last six years of the study period (2.86 percent). The decade of 80s and 90s registered TFP growth rate of 2.24 percent and 2.46 percent, respectively. The results also explained that TFP growth contributed about 33 percent to total agricultural output growth during the decade of 70s and this contribution increased up to 83 percent during the last six years of the study period. The contribution of TFP growth to total agricultural output growth was 53 and 81 percent during the decades of 80s and 90s, respectively. The study observed that macro level government policies, institutional factors and weather conditions are the major key factors that influenced TFP growth. (author)

  5. Growth of layered superconductor β-PdBi{sub 2} films using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Denisov, N.V., E-mail: denisov@iacp.dvo.ru [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Matetskiy, A.V.; Tupkalo, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Zotov, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation); Department of Electronics, Vladivostok State University of Economics and Service, 690600 Vladivostok (Russian Federation); Saranin, A.A. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)

    2017-04-15

    Highlights: • Bulk β-PdBi{sub 2} is layered material with advanced properties of topological superconductor. • We present a method for growing β-PdBi{sub 2} films of a desired thickness. • Method utilizes MBE growth of β-PdBi{sub 2}, using Bi(111) film on Si(111) as a template. • Electronic and superconducting properties of the films are similar to those of bulk β-PdBi{sub 2}. - Abstract: Bulk β-PdBi{sub 2} layered material exhibits advanced properties and is supposed to be probable topological superconductor. We present a method based on molecular beam epitaxy that allows us to grow β-PdBi{sub 2} films from a single β-PdBi{sub 2} triple layer up to the dozens of triple layers, using Bi(111) film on Si(111) as a template. The grown films demonstrate structural, electronic and superconducting properties similar to those of bulk β-PdBi{sub 2} crystals. Ability to grow the β-PdBi{sub 2} films of desired thickness opens the promising possibilities to explore fascinating properties of this advanced material.

  6. Rational control on floating catalysts for the growth of carbon nanotube assemblies: From vertically aligned carbon nanotube arrays to carbon nanotube films

    International Nuclear Information System (INIS)

    Chen, Hongyuan; Chen, Minghai; Zhang, Yongyi; Li, Qingwen

    2015-01-01

    Graphical abstract: - Highlights: • Floating catalyst CVD for the growth of CNT films and arrays was investigated. • The structure of CNT array grown in floating catalyst CVD was revealed. • Temperature was proved as a key for the growth of different CNT assemblies. • The increase of growth temperature induced the growth of single-walled CNT film. - Abstract: Floating catalyst chemical vapor deposition (FCCVD) has been widely used for the growth of various carbon nanotube (CNT) macrostructures, mainly including vertically aligned CNT (VACNT) arrays and none-woven CNT films. However, it is still unclear for the reason why these CNT macrostructures with largely different morphologies were received via the similar method. In this research, it revealed that the growth temperature largely affected the nucleation status of floating catalysts and thus controlled the morphologies of CNT macrostructures from VACNT arrays to none-woven CNT films. In low temperatures (below 800 °C), VACNTs were grown by bottom-up mechanism with several CNTs, but not one individual from bottom to up along the array height direction. Furthermore, VACNT arrays were only grown on some substrates that can induce iron atoms aggregating to catalyst particles with a suitable size. When increasing the growth temperature higher than 800 °C, more catalyst particles were nucleated in the gas flow, which induced the formation of none-woven CNT films composed of thin CNTs (single-walled CNTs and double-walled CNTs). This research was significative for understanding CNT growth mechanism via FCCVD process and the synthesis of different CNT macrostructures by this strategy.

  7. Rational control on floating catalysts for the growth of carbon nanotube assemblies: From vertically aligned carbon nanotube arrays to carbon nanotube films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hongyuan; Chen, Minghai, E-mail: mhchen2008@sinano.ac.cn; Zhang, Yongyi; Li, Qingwen

    2015-10-30

    Graphical abstract: - Highlights: • Floating catalyst CVD for the growth of CNT films and arrays was investigated. • The structure of CNT array grown in floating catalyst CVD was revealed. • Temperature was proved as a key for the growth of different CNT assemblies. • The increase of growth temperature induced the growth of single-walled CNT film. - Abstract: Floating catalyst chemical vapor deposition (FCCVD) has been widely used for the growth of various carbon nanotube (CNT) macrostructures, mainly including vertically aligned CNT (VACNT) arrays and none-woven CNT films. However, it is still unclear for the reason why these CNT macrostructures with largely different morphologies were received via the similar method. In this research, it revealed that the growth temperature largely affected the nucleation status of floating catalysts and thus controlled the morphologies of CNT macrostructures from VACNT arrays to none-woven CNT films. In low temperatures (below 800 °C), VACNTs were grown by bottom-up mechanism with several CNTs, but not one individual from bottom to up along the array height direction. Furthermore, VACNT arrays were only grown on some substrates that can induce iron atoms aggregating to catalyst particles with a suitable size. When increasing the growth temperature higher than 800 °C, more catalyst particles were nucleated in the gas flow, which induced the formation of none-woven CNT films composed of thin CNTs (single-walled CNTs and double-walled CNTs). This research was significative for understanding CNT growth mechanism via FCCVD process and the synthesis of different CNT macrostructures by this strategy.

  8. The effect of Na on Cu-K-In-Se thin film growth

    Science.gov (United States)

    Muzzillo, Christopher P.; Tong, Ho Ming; Anderson, Timothy J.

    2018-04-01

    Co-evaporation of Cu-KF-In-Se was performed on substrates with varied Na supply. Compositions of interest for photovoltaic absorbers were studied, with ratios of (K + Cu)/In ∼ 0.85 and K/(K + Cu) ∼ 0-0.57. Bare soda-lime glass (SLG) substrates had the highest Na supply as measured by secondary ion mass spectrometry, while SLG/Mo and SLG/SiO2/Mo substrates led to 3x and 3000x less Na in the growing film, respectively. Increased Na supply favored Cu1-xKxInSe2 (CKIS) alloy formation as proven by X-ray diffraction (XRD), while decreased Na supply favored the formation of CuInSe2 + KInSe2 mixed-phase films. Scanning electron microscopy and energy dispersive X-ray spectroscopy revealed the KInSe2 precipitates to be readily recognizable planar crystals. Extrinsic KF addition during film growth promoted diffusion of Na out from the various substrates and into the growing film, in agreement with previous reports. Time-resolved photoluminescence showed enhanced minority carrier lifetimes for films with moderate K compositions (0.04 interdependency can be used to engineer alkali metal bonding in Cu(In,Ga)(Se,S)2 absorbers to optimize both initial and long-term photovoltaic power generation.

  9. In situ growth of p and n-type graphene thin films and diodes by pulsed laser deposition

    KAUST Repository

    Sarath Kumar, S. R.; Nayak, Pradipta K.; Hedhili, Mohamed N.; Khan, M. A.; Alshareef, Husam N.

    2013-01-01

    We report the in situ growth of p and n-type graphene thin films by ultraviolet pulsed laser deposition in the presence of argon and nitrogen, respectively. Electron microscopy and Raman studies confirmed the growth, while temperature dependent

  10. Shaping thin film growth and microstructure pathways via plasma and deposition energy: a detailed theoretical, computational and experimental analysis.

    Science.gov (United States)

    Sahu, Bibhuti Bhusan; Han, Jeon Geon; Kersten, Holger

    2017-02-15

    Understanding the science and engineering of thin films using plasma assisted deposition methods with controlled growth and microstructure is a key issue in modern nanotechnology, impacting both fundamental research and technological applications. Different plasma parameters like electrons, ions, radical species and neutrals play a critical role in nucleation and growth and the corresponding film microstructure as well as plasma-induced surface chemistry. The film microstructure is also closely associated with deposition energy which is controlled by electrons, ions, radical species and activated neutrals. The integrated studies on the fundamental physical properties that govern the plasmas seek to determine their structure and modification capabilities under specific experimental conditions. There is a requirement for identification, determination, and quantification of the surface activity of the species in the plasma. Here, we report a detailed study of hydrogenated amorphous and crystalline silicon (c-Si:H) processes to investigate the evolution of plasma parameters using a theoretical model. The deposition processes undertaken using a plasma enhanced chemical vapor deposition method are characterized by a reactive mixture of hydrogen and silane. Later, various contributions of energy fluxes on the substrate are considered and modeled to investigate their role in the growth of the microstructure of the deposited film. Numerous plasma diagnostic tools are used to compare the experimental data with the theoretical results. The film growth and microstructure are evaluated in light of deposition energy flux under different operating conditions.

  11. Growth, etching, and stability of sputtered ZnO:Al for thin-film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Owen, Jorj Ian

    2011-07-01

    O:Al films can be increased up to 76 cm{sup 2}/Vs by annealing at high temperatures. Further, it is observed that this annealing process does not affect the morphology of the film and increases the total transmission in the solar cell relevant portion of the spectrum. Accelerated aging in a damp-heat is shown to affect the mobility primarily, and is thus likely related to poor transport across grain boundaries. Using deuterium as an isotopic marker, it is observed that the deuterium uptake was fairly linear with time and penetrated the whole film within 24 hours. Additionally, the deuterium is quickly replaced by hydrogen upon damp-heat treatment in water. It is concluded that the electrical degradation is related to the penetration of water via grain boundaries, and that the bulk of the reactions causing this degradation are fairly weak, such as the formation of zinc hydroxide. Additionally, the annealed high-mobility ZnO:Al films prove to be very stable in the damp-heat environment, exhibiting electron mobilities above 70 cm{sup 2}/Vs after 1000 hours of exposure. The initial points of attack during HCl etching of ZnO:Al, and the long-term etch evolution are shown to be related to certain grain boundaries built into the film during growth. The density of craters from an HCl etch is controllable by altering the temperature and concentration of the etching solution. It is further demonstrated that most acids exhibit etching behavior similar to that of HCl. Hydrofluoric acid (HF), however, exhibits unique etching characteristics with higher crater densities and sharper features. The crater density and shape are also shown to be controllable by etching in various concentrations of HF and in various mixtures of HF and HCl. Additional etching experiments are made to develop a polycrystalline ZnO:Al etching model. It is shown that basic and acidic solutions attack the same points on a polycrystalline ZnO:Al sample. The dependence of crater density on the acid concentration

  12. Preventing bacterial growth on implanted device with an interfacial metallic film and penetrating X-rays.

    Science.gov (United States)

    An, Jincui; Sun, An; Qiao, Yong; Zhang, Peipei; Su, Ming

    2015-02-01

    Device-related infections have been a big problem for a long time. This paper describes a new method to inhibit bacterial growth on implanted device with tissue-penetrating X-ray radiation, where a thin metallic film deposited on the device is used as a radio-sensitizing film for bacterial inhibition. At a given dose of X-ray, the bacterial viability decreases as the thickness of metal film (bismuth) increases. The bacterial viability decreases with X-ray dose increases. At X-ray dose of 2.5 Gy, 98% of bacteria on 10 nm thick bismuth film are killed; while it is only 25% of bacteria are killed on the bare petri dish. The same dose of X-ray kills 8% fibroblast cells that are within a short distance from bismuth film (4 mm). These results suggest that penetrating X-rays can kill bacteria on bismuth thin film deposited on surface of implant device efficiently.

  13. Two steps hydrothermal growth and characterisations of BaTiO3 films composed of nanowires

    Science.gov (United States)

    Zawawi, Che Zaheerah Najeehah Che Mohd; Salleh, Shahril; Oon Jew, Lee; Tufail Chaudhary, Kashif; Helmi, Mohamad; Safwan Aziz, Muhammad; Haider, Zuhaib; Ali, Jalil

    2018-05-01

    Barium titanate (BaTiO3) films composed of nanowires have gained considerable research interest due to their lead-free composition and strong energy conversion efficiency. BaTiO3 films can be developed with a simple two steps hydrothermal reactions, which are low cost effective. In this research, BaTiO3 films were fabricated on titanium foil through two steps hydrothermal method namely, the growth of TiO2 and followed by BaTiO3 films. The structural evolutions and the dielectric properties of the films were investigated as well. The structural evolutions of titanium dioxide (TiO2) and BaTiO3 nanowires were characterized using X-ray diffraction and scanning electron microscopy. First step of hydrothermal reaction, TiO2 nanowires were prepared in varied temperatures of 160 °C, 200 °C and 250 °C respectively. Second step of hydrothermal reaction was performed to produce a layer of BaTiO3 films.

  14. The growth, characterization, and application of highly ordered small molecule semiconducting thin films

    Science.gov (United States)

    Lunt, Richard Royal, III

    Organic semiconductors have gained tremendous attention recently as their use in field effect transistors, sensors, solar cells, lasers, and organic light emitting diodes have been demonstrated, offering the potential for low-cost alternatives. Since renewable energy remains one the greatest challenges of the 21st century, the possibility for low-cost and flexible organic photovoltaics is particularly exciting. In the first part of this thesis, we demonstrate a route to the controlled growth of oriented crystalline films through organic vapor-phase deposition (OVPD), in conjunction with organic-inorganic, and organic-organic quasi-epitaxy. This method for producing highly ordered crystalline thin-film heterostructures combines the control of film growth with the electronic properties expected to approach that of organic single crystals, making them potentially useful for high efficiency organic thin-film devices and solar cells. We further demonstrate OVPD as a method for the deposition of large-scale organic electronics with low material waste, a key ability in fulfilling the promise of low-cost organic devices. The second part of this thesis is focused on understanding factors that govern energy (i.e. exciton) transport. The two single most important and fundamental properties of organic semiconductors are the transport of charge and energy. While charge mobility has been extensively studied and convincingly linked to the degree of crystalline order and orientation, the principles governing energy transport, i.e. exciton migration, in this class of materials and the subsequent connection to crystalline properties still remain ambiguous. Therefore, we aim to understand key aspects governing exciton motion in organic materials to better engineer materials, film morphologies, and film architectures for organic electronics with improved performance. To this end, we have developed a new method for measuring exciton diffusion and characterize a range of archetypal

  15. Plasma processes and film growth of expanding thermal plasma deposited textured zinc oxide

    NARCIS (Netherlands)

    Groenen, R.; Linden, J.L.; Sanden, van de M.C.M.

    2005-01-01

    Plasma processes and film growth of textured zinc oxide deposited from oxygen and diethyl zinc utilizing expanding thermal argon plasma created by a cascaded arc is discussed. In all conditions explored, an excess of argon ions and low temperature electrons is available, which represent the

  16. The growth of nanoscale ZnO films by pulsed-spray evaporation chemical vapor deposition and their structural, electric and optical properties

    International Nuclear Information System (INIS)

    Jiang Yinzhu; Bahlawane, Naoufal

    2010-01-01

    Great interest in nanoscale thin films (sub-100 nm) has been stimulated by the developing demands of functional devices. In this paper, nanoscale zinc oxide (ZnO) thin films were deposited on glass substrates at 300 o C by pulsed-spray evaporation chemical vapor deposition. Scanning electron micrographs indicate uniform surface morphologies composed of nanometer-sized spherical particles. The growth kinetics and growth mode are studied and the relationship between the film thickness and the electric properties with respect to the growth mode is interpreted. X-ray diffraction shows that all ZnO films grown by this process were crystallized in a hexagonal structure and highly oriented with their c-axes perpendicular to the plane of the substrate. Optical measurements show transparencies above 85% in the visible spectral range for all films. The absorbance in the UV spectral range respects well the Beer-Lambert law, enabling an accurate optical thickness measurement, and the absorption coefficient was measured for a selected wavelength. The measured band gap energies exhibit an almost constant value of 3.41 eV for all films with different thicknesses, which attributed to the thickness-independent crystallite size.

  17. Optical band gap demarcation around 2.15 eV depending on preferred orientation growth in red HgI{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Tyagi, Pankaj, E-mail: pankajtyagicicdu@gmail.com

    2017-04-01

    Thermally evaporated stoichiometric films of red HgI{sub 2} show preferred orientation growth with either (102) or (002) orientation. The as grown films shows a change from one preferred orientation to another depending on their thickness, open-air heat-treatment and in-situ heat treatment of films. The in-situ heat-treatment of thermally evaporated stoichiometric films of red HgI{sub 2} with preferred growth of (102) orientation shows a gradual linear decrease in film thickness with in-situ heat-treatment temperature. On in-situ heat-treatment above 80 °C, it is found that HgI{sub 2} films become thinner than 900 nm, which are otherwise difficult to grow due to high vapor pressure of HgI{sub 2}. For these films the preferred orientation also changed from (102) to (002). The optical band gap (E{sub g}) also found to increase linearly with in-situ heat-treatment temperature. It is interesting to note that in-situ heat-treated films having (002) orientation had higher values of optical band gap than (102) orientation films. On combining these results with those of as grown and open-air heat-treated red HgI{sub 2} films reported in the literature, it is evident that there exists an optical band gap demarcation around 2.15 eV for red HgI{sub 2} thin films depending on their preferred orientation growth. Films with (102) orientation are found to have optical band gap less than 2.15 eV and those with (002) orientation are found to have optical band gap more than 2.15 eV. This is irrespective of the physical mean of obtaining the preferred orientation. The preferred orientation can be achieved by either physical means such as growing films with higher thickness, heat-treating them for short duration in open air or heat-treating them in-situ.

  18. A simple model for quantifying the degree of layer-by-layer growth in low energy ion deposition of thin films

    International Nuclear Information System (INIS)

    Huhtamaeki, T.; Jahma, M.O.; Koponen, I.T.

    2007-01-01

    Layer-by-layer growth of thin films can be promoted by using low energy ion deposition (LEID) techniques. The basic process affecting the growth are often quite diverse, but often the ion impact induced inter layer mass transfer processes due to adatom insertion to lower step edges or pile-ups to step edges above dominate. In this paper we propose a simple phenomenological model which describes the growth of thin films in LEID under these conditions. The model makes possible to distinguish the dominant growth, the detection of the transition from the 3D growth to 2D growth, and it can be used to quantify the degree of layer-by-layer growth. The model contains only two parameters, which can be phenomenologically related to the properties of the bombarding ion beam

  19. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  20. Room temperature growth of biaxially aligned yttria-stabilized zirconia films on glass substrates by pulsed-laser deposition

    CERN Document Server

    Li Peng; Mazumder, J

    2003-01-01

    Room temperature deposition of biaxially textured yttria-stabilized zirconia (YSZ) films on amorphous glass substrates was successfully achieved by conventional pulsed-laser deposition. The influence of the surrounding gases, their pressure and the deposition time on the structure of the films was studied. A columnar growth process was revealed based on the experimental results. The grown biaxial texture appears as a kind of substrate independence, which makes it possible to fabricate in-plane aligned YSZ films on various substrates.

  1. Rapid growth of amorphous carbon films on the inner surface of micron-thick and hollow-core fibers

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Longfei [Fujian Key Laboratory for Plasma and Magnetic Resonance, Department of Electric Science, School of Physics and Mechanical and Electrical Engineering, Xiamen University, Xiamen, Fujian 361005 (China); School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Liu, Dongping, E-mail: Dongping.liu@dlnu.edu.cn [Fujian Key Laboratory for Plasma and Magnetic Resonance, Department of Electric Science, School of Physics and Mechanical and Electrical Engineering, Xiamen University, Xiamen, Fujian 361005 (China); School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Zhou, Xinwei [Department of Mechanical Engineering, Zhejiang University, Zhejiang 310007 (China); Song, Ying [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116023 (China); Ni, Weiyuan [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Niu, Jinhai; Fan, Hongyu [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China)

    2013-10-01

    Ultrathick (> 25 μm) carbon films were obtained on the inner surface of hollow and micron-thick quartz fibers by confining CH{sub 4}/He or C{sub 2}H{sub 2}/He microplasmas in their hollow cores. The resulting carbon films were studied by using scanning electron microscopy and energy-dispersive X-ray spectroscopy. The microplasma-enhanced chemical vapor deposition (CVD) technique resulted in the uniform growth of amorphous carbon films on the inner surface of very long (> 1 m) hollow-core fibers. Film deposition is performed by using microplasmas at atmospheric pressure and at 50 Pa. The carbon films obtained with the latter show the smooth inner surfaces and the well continuity across the film/optical fiber. Low-pressure CH{sub 4}/He and C{sub 2}H{sub 2}/He microplasmas can lead to a rapid growth (∼ 2.00 μm/min) of carbon films with their thickness of > 25 μm. The optical emission measurements show that various hydrocarbon species were formed in these depositing microplasmas due to the collisions between CH{sub 4}/C{sub 2}H{sub 2} molecules and energetic species. The microplasma-enhanced CVD technique running without the complicated fabrication processes shows its potentials for rapidly depositing the overlong carbon tubes with their inner diameters of tens of microns. - Highlights: • The microplasma device is applied for coating deposition inside hollow-core fibers. • The microplasma device results in > 25 μm-thick carbon films. • The microplasma device is simple for deposition of ultralong carbon tubes.

  2. Multiple oxide content media for columnar grain growth in L10 FePt thin films

    International Nuclear Information System (INIS)

    Ho, Hoan; Yang, En; Laughlin, David E.; Zhu, Jian-Gang

    2013-01-01

    An approach to enhance the height-to-diameter ratio of FePt grains in heat-assisted magnetic recording media is proposed. The FePt-SiO x thin films are deposited with a decrease of the SiO x percentage along the film growth direction. When bi-layer and tri-layer media are sputtered at 410 °C, we observe discontinuities in the FePt grains at interfaces between layers, which lead to poor epitaxial growth. Due to increased atomic diffusion, the bi-layer media sputtered at 450 °C is shown to (1) grow into continuous columnar grains with similar size as single-layer media but much higher aspect ratio, (2) have better L1 0 ordering and larger coercivity.

  3. Thickness-controlled direct growth of nanographene and nanographite film on non-catalytic substrates

    Science.gov (United States)

    Du, Lei; Yang, Liu; Hu, Zhiting; Zhang, Jiazhen; Huang, Chunlai; Sun, Liaoxin; Wang, Lin; Wei, Dacheng; Chen, Gang; Lu, Wei

    2018-05-01

    Metal-catalyzed chemical vapor deposition (CVD) has been broadly employed for large-scale production of high-quality graphene. However, a following transfer process to targeted substrates is needed, which is incompatible with current silicon technology. We here report a new CVD approach to form nanographene and nanographite films with accurate thickness control directly on non-catalytic substrates such as silicon dioxide and quartz at 800 °C. The growth time is as short as a few seconds. The approach includes using 9-bis(diethylamino)silylanthracene as the carbon source and an atomic layer deposition (ALD) controlling system. The structure of the formed nanographene and nanographite films were characterized using atomic force microscopy, high resolution transmission electron microscopy, Raman scattering, and x-ray photoemission spectroscopy. The nanographite film exhibits a transmittance higher than 80% at 550 nm and a sheet electrical resistance of 2000 ohms per square at room temperature. A negative temperature-dependence of the resistance of the nanographite film is also observed. Moreover, the thickness of the films can be precisely controlled via the deposition cycles using an ALD system, which promotes great application potential for optoelectronic and thermoelectronic-devices.

  4. A method for thickness determination of thin films of amalgamable metals by total-reflection X-ray fluorescence

    International Nuclear Information System (INIS)

    Bennun, L.; Greaves, E.D.; Barros, H.; Diaz-Valdes, J.

    2009-01-01

    A method for thickness determination of thin amalgamable metallic films by total-reflection X-ray fluorescence (TXRF) is presented. The peak's intensity in TXRF spectra are directly related to the surface density of the sample, i.e. to its thickness in a homogeneous film. Performing a traditional TXRF analysis on a thin film of an amalgamated metal, and determining the relative peak intensity of a specific metal line, the layer thickness can be precisely obtained. In the case of gold thickness determination, mercury and gold peaks overlap, hence we have developed a general data processing scheme to achieve the most precise results.

  5. Epitaxial growth of YBa2Cu3O7-δ thin films on LiNbO3 substrates

    International Nuclear Information System (INIS)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C.

    1989-01-01

    In situ epitaxial growth of YBa 2 Cu 3 O 7-δ thin films on Y-cut LiNbO 3 substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ( c (R=0) of 92 K. High critical current density of J c (77 K)=2x10 5 A/cm 2 is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the c axis is normal to the substrate plane and the a axis is at 45 degree to the [11.0] direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane

  6. The Influence of Thermic Plastic Films on Vegetative and Reproductive Growth of Iceberg Lettuce 'Dublin'

    OpenAIRE

    Wael M. Semida; P. Hadley; W. Sobeih; N. A. El-Sawah; M. A. S. Barakat

    2013-01-01

    Photoselective plastic films with thermic properties are now available so that greenhouses clad with such plastics exhibit a higher degree of “Greenhouse Effect” with a consequent increase in night time temperature. In this study, we investigate the potential benefits of a range of thermic plastic films used as greenhouse cover materials on the vegetative and reproductive growth and development of Iceberg lettuce (Lactuca sativa L). Transplants were grown under thermic fi...

  7. Highly Oriented Growth of Piezoelectric Thin Films on Silicon using Two-Dimensional Nanosheets as Growth Template Layer

    NARCIS (Netherlands)

    Nguyen, Duc Minh; Yuan, H.; Houwman, Evert Pieter; Dekkers, Jan M.; Koster, Gertjan; ten Elshof, Johan E.; Rijnders, Augustinus J.H.M.

    2016-01-01

    Ca2Nb3O10 (CNOns) and Ti0.87O2 (TiOns) metal oxide nanosheets (ns) are used as a buffer layer for epitaxial growth of piezoelectric capacitor stacks on Si and Pt/Ti/SiO2/Si (Pt/Si) substrates. Highly (001)- and (110)-oriented Pb(Zr0.52Ti0.48)O3 (PZT) films are achieved by utilizing CNOns and TiOns,

  8. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    Energy Technology Data Exchange (ETDEWEB)

    Villalpando, I. [Centro de Investigacion de los Recursos Naturales, Antigua Normal Rural, Salaices, Lopez, Chihuahua (Mexico); John, P.; Wilson, J. I. B., E-mail: isaelav@hotmail.com [School of Engineering and Physical Sciences, Heriot-Watt University, Riccarton, Edinburgh, EH14-4AS (United Kingdom)

    2017-11-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  9. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    International Nuclear Information System (INIS)

    Villalpando, I.; John, P.; Wilson, J. I. B.

    2017-01-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  10. On the nucleation and initial film growth of rod-like organic molecules

    Science.gov (United States)

    Winkler, Adolf

    2016-10-01

    In this article, some fundamental topics related to the initial steps of organic film growth are reviewed. General conclusions will be drawn based on experimental results obtained for the film formation of oligophenylene and pentacene molecules on gold and mica substrates. Thin films were prepared via physical vapor deposition under ultrahigh-vacuum conditions and characterized in-situ mainly by thermal desorption spectroscopy, and ex-situ by X-ray diffraction and atomic force microscopy. In this short review article the following topics will be discussed: What are the necessary conditions to form island-like films which are either composed of flat-lying or of standing molecules? Does a wetting layer exist below and in between the islands? What is the reason behind the occasionally observed bimodal island size distribution? Can one describe the nucleation process with the diffusion-limited aggregation model? Do the impinging molecules directly adsorb on the surface or rather via a hot-precursor state? Finally, it will be described how the critical island size can be determined by an independent measurement of the deposition rate dependence of the island density and the capture-zone distribution via a universal relationship.

  11. 12. International conference on thin films (ICTF 12). Book of Abstract

    International Nuclear Information System (INIS)

    Majkova, E.

    2002-09-01

    The publication has been set up as a proceedings of the conference dealing with thin films production and study of their properties. The conference was focused on the following topics: (1) Advanced deposition techniques; (2) Thin Film Growth; (3) Diagnostics, Structure - Properties Relationship; (4) Mechanical Properties and Stress; (5) Protective and Functional Coatings; (6) Micropatterning and Nanostructures; (7) EUV and Soft X-Ray Multilayers; (8) Magnetic Thin Films and Multilayers; (9) Organic Thin Films; (10) Thin Films for Electronics and Optics. In this proceedings totally 157 abstracts are published of which 126 are interest for INIS

  12. Low hydrogen containing amorphous carbon films - Growth and electrochemical properties as lithium battery anodes

    Energy Technology Data Exchange (ETDEWEB)

    Subramanian, V.; Masarapu, Charan; Wei, Bingqing [Department of Mechanical Engineering, University of Delaware, 130 Academy Street, Newark, DE 19716 (United States); Karabacak, Tansel [Department of Applied Science, University of Arkansas at Little Rock, 2801 South University Avenue, Little Rock, AR 72204 (United States); Teki, Ranganath [Department of Chemical and Biological Engineering, Rensselaer Polytechnic Institute, Troy, NY 12180 (United States); Lu, Toh-Ming [Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy, NY 12180 (United States)

    2010-04-02

    Amorphous carbon films were deposited successfully on Cu foils by DC magnetron sputtering technique. Electrochemical performance of the film as lithium battery anode was evaluated across Li metal at 0.2 C rate in a non-aqueous electrolyte. The discharge curves showed unusually low irreversible capacity in the first cycle with a reversible capacity of {proportional_to}810 mAh g{sup -1}, which is at least 2 times higher than that of graphitic carbon. For the first time we report here an amorphous carbon showing such a high reversibility in the first cycle, which is very much limited to the graphitic carbon. The deposited films were extensively characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM) and step profilometer for the structural and surface properties. The hydrogen content of the synthesized films was studied using residual gas analysis (RGA). The low hydrogen content and the low specific surface area of the synthesized amorphous carbon film are considered responsible for such a high first cycle columbic efficiency. The growth mechanism and the reasons for enhanced electrochemical performance of the carbon films are discussed. (author)

  13. Low hydrogen containing amorphous carbon films-Growth and electrochemical properties as lithium battery anodes

    Science.gov (United States)

    Subramanian, V.; Karabacak, Tansel; Masarapu, Charan; Teki, Ranganath; Lu, Toh-Ming; Wei, Bingqing

    Amorphous carbon films were deposited successfully on Cu foils by DC magnetron sputtering technique. Electrochemical performance of the film as lithium battery anode was evaluated across Li metal at 0.2 C rate in a non-aqueous electrolyte. The discharge curves showed unusually low irreversible capacity in the first cycle with a reversible capacity of ∼810 mAh g -1, which is at least 2 times higher than that of graphitic carbon. For the first time we report here an amorphous carbon showing such a high reversibility in the first cycle, which is very much limited to the graphitic carbon. The deposited films were extensively characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM) and step profilometer for the structural and surface properties. The hydrogen content of the synthesized films was studied using residual gas analysis (RGA). The low hydrogen content and the low specific surface area of the synthesized amorphous carbon film are considered responsible for such a high first cycle columbic efficiency. The growth mechanism and the reasons for enhanced electrochemical performance of the carbon films are discussed.

  14. ToF-SIMS study of growth behavior in all-nanoparticle multilayer films using a novel indicator layer

    International Nuclear Information System (INIS)

    Chen, B.-J.; Yin, Y.-S.; Ling, Y.-C.

    2008-01-01

    All-nanoparticle multilayer films found novel applications in the areas of photonics, catalysis, sensors, and biomaterials. The assembly of nanoparticles into conformal and uniform films with precise control over chemical and physical properties poses a significant challenge. Using time-of-flight secondary ion mass spectrometry (ToF-SIMS), we have investigated the growth behavior in all-nanoparticle multilayer films using a novel indicator layer. The all-nanoparticle multilayer films were prepared by dipping the polyester substrate with electrostatic charges alternatively into solutions containing three different types of nanoparticles (TiO 2 , Al 2 O 3 , and SiO 2 ). Upon the deposition of each layer, ToF-SIMS was employed to determine the surface chemical composition of intermediate products. The intermixing extent of TiO 2 indicator layer was used to reveal the stratification of each layer. Combining with zeta-potential measurements, the solvation and deposition of the under-layer species in the aqueous environment during fresh layer formation was proposed as a plausible cause for mutilayers not stratified into well-defined layers but displaying a nonlinear growth behavior.

  15. Near IR Scanning Angle Total Internal Reflection Raman Spectroscopy at Smooth Gold Films

    Energy Technology Data Exchange (ETDEWEB)

    McKee, Kristopher; Meyer, Matthew; Smith, Emily

    2012-04-13

    Total internal reflection (TIR) Raman and reflectivity spectra were collected for nonresonant analytes as a function of incident angle at sapphire or sapphire/smooth 50 nm gold interfaces using 785 nm excitation. For both interfaces, the Raman signal as a function of incident angle is well-modeled by the calculated interfacial mean square electric field (MSEF) relative to the incident field times the thickness of the layer being probed in the Raman measurement (D{sub RS}). The Raman scatter was reproducibly enhanced at the interface containing a gold film relative to the sapphire interface by a factor of 4.3–4.6 for aqueous pyridine or 2.2–3.7 for neat nitrobenzene, depending on the analyzed vibrational mode. The mechanism for the increased Raman signal is the enhanced MSEF at incident angles where propagating surface plasmons are excited in the metal film. The background from the TIR prism was reduced by 89–95% with the addition of the gold film, and the percent relative uncertainty in peak area was reduced from 15 to 1.7% for the 1347 cm–1 mode of nitrobenzene. Single monolayers of benzenethiol (S/N = 6.8) and 4-mercaptopyridine (S/N = 16.5) on gold films were measured by TIR Raman spectroscopy with 785 nm excitation (210 mW) without resonant enhancement in 1 min.

  16. Researching Seeds: Films, Sanitation Methods, Microbiological Growth, Viability, and Selection for New Crops

    Science.gov (United States)

    Padgett, Niki; Smith, Trent

    2018-01-01

    A major factor in long-term human exploration of the solar system is crop growth in microgravity. Space crops can provide fresh, nutritious food to supplement diets for astronauts. Important factors impacting space plant growth and consumption are water delivery to root zone in microgravity, sanitation methods for microbiological safety, plant responses to light quality/spectrum, and identifying optimal edible plants suitable for growth on the International Space Station (ISS). Astronauts growing their own food on the ISS provides necessary data for crop production for long duration deep space missions. The seed film project can be used in Advanced Plant Habitat and Veggies that are currently being utilized on the ISS.

  17. Growth of ordered silver nanoparticles in silica film mesostructured with a triblock copolymer PEO-PPO-PEO

    International Nuclear Information System (INIS)

    Bois, L.; Chassagneux, F.; Parola, S.; Bessueille, F.; Battie, Y.; Destouches, N.; Boukenter, A.; Moncoffre, N.; Toulhoat, N.

    2009-01-01

    Elaboration of mesostructured silica films with a triblock copolymer polyethylene oxide-polypropylene oxide-polyethylene oxide, (PEO-PPO-PEO) and controlled growth of silver nanoparticles in the mesostructure are described. The films are characterized using UV-visible optical absorption spectroscopy, TEM, AFM, SEM, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS). Organized arrays of spherical silver nanoparticles with diameter between 5 and 8 nm have been obtained by NaBH 4 reduction. The size and the repartition of silver nanoparticles are controlled by the film mesostructure. The localization of silver nanoparticles exclusively in the upper-side part of the silica-block copolymer film is evidenced by RBS experiment. On the other hand, by using a thermal method, 40 nm long silver sticks can be obtained, by diffusion and coalescence of spherical particles in the silica-block copolymer layer. In this case, migration of silver particles toward the glass substrate-film interface is shown by the RBS experiment. - Graphical abstract: Growth of silver nanoparticles in a mesostructured block copolymer F127-silica film is performed either by a chemical route involving NaBH 4 reduction or by a thermal method. An array of spherical silver nanoparticles with 10 nm diameter on the upper-side of the mesostructured film or silver sticks long of 40 nm with a preferential orientation are obtained according to the method used. a: TEM image of the Fag5SiNB sample illustrating the silver nanoparticles array obtained by the chemical process; b: HR-TEM image of the Fag20Sid2 sample illustrating the silver nanosticks obtained by the thermal process.

  18. Growth and etching characteristics of gallium oxide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ou, Sin-Liang; Wuu, Dong-Sing; Fu, Yu-Chuan; Liu, Shu-Ping; Horng, Ray-Hua; Liu, Lei; Feng, Zhe-Chuan

    2012-01-01

    Highlights: ► The β-Ga2O3 thin films are prepared by pulsed laser deposition. ► The substrate temperature affects the structural, optical and etching properties of the grown films. ► The optical transmittance and band gap of the films increased with increasing the substrate temperature. ► The etching treatments for gallium oxide are performed in 49 mol% HF solution at room temperature. ► The gallium oxide thin film grown at 400 °C has the highest etching rate of 490 nm s −1 . - Abstract: The gallium oxide films were deposited on (0 0 1) sapphire at various substrate temperatures from 400 to 1000 °C by pulsed laser deposition using a KrF excimer laser. The etching treatments for as-grown gallium oxide were performed in a 49 mol% HF solution at room temperature. The structural, optical and etching properties of the grown films were investigated in terms of high resolution X-ray diffraction, optical transmittance, atomic force microscopy, and X-ray photoelectron spectroscopy. The phase transition from amorphous to polycrystalline β-Ga 2 O 3 structure was observed with increasing growth temperature. From the optical transmittance measurements, the films grown at 550–1000 °C exhibit a clear absorption edge at deep ultraviolet region around 250–275 nm wavelength. It was found that the optical band gap of gallium oxide films increased from 4.56 to 4.87 eV when the substrate temperature increased from 400 to 1000 °C. As the substrate temperature increases, the crystallinity of gallium oxide film is enhanced and the etching rate is decreased. The high etching rate of 490 nm s −1 for gallium oxide film grown at 400 °C could be due to its amorphous phase, which is referred to higher void ratio and looser atomic structure.

  19. Growth modes of pentacene films obtained by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wisz, G.; Kuzma, M.; Virt, I.; Sagan, P.; Rudyj, I.

    2011-01-01

    Thin pentacene films were deposited on KCl and ITO/glass substrates by the pulsed laser deposition method (PLD) using a YAG:Nd 3+ laser with a second harmonic (λ = 532 nm). We compared the structure of the layer on differently oriented substrates with respect to the pentacene plasma plume - vertical and parallel orientation. The structure of the layers formed was examined using SEM, RHEED and THEED methods. The lattice parameters of the layer deposited on KCl were determined from THEED pattern (a = 5.928 A, b 7.874 A, c = 14,98 A, α = 76.54 o , β 75.17 o , γ = 89.20 o ). The preferred direction [11-bar 0] of the layer growth on KCl substrate was addressed. The effect of the substrate orientation results in a different growth mode of the layers.

  20. Direct growth of nanocrystalline hexagonal boron nitride films on dielectric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tay, Roland Yingjie [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Tsang, Siu Hon [Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Loeblein, Manuela; Chow, Wai Leong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); CNRS-International NTU Thales Research Alliance CINTRA UMI 3288, Research Techno Plaza, 50 Nanyang Drive, Singapore, Singapore 637553 (Singapore); Loh, Guan Chee [Institue of High Performance Computing, 1 Fusionopolis Way, #16-16 Connexis, Singapore 138632 (Singapore); Department of Physics, Michigan Technological University, Houghton, Michigan 49931 (United States); Toh, Joo Wah; Ang, Soon Loong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Teo, Edwin Hang Tong, E-mail: htteo@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore)

    2015-03-09

    Atomically thin hexagonal-boron nitride (h-BN) films are primarily synthesized through chemical vapor deposition (CVD) on various catalytic transition metal substrates. In this work, a single-step metal-catalyst-free approach to obtain few- to multi-layer nanocrystalline h-BN (NCBN) directly on amorphous SiO{sub 2}/Si and quartz substrates is demonstrated. The as-grown thin films are continuous and smooth with no observable pinholes or wrinkles across the entire deposited substrate as inspected using optical and atomic force microscopy. The starting layers of NCBN orient itself parallel to the substrate, initiating the growth of the textured thin film. Formation of NCBN is due to the random and uncontrolled nucleation of h-BN on the dielectric substrate surface with no epitaxial relation, unlike on metal surfaces. The crystallite size is ∼25 nm as determined by Raman spectroscopy. Transmission electron microscopy shows that the NCBN formed sheets of multi-stacked layers with controllable thickness from ∼2 to 25 nm. The absence of transfer process in this technique avoids any additional degradation, such as wrinkles, tears or folding and residues on the film which are detrimental to device performance. This work provides a wider perspective of CVD-grown h-BN and presents a viable route towards large-scale manufacturing of h-BN substrates and for coating applications.

  1. Epitaxial growth of bcc-FexCo100-x thin films on MgO(1 1 0) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nishiyama, Tsutomu; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki

    2010-01-01

    Fe x Co 100-x (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe x Co 100-x film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe x Co 100-x crystals with very small errors less than ±0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe 50 Co 50 /MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  2. Thin film growth of CaFe2As2 by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hatano, T; Fujimoto, R; Nakamura, I; Mori, Y; Ikuta, H; Kawaguchi, T; Harada, S; Ujihara, T

    2016-01-01

    Film growth of CaFe 2 As 2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe 2 As 2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch. (paper)

  3. Thin film growth of CaFe2As2 by molecular beam epitaxy

    Science.gov (United States)

    Hatano, T.; Kawaguchi, T.; Fujimoto, R.; Nakamura, I.; Mori, Y.; Harada, S.; Ujihara, T.; Ikuta, H.

    2016-01-01

    Film growth of CaFe2As2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe2As2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch.

  4. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  5. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  6. Growth and Characterisation of Pulsed-Laser Deposited Tin Thin Films on Cube-Textured Copper at Different Temperatures

    Directory of Open Access Journals (Sweden)

    Szwachta G.

    2016-06-01

    Full Text Available High-quality titanium nitride thin films have been grown on a cube-textured copper surface via pulsed laser deposition. The growth of TiN thin films has been very sensitive to pre-treatment procedure and substrate temperature. It is difficult to grow heteroexpitaxial TiN films directly on copper tape due to large differences in lattice constants, thermal expansion coefficients of the two materials as well as polycrystalline structure of substrate. The X-Ray diffraction measurement revealed presence of high peaks belonged to TiN(200 and TiN(111 thin films, depending on used etcher of copper surface. The electron diffraction patterns of TiN(200/Cu films confirmed the single-crystal nature of the films with cube-on-cube epitaxy. The high-resolution microscopy on our films revealed sharp interfaces between copper and titanium nitride with no presence of interfacial reaction.

  7. Total pubertal growth in patients with juvenile idiopathic arthritis treated with growth hormone: analysis of a single center.

    Science.gov (United States)

    Bechtold, S; Beyerlein, A; Ripperger, P; Roeb, J; Dalla Pozza, R; Häfner, R; Haas, J P; Schmidt, H

    2012-10-01

    Growth failure is a permanent sequelae in juvenile idiopathic arthritis (JIA). The aim of the study was to compare pubertal growth in control and growth hormone (GH) treated JIA subjects. 64 children with JIA at a mean age of 10.38 ± 2.80 years were enrolled and followed until final height (measured in standard deviation (SD) scores). 39 children (20 m) received GH therapy and 24 (9 m) served as controls. GH dose was 0.33 mg/kg/week. Linear regression analysis was performed to identify factors influencing total pubertal growth. Mean total pubertal growth was 21.1 ± 1.3 cm (mean ± SD) in GH treated JIA patients and 13.8 ± 1.5 cm in controls. Final height was significantly higher with GH treatment (-1.67 ± 1.20 SD) compared to controls (-3.20 ± 1.84 SD). Linear regression model identified age at onset of puberty (ß=-4.2,CI: -5.9, -2.6 in controls and ß=-2.3,CI: -3.6, -1.1 in GH treated) as the main factor for total pubertal growth. Final height SDS was determined by the difference to target height at onset of puberty (ß=-0.59;CI: -0.80, -0.37 in controls and ß=-0.30,CI: -0.52, -0.08 in GH treated), age at onset of puberty (ß=0.47;CI:0.02,0.93 in controls and 0.23;CI: -0.00,0.46 in GH treated) and height gain during puberty (ß=0.13;CI:0.05,0.21 in controls and ß=0.11;CI:0.07,0.16 in GH treated). Total pubertal growth in JIA patients treated with GH was increased by a factor of 1.5 greater in comparison to controls leading to a significantly better final height. To maximize final height GH treatment should be initiated early to reduce the height deficit at onset of puberty. Copyright © 2012 Elsevier Ltd. All rights reserved.

  8. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  9. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  10. Growth and characterization of β-Ga2O3 thin films by molecular beam epitaxy for deep-UV photodetectors

    Science.gov (United States)

    Ghose, Susmita; Rahman, Shafiqur; Hong, Liang; Rojas-Ramirez, Juan Salvador; Jin, Hanbyul; Park, Kibog; Klie, Robert; Droopad, Ravi

    2017-09-01

    The growth of high quality epitaxial beta-gallium oxide (β-Ga2O3) using a compound source by molecular beam epitaxy has been demonstrated on c-plane sapphire (Al2O3) substrates. The compound source provides oxidized gallium molecules in addition to oxygen when heated from an iridium crucible in a high temperature effusion cell enabling a lower heat of formation for the growth of Ga2O3, resulting in a more efficient growth process. This source also enabled the growth of crystalline β-Ga2O3 without the need for additional oxygen. The influence of the substrate temperatures on the crystal structure and quality, chemical bonding, surface morphology, and optical properties has been systematically evaluated by x-ray diffraction, scanning transmission electron microscopy, x-ray photoelectron spectroscopy, atomic force microscopy, spectroscopic ellipsometry, and UV-vis spectroscopy. Under optimized growth conditions, all films exhibited pure (" separators="|2 ¯01 ) oriented β-Ga2O3 thin films with six-fold rotational symmetry when grown on a sapphire substrate. The thin films demonstrated significant absorption in the deep-ultraviolet (UV) region with an optical bandgap around 5.0 eV and a refractive index of 1.9. A deep-UV photodetector fabricated on the high quality β-Ga2O3 thin film exhibits high resistance and small dark current (4.25 nA) with expected photoresponse for 254 nm UV light irradiation suggesting that the material grown using the compound source is a potential candidate for deep-ultraviolet photodetectors.

  11. Contribution to understanding and controlling a-Si:H thin films growth by mercury-sensitised photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.

    2003-09-01

    Mercury-sensitized photo-CVD technique is widely used for growing amorphous silicon thin films. This attractive method allows damage-free thin film depositions at very low substrate temperatures without the deleterious effects of the other processes. This review reports on the principle and potential of this technique. It also recalls and summarizes some fundamental issues such as experimental systems or apparatus particularities, the analysis of gas-phase reactions in the reactor, the surface-reaction model of SiH 3 and H during the film growth and all the kinetic model for lamp-induced Photo-CVD. (author)

  12. Growth, characterization and device development in monocrystalline diamond films

    Science.gov (United States)

    Davis, R. F.; Glass, J. T.; Nemanich, R. J.; Bozeman, S. P.; Sowers, A. T.

    1995-06-01

    Experimental and theoretical studies concerned with interface interactions of diamond with Si, Ni, and Ni3Si substrates have been conducted. Oriented diamond films deposited on (100) Si were characterized by polar Raman, polar x-ray diffraction (XRD), and cross-sectional high resolution transmission electron microscopy (HRTEM). These sutides showed that the diamond(100)/Si(100) interface adopted the 3:2-match arrangement rather than a 45 deg rotation. Extended Hueckel tight-binding (EHTB) electronic structure calculations for a model system revealed that the interface interaction favors the 3:2-match arrangement. Growth on polycrystalline Ni3Si resulted in oriented diamond particles; under the same growth conditions, graphite was formed on the nickel substrate. Our EHTB electronic structure calculations showed that the (111) and (100) surfaces of Ni3Si have a strong preference for diamond nucleation over graphite nucleation, but this was not the case for the (111) and (100) surfaces of Ni.

  13. Growth and quantum transport properties of vertical Bi2Se3 nanoplate films on Si substrates.

    Science.gov (United States)

    Li, M Z; Wang, Z H; Yang, L; Pan, D S; Li, Da; Gao, Xuan; Zhang, Zhi-Dong

    2018-05-14

    Controlling the growth direction (planar vs. vertical) and surface-to-bulk ratio can lead to lots of unique properties for two-dimensional (2D) layered materials. We report a simple method to fabricate continuous films of vertical Bi2Se3 nanoplates on Si substrate and investigate the quantum transport properties of such films. In contrast to (001) oriented planar Bi2Se3 nanoplate film, vertical Bi2Se3 nanoplate films are enclosed by (015) facets, which possess high surface-to-bulk ratio that can enhance the quantum transport property of topological surface states. And by controlling the compactness of vertical Bi2Se3 nanoplates, we realized an effective tuning of the weak antilocalization (WAL) effect from topological surface states in Bi2Se3 films. Our work paves a way for exploring the unique transport properties of this unconventional structure topological insulator film. © 2018 IOP Publishing Ltd.

  14. Low-cost growth of magnesium doped gallium nitride thin films by sol-gel spin coating method

    Science.gov (United States)

    Amin, N. Mohd; Ng, S. S.

    2018-01-01

    Low-cost sol-gel spin coating growth of magnesium (Mg) doped gallium nitride (GaN) thin films with different concentrations of Mg was reported. The effects of the Mg concentration on the structural, surface morphology, elemental compositions, lattice vibrational, and electrical properties of the deposited films were investigated. X-ray diffraction results show that the Mg-doped samples have wurtzite structure with preferred orientation of GaN(002). The crystallite size decreases and the surface of the films with pits/pores were formed, while the crystalline quality of the films degraded as the Mg concentration increases from 2% to 6. %. All the Raman active phonon modes of the wurtzite GaN were observed while a broad peak attributed to the Mg-related lattice vibrational mode was detected at 669 cm-1. Hall effect results show that the resistivity of the thin films decreases while the hole concentration and hall mobility of thin films increases as the concentration of the Mg increases.

  15. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  16. Growth and magnetic properties of multiferroic LaxBi1-xMnO3 thin films

    Science.gov (United States)

    Gajek, M.; Bibes, M.; Wyczisk, F.; Varela, M.; Fontcuberta, J.; Barthélémy, A.

    2007-05-01

    A comparative study of LaxBi1-xMnO3 thin films grown on SrTiO3 substrates is reported. It is shown that these films grow epitaxially in a narrow pressure-temperature range. A detailed structural and compositional characterization of the films is performed within the growth window. The structure and the magnetization of this system are investigated. We find a clear correlation between the magnetization and the unit-cell volume that we ascribe to Bi deficiency and the resultant introduction of a mixed valence on the Mn ions. On these grounds, we show that the reduced magnetization of LaxBi1-xMnO3 thin films compared to the bulk can be explained quantitatively by a simple model, taking into account the deviation from nominal composition and the Goodenough-Kanamori-Anderson rules of magnetic interactions.

  17. Buffer layers for growth of the YBa sub 2 Cu sub 3 O sub 7 sub - sub x films on silicon

    CERN Document Server

    Razumov, S V

    2001-01-01

    The results of the studies on the structural characteristics of the SrTiO sub 3 , NdGaO sub 3 and CeO sub 2 buffer layers, obtained through the ion-plasma spraying on the silicon substrates, are presented. It is shown that the phase composition and internal stresses in the films are strongly dependent on the deposition temperature. The technological conditions of growth of primarily oriented SrTiO sub 3 , NdGaO sub 3 and CeO sub 2 films are dortmund. The structural quality of the obtained buffer films is sufficient for further growth of the YBa sub 2 Cu sub 3 O sub 7 sub - sub x high-quality films on the silicon substrates

  18. Computer graphic investigation on the epitaxial growth of superconductor films

    International Nuclear Information System (INIS)

    Miyamoto, A.; Iwamoto, S.; Inui, T.; Agusa, K.

    1989-01-01

    A mechanism of the epitaxial growth the oxide superconductor films has been investigated by using the computer graphics for the combination of orthorhombic Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 MgO, and ZrO 2 . The (001) plane Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 , MgO, and ZrO 2 . The (001) plane of Ba 2 YCu 3 O 7-x has been shown to fit the (100) plane of SrTiO 3 , MgO, and ZrO 2 . A crystallographic fit has also been proved between the (110) plane of Ba 2 YCu 3 O 7-x and the (110) plane of SrTiO 3 . These results are consistent with the experimental data about the epitaxial growth of the Ba 2 YCu 3 O 7-x films. Furthermore, detailed investigation of atomic arrangements has indicated some differences in the ionic interaction at the superconductor-substrate interface among SrTiO 3 , MgO, and ZrO 2 substrates. As for ZrO 2 (100) plane, for examples, ionic arrangements at the oxide layer is favorable only for the interaction with Y 3+ layer of Ba 2 YCu 3 O 7-x , while the Zr-O layer of ZrO 2 can interact with both Ba-O layer and Cu-O layer of Ba 2 YCu 3 O 7-x

  19. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  20. Room temperature growth of nanocrystalline anatase TiO2 thin films by dc magnetron sputtering

    International Nuclear Information System (INIS)

    Singh, Preetam; Kaur, Davinder

    2010-01-01

    We report, the structural and optical properties of nanocrystalline anatase TiO 2 thin films grown on glass substrate by dc magnetron sputtering at room temperature. The influence of sputtering power and pressure over crystallinity and surface morphology of the films were investigated. It was observed that increase in sputtering power activates the TiO 2 film growth from relative lower surface free energy to higher surface free energy. XRD pattern revealed the change in preferred orientation from (1 0 1) to (0 0 4) with increase in sputtering power, which is accounted for different surface energy associated with different planes. Microstructure of the films also changes from cauliflower type to columnar type structures with increase in sputtering power. FESEM images of films grown at low pressure and low sputtering power showed typical cauliflower like structure. The optical measurement revealed the systematic variation of the optical constants with deposition parameters. The films are highly transparent with transmission higher than 90% with sharp ultraviolet cut off. The transmittance of these films was found to be influenced by the surface roughness and film thickness. The optical band gap was found to decrease with increase in the sputtering power and pressure. The refractive index of the films was found to vary in the range of 2.50-2.24 with increase in sputtering pressure or sputtering power, resulting in the possibility of producing TiO 2 films for device applications with different refractive index, by changing the deposition parameters.

  1. XRD total scattering of the CZTS nanoparticle absorber layer for the thin film solar cells

    DEFF Research Database (Denmark)

    Symonowicz, Joanna; Jensen, Kirsten M. Ø.; Engberg, Sara Lena Josefin

    Cu2ZnSnS4 (CZTS) thin film solar cells are cheap, non-toxic and present an efficiency up to 9,2% [1]. They can be easily manufactured by the deposition of the nanoparticle ink as a thin film followed by a thermal treatment to obtain large grains [2]. Therefore, CZTS has the potential...... to revolutionize the solar energy market. However, to commercialize CZTS nanoparticle thin films, the efficiency issues must yet be resolved. In order to do so, it is vital to understand in detail their nanoscale atomic structure. CZTS crystallize in the kesterite structure, where Cu and Zn is distributed between......-ray Diffraction data with X-ray total scattering with Pair Distribution Function analysis. Powder neutron diffraction will furthermore allow characterization of the cation disorder on the metal sites in the kesterite structure. The nanoparticle ink is also characterized by XRD, EDS, and Raman spectroscopy...

  2. One unit-cell seed layer induced epitaxial growth of heavily nitrogen doped anatase TiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, T L; Hirose, Y; Hitosugi, T; Hasegawa, T [Kanagawa Academy of Science and Technology (KAST), Kawasaki 213-0012 (Japan)], E-mail: chen@ksp.or.jp

    2008-03-21

    We present a novel way to obtain heavily nitrogen doped anatase TiO{sub 2} films by using a solid-state nitrogen source. Epitaxial growth of the films was realized by introducing one unit-cell seed layer, which was indicated by reflection high-energy electron diffraction as intensity oscillation. Results of x-ray diffraction and x-ray photoelectron spectroscopy confirmed that the films were in the anatase phase heavily doped with nitrogen of {approx}15 at%. The films obtained exhibited considerable narrowing of the optical bandgap, resulting in an enhancement of absorption in the visible-light region. (fast track communication)

  3. Heteroepitaxial growth of CuInS2 thin films on sapphire by radio frequency reactive sputtering

    International Nuclear Information System (INIS)

    He, Y.B.; Kriegseis, W.; Meyer, B.K.; Polity, A.; Serafin, M.

    2003-01-01

    Direct heteroepitaxial growth of uniform stoichiometric CuInS 2 (CIS) thin films on sapphire (0001) substrates has been achieved by radio frequency reactive sputtering. X-ray ω-2θ scans reveal that the sputtered layers grow in a (112) orientation with a chalcopyrite structure. A rocking curve full width at half maximum of about 0.05 deg. (180 arc sec) for the (112) peak demonstrates a nearly perfect out-of-plane arrangement of CIS (112) parallel sapphire (0001). X-ray diffraction Phi scans further illustrate an excellent in-plane ordering of CIS [1-bar10] parallel sapphire (101-bar0). The sputtered thin CIS epilayers had a smooth surface with a typical root-mean-square roughness of about 3.3 nm as evaluated by atomic force microscopy. The epitaxial growth of tetragonal CIS on hexagonal sapphire provides evidence that heteroepitaxial growth may be realized between structures of different symmetry, such as films of cubic or tetragonal structures on hexagonal substrates or vice versa

  4. Growth Kinetics and Oxidation Mechanism of ALD TiN Thin Films Monitored by In Situ Spectroscopic Ellipsometry

    NARCIS (Netherlands)

    Van Hao, B.; Groenland, A.W.; Aarnink, Antonius A.I.; Wolters, Robertus A.M.; Schmitz, Jurriaan; Kovalgin, Alexeij Y.

    2011-01-01

    Spectroscopic ellipsometry (SE) was employed to investigate the growth of atomic layer deposited (ALD) TiN thin films from titanium chloride (TiCl4) and ammonia (NH3) and the followed oxidation in dry oxygen. Two regimes were found in the growth including a transient stage prior to a linear regime.

  5. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  6. The flux pinning properties of BaSnO{sub 3}-added GdBa{sub 2}Cu3O{sub 7-δ} films with varying growth conditions

    Energy Technology Data Exchange (ETDEWEB)

    Lee, J. K.; Oh, J. Y.; Kang, B. [Dept. of Physics, Chungbuk National University, Cheongju (Korea, Republic of); Lee, J. M.; Kang, W. N. [Dept. of Physics, Sungkyunkwan University, Suwon (Korea, Republic of)

    2017-09-15

    Addition of BaSnO{sub 3} (BSO) to GdBa{sub 2}Cu{sub 3}O{sub 7-δ} (GdBCO) is reported to enhance the flux pinning property of GdBCO thick films. To investigate the effect of growth condition on the pinning properties, 700 nm-thick BSO-added GdBCO films deposited with varying temperatures and growth rates were prepared by using a pulsed laser deposition method. As the deposition temperature increases, the critical current density and the pinning force density show an improved field dependence up to 750 ℃ due to the increase in the formation of the a-axis growth and the BSO nanostructures. The films deposited at higher temperatures show degraded surfaces and as a result, degraded pinning behaviors. For the change in growth rate, the critical current density and the pinning force increase as the repetition rate increase at low magnetic fields, but this behavior is reversed in high magnetic fields. These results indicate that the film growth conditions significantly affect the formation of BSO nanostructures and the pinning properties of BSO-added GdBCO films.

  7. Correlation of electrolyte-derived inclusions to crystallization in the early stage of anodic oxide film growth on titanium

    Energy Technology Data Exchange (ETDEWEB)

    Jaeggi, C., E-mail: christian.jaeggi@empa.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Advanced Materials Processing Laboratory, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland); Parlinska-Wojtan, M., E-mail: magdalena.parlinska@empa.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Center for Electron Microscopy, Ueberlandstrasse 129, CH-8600 Duebendorf (Switzerland); Kern, P., E-mail: Philippe.Kern@neopac.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Laboratory for Mechanics of Materials and Nanostructures, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland)

    2012-01-01

    Pure titanium has been subjected to anodization in sulfuric and phosphoric acid. For a better understanding of the oxide growth and properties of the final film, with a particular interest focused on the solution anions in the early stage of crystallization, microstructural analyses (Raman, Transmission Electron Microscopy [TEM]) of the oxide films were correlated to chemical depth profiling by glow discharge optical emission spectroscopy (GDOES). Raman spectroscopy shows that crystallization of the oxide films starts at potentials as low as 10-20 V. The onset of crystallization and the ongoing increase in crystallinity with increasing anodization potentials had already earlier been correlated to ac-impedance measurements [Jaeggi et al., Surf. Interface Anal. 38 (2006) 182]. TEM observations show a clear difference in the early phase of crystallization between oxides grown in 1 M sulfuric acid compared to 1 M phosphoric acid. Moreover, independent of electrolyte type, nano-sized pores from oxygen bubbles formation were revealed in the central part of the films. Until now, oxygen bubbles inside an anodically grown oxide have not been observed before without the presence of crystalline regions nearby. A growth model is proposed, in which the different starting locations of crystallization inside the films are correlated to the presence of the acid anions as residues in the film, as found by GDOES chemical depth-profiling.

  8. A study of growth and thermal dewetting behavior of ultra-thin gold films using transmission electron microscopy

    Directory of Open Access Journals (Sweden)

    Sudheer

    2017-07-01

    Full Text Available The growth and solid-state dewetting behavior of Au thin films (0.7 to 8.4 nm deposited on the formvar film (substrate by sputtering technique have been studied using transmission electron microscopy. The size and number density of the Au nanoparticles (NPs change with an increase in the film thickness (0.7 to 2.8 nm. Nearly spherical Au NPs are obtained for 6 nm show capability to be used as an irreversible temperature sensor with a sensitivity of ∼0.1 CAF/°C. It is observed that annealing affects the crystallinity of the Au grains in the films. The electron diffraction measurement also shows annealing induced morphological evolution in the percolated Au thin films (≥3 nm during solid-state dewetting and recrystallization of the grains.

  9. Growth and Characterization of Magnetoelectric Fe2TeO6 Thin Films

    Science.gov (United States)

    Wang, Junlei; Colon Santana, Juan; Wu, Ning; Dowben, Peter; Binek, Christian

    2013-03-01

    Voltage-controlled spintronics is of vital importance in information technology where power consumption and Joule heating restrict progress through scaling. Motivated by spintronic concepts and specifically by device applications utilizing electrically controlled interface or boundary magnetization (BM) in magnetic thin film heterostructures, we report on growth, structural, magnetic and magnetoelectric (ME) characterization of the antiferromagnet Fe2TeO6. Magnetometry of synthesized Fe2TeO6 powder, in combination with ME susceptibility data reveals 3D Heisenberg criticality in striking similarity to the archetypical ME chromia. X-ray diffraction shows (110) texture of the PLD grown films. Measurements of the magnetic susceptibility of the latter confirm in-plane magnetic anisotropy. X-ray photoemission spectroscopy indicates a Te-O terminated (110) surface. We interpret it in terms of surface reconstruction. Measurements of X-ray magnetic circular dichroism combined with photoemission electron microscopy support the presence of electrically controllable BM in the PLD-grown Fe2TeO6 thin film. We acknowledge financial support by NSF-MRSEC & Nanoelectronics Research Initiative.

  10. Beyond the Young-Laplace model for cluster growth during dewetting of thin films: effective coarsening exponents and the role of long range dewetting interactions.

    Science.gov (United States)

    Constantinescu, Adi; Golubović, Leonardo; Levandovsky, Artem

    2013-09-01

    Long range dewetting forces acting across thin films, such as the fundamental van der Waals interactions, may drive the formation of large clusters (tall multilayer islands) and pits, observed in thin films of diverse materials such as polymers, liquid crystals, and metals. In this study we further develop the methodology of the nonequilibrium statistical mechanics of thin films coarsening within continuum interface dynamics model incorporating long range dewetting interactions. The theoretical test bench model considered here is a generalization of the classical Mullins model for the dynamics of solid film surfaces. By analytic arguments and simulations of the model, we study the coarsening growth laws of clusters formed in thin films due to the dewetting interactions. The ultimate cluster growth scaling laws at long times are strongly universal: Short and long range dewetting interactions yield the same coarsening exponents. However, long range dewetting interactions, such as the van der Waals forces, introduce a distinct long lasting early time scaling behavior characterized by a slow growth of the cluster height/lateral size aspect ratio (i.e., a time-dependent Young angle) and by effective coarsening exponents that depend on cluster size. In this study, we develop a theory capable of analytically calculating these effective size-dependent coarsening exponents characterizing the cluster growth in the early time regime. Such a pronounced early time scaling behavior has been indeed seen in experiments; however, its physical origin has remained elusive to this date. Our theory attributes these observed phenomena to ubiquitous long range dewetting interactions acting across thin solid and liquid films. Our results are also applicable to cluster growth in initially very thin fluid films, formed by depositing a few monolayers or by a submonolayer deposition. Under this condition, the dominant coarsening mechanism is diffusive intercluster mass transport while the

  11. Investigation of growth, structural and electronic properties of V2O3 thin films on selected substrates

    International Nuclear Information System (INIS)

    Nateprov, Alexei

    2006-08-01

    The present work is devoted to the experimental study of the MI transition in V 2 O 3 thin films, grown on different substrates. The main goal of the work was to develop a technology of growth of V 2 O 3 thin films on substrates with different electrical and structural properties (diamond and LiNbO 3 ), designed for specific applications. The structural and electrical properties of the obtained films were characterized in detail with a special focus on their potential applications. The MIT of V 2 O 3 was investigated by SAW using first directly deposited V 2 O 3 thin film onto a LiNbO 3 substrate. (orig.)

  12. Practice-oriented optical thin film growth simulation via multiple scale approach

    Energy Technology Data Exchange (ETDEWEB)

    Turowski, Marcus, E-mail: m.turowski@lzh.de [Laser Zentrum Hannover e.V., Hollerithallee 8, Hannover 30419 (Germany); Jupé, Marco [Laser Zentrum Hannover e.V., Hollerithallee 8, Hannover 30419 (Germany); QUEST: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany); Melzig, Thomas [Fraunhofer Institute for Surface Engineering and Thin Films IST, Bienroder Weg 54e, Braunschweig 30108 (Germany); Moskovkin, Pavel [Research Centre for Physics of Matter and Radiation (PMR-LARN), University of Namur (FUNDP), 61 rue de Bruxelles, Namur 5000 (Belgium); Daniel, Alain [Centre for Research in Metallurgy, CRM, 21 Avenue du bois Saint Jean, Liège 4000 (Belgium); Pflug, Andreas [Fraunhofer Institute for Surface Engineering and Thin Films IST, Bienroder Weg 54e, Braunschweig 30108 (Germany); Lucas, Stéphane [Research Centre for Physics of Matter and Radiation (PMR-LARN), University of Namur (FUNDP), 61 rue de Bruxelles, Namur 5000 (Belgium); Ristau, Detlev [Laser Zentrum Hannover e.V., Hollerithallee 8, Hannover 30419 (Germany); QUEST: Centre of Quantum Engineering and Space-Time Research, Leibniz Universität Hannover (Germany)

    2015-10-01

    Simulation of the coating process is a very promising approach for the understanding of thin film formation. Nevertheless, this complex matter cannot be covered by a single simulation technique. To consider all mechanisms and processes influencing the optical properties of the growing thin films, various common theoretical methods have been combined to a multi-scale model approach. The simulation techniques have been selected in order to describe all processes in the coating chamber, especially the various mechanisms of thin film growth, and to enable the analysis of the resulting structural as well as optical and electronic layer properties. All methods are merged with adapted communication interfaces to achieve optimum compatibility of the different approaches and to generate physically meaningful results. The present contribution offers an approach for the full simulation of an Ion Beam Sputtering (IBS) coating process combining direct simulation Monte Carlo, classical molecular dynamics, kinetic Monte Carlo, and density functional theory. The simulation is performed exemplary for an existing IBS-coating plant to achieve a validation of the developed multi-scale approach. Finally, the modeled results are compared to experimental data. - Highlights: • A model approach for simulating an Ion Beam Sputtering (IBS) process is presented. • In order to combine the different techniques, optimized interfaces are developed. • The transport of atomic species in the coating chamber is calculated. • We modeled structural and optical film properties based on simulated IBS parameter. • The modeled and the experimental refractive index data fit very well.

  13. Crossover of the preferred growth orientation of AlN/Si(001) films during off-axis radio frequency sputter growth

    International Nuclear Information System (INIS)

    Jang, H.W.; Kang, H.C.; Noh, D.Y.; Yi, M.S.

    2003-01-01

    We found that the crystallographic orientation of AlN/Si(001) thin films crosses over from the substrate normal towards the direction of incident flux during off-axis radio frequency magnetron sputter growth. At high growth temperatures, the crystalline c-axis orientation is maintained along the substrate normal direction initially, but jumps discontinuously towards the direction of incident flux. In contrast, at low growth temperatures, the c-axis direction shifts continuously towards the incident flux direction and saturates in the middle agreeing with the tangential rule of oblique deposition, i.e., tan β=1/2 tan α, where α and β denote the angles of incident flux and column incline, respectively. Selected area transmission electron diffraction patterns are consistent with the crossover measured by in situ x-ray scattering experiments

  14. Direct growth of transparent conducting Nb-doped anatase TiO2 polycrystalline films on glass

    International Nuclear Information System (INIS)

    Yamada, Naoomi; Kasai, Junpei; Hitosugi, Taro; Hoang, Ngoc Lam Huong; Nakao, Shoichiro; Hirose, Yasushi; Shimada, Toshihiro; Hasegawa, Tetsuya

    2009-01-01

    This paper proposes a novel sputter-based method for the direct growth of transparent conducting Ti 1-x Nb x O 2 (TNO) polycrystalline films on glass, without the need for any postdeposition treatments, by the use of an initial seed-layer. Anatase TNO epitaxial films grown on LaAlO 3 (100) substrates under a reducing atmosphere exhibited a low resistivity (ρ) of (3-6)x10 -4 Ω cm. On glass, however, highly resistive rutile phase polycrystalline films (ρ∼100 Ω cm) formed preferentially under the same conditions. These results suggest that epitaxial stabilization of the oxygen-deficient anatase phase occurs on lattice-matched substrates. To produce a similar effect on a glass surface, we deposited a seed-layer of anatase TNO with excellent crystallinity under an increased oxygen atmosphere. As a result, anatase phase TNO polycrystalline films could be grown even under heavily reducing atmospheres. An optimized film exhibited ρ=1.1x10 -3 Ω cm and optical absorption lower than 10% in the visible region. This ρ value is more than one order of magnitude lower than values reported for directly deposited TNO polycrystalline films. This indicates that the seed-layer method has considerable potential for producing transparent conducting TNO polycrystalline films on glass.

  15. Molecular beam epitaxy growth of InSb1-xBix thin films

    DEFF Research Database (Denmark)

    Yuxin Song; Shumin Wang; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1-xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  16. Surface structure of ultrathin metal films deposited on copper single crystals

    International Nuclear Information System (INIS)

    Butterfield, M.T.

    2000-04-01

    Ultrathin films of Cobalt, Iron and Manganese have been thermally evaporated onto an fcc Copper (111) single crystal substrate and investigated using a variety of surface structural techniques. The small lattice mismatch between these metals and the Cu (111) substrate make them an ideal candidate for the study of the phenomena of pseudomorphic film growth. This is important for the understanding of the close relationship between film structure and magnetic properties. Growing films with the structure of their substrate rather than their bulk phase may provide an opportunity to grow materials with novel physical and magnetic properties, and hence new technological applications. Both Cobalt and Iron have been found to initially maintain a registry with the fcc Cu (111) surface in a manner consistent with pseudomorphic growth. This growth is complicated by island rather than layer by layer growth in the initials stages of the film. In both cases a change in the structure of the film seems to occur at a point where the coalescence of islands in the film may be expected to occur. When the film does change structure they do not form a perfect overlayer with the structure of their bulk counterpart. The films do contain a number of features representative of the bulk phase but also contain considerable disorder and possibly remnants of fcc (111) structure. The order present in these films can be greatly improved by annealing. Manganese appears to grow with an fcc Mn (111) lattice spacing and there is no sign of a change in structure in films of up to 4.61 ML thick. The gradual deposition and annealing of a film to 300 deg. C, with a total deposition time the same as that for a 1 ML thick film, causes a surface reconstruction to occur that is apparent in a R30 deg. (√3 x √3) LEED pattern. This is attributed to the formation of a surface alloy, which is also supported by the local expansion of the Cu lattice in the (111) direction. (author)

  17. Growth of Ca2MnO4 Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    International Nuclear Information System (INIS)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W.; Grygiel, C.; Rohrer, G. S.; Salvador, P. A.; Velazquez, M.; Kloe, R. de

    2014-01-01

    The local epitaxial growth of pulsed laser deposited Ca 2 MnO 4 films on polycrystalline spark plasma sintered Sr 2 TiO 4 substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca 2 MnO 4 grain had a single OR with the Sr 2 TiO 4 grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001] film ||[100][001] sub . The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides

  18. Ab initio thermodynamics for the growth of ultra-thin Cu film on a perfect Mg O(001) surface

    Energy Technology Data Exchange (ETDEWEB)

    Zhukovskii, Yuri F. [Institute for Solid State Physics, University of Latvia, Kengaraga str. 8, Riga LV-1063 (Latvia)]. E-mail: quantzh@latnet.lv; Fuks, David [Materials Engineering Department, Ben-Gurion University of the Negev, POB 653, Beer-Sheva IL-84105 (Israel); Kotomin, Eugene A. [Institute for Solid State Physics, University of Latvia, Kengaraga str. 8, Riga LV-1063 (Latvia); Dorfman, Simon [Department of Physics, Israel Institute of Technology-Technion, Haifa IL-32000 (Israel)

    2005-12-15

    Controlled growth of thin metallic films on oxide substrates is important for numerous micro-and nano electronic applications. Our ab initio study is devoted to the periodic slab simulations for a series of ordered 2a Cu superlattices on the regular Mg O(001) substrate. Submonolayer and monolayer substrate Cu coverages were calculated using the Daft-Gaga method, as implemented into the Crystal-98 code. The results of ab initio calculations have been combined with thermodynamic theory which allows US to predict the growth mode of ultra-thin metal films (spinodal decomposition vs. nucleation-and-growth regime) as a function of the metal coverage and the temperature, and to estimate the metal density in clusters. We show that 3a cluster formation becomes predominant already at low Cu coverages, in agreement with the experiment.

  19. Ab initio thermodynamics for the growth of ultra-thin Cu film on a perfect Mg O(001) surface

    International Nuclear Information System (INIS)

    Zhukovskii, Yuri F.; Fuks, David; Kotomin, Eugene A.; Dorfman, Simon

    2005-01-01

    Controlled growth of thin metallic films on oxide substrates is important for numerous micro-and nano electronic applications. Our ab initio study is devoted to the periodic slab simulations for a series of ordered 2a Cu superlattices on the regular Mg O(001) substrate. Submonolayer and monolayer substrate Cu coverages were calculated using the Daft-Gaga method, as implemented into the Crystal-98 code. The results of ab initio calculations have been combined with thermodynamic theory which allows US to predict the growth mode of ultra-thin metal films (spinodal decomposition vs. nucleation-and-growth regime) as a function of the metal coverage and the temperature, and to estimate the metal density in clusters. We show that 3a cluster formation becomes predominant already at low Cu coverages, in agreement with the experiment

  20. The growth of large-area superconducting YBa2Cu3O7-x thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lai, H.C.; Chang, C.M.; Lin, R.J.; Liu, R.S.

    1996-01-01

    In-situ growth of 2-in. diameter superconducting YBa 2 Cu 3 O 7-x (YBCO) thin films using an excimer KrF pulsed laser has been studied. Films with critical transition temperature (T c,0 ) of 89±1 K and critical current density (J c,77K ) in excess of 1 x 10 6 A cm -2 have been prepared routinely. Uniformity in film thickness of below ±15% and film composition of ±5% have been measured. The effects of gas nozzle geometry and target evolution during ablation on the superconducting properties and surface morphology of YBCO thin films have also been investigated. (orig.)

  1. Influence of growth time on crystalline structure, morphologic and optical properties of In2O3 thin films

    Science.gov (United States)

    Attaf, A.; Bouhdjar, A.; Saidi, H.; Benkhetta, Y.; Bendjedidi, H.; Nouadji, M.; Lehraki, N.

    2015-03-01

    Indium oxide (In2O3) thin films are successfully deposited on glass substrate at different deposition timings by ultrasonic spray technique using Indium chloride (InCl3) material source witch is prepared with dissolvent Ethanol (C2H5-OH), the physical properties of these films are characterized by XRD, MEB,UV-visible. XRD analysis revealed that the films are polycrystalline in nature having centered cubic crystal structure and symmetry space group I213 with a preferred grain orientation along to (222) plane when the deposition time changes from 4 to 10 min but after t = 10 min, especially when t = 13 min we found that the majority of grains preferred the plane (400). The maximum value of grain size D = 61,51 nm is attained for In2O3 films grown at t =10 min. the average transmittance is about 72%, The optical gap energy is found to decrease from 3.8 to 3.66 eV with growth time Increased from 4 to 10 min but after t = 10 min the value of Eg will increase to 3.72 eV. A systematic study on the influence of growth time on the properties of In2O3 thin films deposited by ultrasonic spray at 400 °C has been reported.

  2. Growth of crystallized Ge films from VHF inductively-coupled plasma of H2-diluted GeH4

    International Nuclear Information System (INIS)

    Sakata, T.; Makihara, K.; Murakami, H.; Higashi, S.; Miyazaki, S.

    2007-01-01

    We have studied the Ge crystalline nucleation and film growth on quartz substrate at 250 deg. C from inductively-coupled plasma (ICP) of GeH 4 diluted with H 2 . The ICP was generated by supplying 60 MHz power to an external single-turn antenna which was placed on a quartz plate window of a stainless steel reactor and parallel to the substrate. We have found that the growth rate is significantly increased when the preferential growth of the (110) plane becomes pronounced after the formation of randomly-oriented crystalline network. The (110) oriented Ge films, of which average crystallinity is as high as 70%. The integrated intensity ratio of TO phonons in crystalline phase to those in disordered phase, were grown at a rate of ∼ 4.0 nm/s after the formation of amorphous incubation layer with a thickness of ∼ 0.1 μm on quartz

  3. Precipitation of thin-film organic single crystals by a novel crystal growth method using electrospray and ionic liquid film

    Science.gov (United States)

    Ueda, Hiroyuki; Takeuchi, Keita; Kikuchi, Akihiko

    2018-04-01

    We report an organic single crystal growth technique, which uses a nonvolatile liquid thin film as a crystal growth field and supplies fine droplets containing solute from the surface of the liquid thin film uniformly and continuously by electrospray deposition. Here, we investigated the relationships between the solute concentration of the supplied solution and the morphology and size of precipitated crystals for four types of fluorescent organic low molecule material [tris(8-hydroxyquinoline)aluminum (Alq3), 2-(4-biphenylyl)-5-(4-tert-butylphenyl)-1,3,4-oxadiazole (PBD), N,N‧-bis(3-methylphenyl)-N,N‧-diphenylbenzidine (TPD), and N,N-bis(naphthalene-1-yl)-N,N-diphenyl-benzidine (NPB)] using an ionic liquid as the nonvolatile liquid. As the concentration of the supplied solution decreased, the morphology of precipitated crystals changed from dendritic or leaf shape to platelike one. At the solution concentration of 0.1 mg/ml, relatively large platelike single crystals with a diagonal length of over 100 µm were obtained for all types of material. In the experiment using ionic liquid and dioctyl sebacate as nonvolatile liquids, it was confirmed that there is a clear positive correlation between the maximum volume of the precipitated single crystal and the solubility of solute under the same solution supply conditions.

  4. Effects of Surface Modification of Nanodiamond Particles for Nucleation Enhancement during Its Film Growth by Microwave Plasma Jet Chemical Vapour Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available The seedings of the substrate with a suspension of nanodiamond particles (NDPs were widely used as nucleation seeds to enhance the growth of nanostructured diamond films. The formation of agglomerates in the suspension of NDPs, however, may have adverse impact on the initial growth period. Therefore, this paper was aimed at the surface modification of the NDPs to enhance the diamond nucleation for the growth of nanocrystalline diamond films which could be used in photovoltaic applications. Hydrogen plasma, thermal, and surfactant treatment techniques were employed to improve the dispersion characteristics of detonation nanodiamond particles in aqueous media. The seeding of silicon substrate was then carried out with an optimized spin-coating method. The results of both Fourier transform infrared spectroscopy and dynamic light scattering measurements demonstrated that plasma treated diamond nanoparticles possessed polar surface functional groups and attained high dispersion in methanol. The nanocrystalline diamond films deposited by microwave plasma jet chemical vapour deposition exhibited extremely fine grain and high smooth surfaces (~6.4 nm rms on the whole film. These results indeed open up a prospect of nanocrystalline diamond films in solar cell applications.

  5. Plasma assisted growth of MoO{sub 3} films on different substrate locations relative to sublimation source

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Rabindar K., E-mail: rkrksharma6@gmail.com; Saini, Sujit K.; Kumar, Prabhat; Singh, Megha; Reddy, G. B. [Thin film laboratory, Department of Physics, Indian Institute of Technology Delhi, New Delhi – 110016 (India)

    2016-05-06

    In the present paper, we reported the role of substrate locations relative to source on the growth of MoO{sub 3} films deposited on Ni coated glass substrates using plasma assisted sublimation process (PASP). According to the XRD and SEM results, substrate location is very crucial factor to control the morphology of MoO{sub 3} films and the best nanostructure growth (in terms of alignments and features) is obtained in case of Sample B (in which substrate is placed on source). The structural results point out that all films exhibit only orthorhombic phase of molybdenum oxide (i.e. α-MoO{sub 3})but the most preferential growth is recorded in Sample B due to the presence of intense peaks crossponding to only (0 k 0) family of crystal planes (k = 2, 4,6..). The Raman analysis again confirms the orthorhombic nature of MoO{sub 3} NFs and details of vibrational bondsin Sample B have been given in the present report. The MoO{sub 3} NFs show intense PL emission in wavelength range of 300-700 nm with three peaks located at 415, 490, and 523 nm in accordance to the improved crystallinity in Sample B.

  6. Growth of Ba-hexaferrite films on single crystal 6-H SiC

    International Nuclear Information System (INIS)

    Chen Zhoahui; Yang, Aria; Yoon, S.D.; Ziemer, Katherine; Vittoria, Carmine; Harris, V.G.

    2006-01-01

    Barium hexaferrite films have been processed by pulsed laser deposition on single crystal 6-H silicon carbide substrates. Atomic force microscopy images show hexagonal crystals (∼0.5μm in diameter) oriented with the c-axis perpendicular to the film plane. X-ray θ-2θ diffraction measurements indicate a strong (0,0,2n) alignment of crystallites. The magnetization for low-pressure deposition (20mTorr) is comparable to bulk values (4πM s ∼4320G). The loop squareness, important for self-bias microwave device applications, increases with oxygen pressure reaching a maximum value of 70%. This marks the first growth of a microwave ferrite on SiC substrates and offers a new approach in the design and development of μ-wave and mm-wave monolithic integrated circuits. c integrated circuits

  7. Growth and characterisation of potentiostatically electrodeposited Cu2O and Cu thin films

    International Nuclear Information System (INIS)

    Wijesundera, R.P.; Hidaka, M.; Koga, K.; Sakai, M.; Siripala, W.

    2006-01-01

    Cuprous oxide and copper thin films were potentiostatically electrodeposited in an acetate bath. Voltammetric curves were used to investigate the growth parameters; deposition potential, pH and temperature of the bath. Deposition potential dependency on the structural, morphological, optical and electronic properties of the films were investigated by the X-ray diffraction measurements, scanning electron micrographs, absorption measurements and dark and light current-voltage characterisations. It was observed that single phase polycrystalline Cu 2 O can be deposited from 0 to - 300 mV Vs saturated calomel electrode (SCE) and co-deposition of Cu and Cu 2 O starts at - 400 mV Vs SCE. Further increase in deposition potential from - 700 mV Vs SCE produces single phase Cu thin films. Single phase polycrystalline Cu 2 O thin films with cubic grains of 1-2 μm can be possible within the very narrow potential domain around - 200 mV Vs SCE. Enhanced photoresponse in a photoelectrochemical cell is produced by the Cu 2 O thin film prepared at - 400 mV Vs SCE, where Cu is co-deposited with Cu 2 O with random distribution of Cu spheres on the Cu 2 O surface. This study reveals that a single deposition bath can be used to deposit both Cu and Cu 2 O separately and an admixture of Cu-Cu 2 O by controlling the deposition parameters

  8. Ultrananocrystalline diamond film as an optimal cell interface for biomedical applications.

    Science.gov (United States)

    Bajaj, Piyush; Akin, Demir; Gupta, Amit; Sherman, Debby; Shi, Bing; Auciello, Orlando; Bashir, Rashid

    2007-12-01

    Surfaces of materials that promote cell adhesion, proliferation, and growth are critical for new generation of implantable biomedical devices. These films should be able to coat complex geometrical shapes very conformally, with smooth surfaces to produce hermetic bioinert protective coatings, or to provide surfaces for cell grafting through appropriate functionalization. Upon performing a survey of desirable properties such as chemical inertness, low friction coefficient, high wear resistance, and a high Young's modulus, diamond films emerge as very attractive candidates for coatings for biomedical devices. A promising novel material is ultrananocrystalline diamond (UNCD) in thin film form, since UNCD possesses the desirable properties of diamond and can be deposited as a very smooth, conformal coating using chemical vapor deposition. In this paper, we compared cell adhesion, proliferation, and growth on UNCD films, silicon, and platinum films substrates using different cell lines. Our results showed that UNCD films exhibited superior characteristics including cell number, total cell area, and cell spreading. The results could be attributed to the nanostructured nature or a combination of nanostructure/surface chemistry of UNCD, which provides a high surface energy, hence promoting adhesion between the receptors on the cell surface and the UNCD films.

  9. Highly Oriented Growth of Piezoelectric Thin Films on Silicon Using Two-Dimensional Nanosheets as Growth Template Layer.

    Science.gov (United States)

    Nguyen, Minh D; Yuan, Huiyu; Houwman, Evert P; Dekkers, Matthijn; Koster, Gertjan; Ten Elshof, Johan E; Rijnders, Guus

    2016-11-16

    Ca 2 Nb 3 O 10 (CNOns) and Ti 0.87 O 2 (TiOns) metal oxide nanosheets (ns) are used as a buffer layer for epitaxial growth of piezoelectric capacitor stacks on Si and Pt/Ti/SiO 2 /Si (Pt/Si) substrates. Highly (001)- and (110)-oriented Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) films are achieved by utilizing CNOns and TiOns, respectively. The piezoelectric capacitors are characterized by polarization and piezoelectric hysteresis loops and by fatigue measurements. The devices fabricated with SrRuO 3 top and bottom electrodes directly on nanosheets/Si have ferroelectric and piezoelectric properties well comparable with devices that use more conventional oxide buffer layers (stacks) such as YSZ, CeO 2 /YSZ, or SrTiO 3 on Si. The devices grown on nanosheets/Pt/Si with Pt top electrodes show significantly improved polarization fatigue properties over those of similar devices grown directly on Pt/Si. The differences in properties are ascribed to differences in the crystalline structures and the density of the films. These results show a route toward the fabrication of single crystal piezoelectric thin films and devices with high quality, long-lifetime piezoelectric capacitor structures on nonperovskite and even noncrystalline substrates such as glass or polished metal surfaces.

  10. Growth and characterization of high-Tc Y1Ba2Cu3O7-x superconducting thin films by chemical vapor deposition

    International Nuclear Information System (INIS)

    Feng, A.

    1992-01-01

    In chapter I, the current status of high-Tc superconductors (especially Y 1 Ba 2 Cu 3 O 7-x ), their microstructures and their unique physical properties are reviewed. An introduction to the potential and importance of those high-Tc superconductors in practical applications, especially for the application of YBCO thin films in microelectronics, is given. A general description of the common YBCO thin film fabrication and characterization techniques is also presented in this first chapter. Chapter II describes a new CVD process, temperature-controlled chemical vapor deposition (TC-CVD) for the growth of YBCO superconducting thin films on substrates of practical importance, such as sapphire (Al 2 O 3 ) and on substrates of lattice matched perovskite-type single crystals, such as LaAlO 3 . In order to verify the viability of this new CVD process the qualities of YBCO superconducting thin films were examined by various characterization methods, such as resistivity vs. temperature (R vs. T), scanning electron microscopy (SEM), X-ray diffraction (XRD), and magnetic susceptibility (x) measurements. Chapter III deals with the effect of substrate temperature on the properties of YBCO thin films made by TC-CVD. The principle objective of this study is to raise the transition temperature and critical current densities of CVD YBCO superconducting thin films. Understanding the relations between YBCO film growth process and varying substrate temperatures proved to be crucial in reaching this goal. The authors present the characterization results of YBCO thin films produced by different temperature schemes, to illustrate the importance of varying substrate temperature during the film growth. In chapter IV, the Rutherford backscattering (RBS) channeling technique is described. They have used RBS channeling to characterize the epitaxial YBCO thin film's crystallinity and lattice alignment. Transmission electron microscopy studies are also included

  11. Low-temperature growth of polycrystalline Ge thin film on glass by in situ deposition and ex situ solid-phase crystallization for photovoltaic applications

    International Nuclear Information System (INIS)

    Tsao, Chao-Yang; Weber, Juergen W.; Campbell, Patrick; Widenborg, Per I.; Song, Dengyuan; Green, Martin A.

    2009-01-01

    Poly-crystalline germanium (poly-Ge) thin films have potential for lowering the manufacturing cost of photovoltaic devices especially in tandem solar cells, but high crystalline quality would be required. This work investigates the crystallinity of sputtered Ge thin films on glass prepared by in situ growth and ex situ solid-phase crystallization (SPC). Structural properties of the films were characterized by Raman, X-ray diffraction and ultraviolet-visible reflectance measurements. The results show the transition temperature from amorphous to polycrystalline is between 255 deg. C and 280 deg. C for in situ grown poly-Ge films, whereas the transition temperature is between 400 deg. C and 500 deg. C for films produced by SPC for a 20 h annealing time. The in situ growth in situ crystallized poly-Ge films at 450 deg. C exhibit significantly better crystalline quality than those formed by solid-phase crystallization at 600 deg. C. High crystalline quality at low substrate temperature obtained in this work suggests the poly-Ge films could be promising for use in thin film solar cells on glass.

  12. Effects of atomic hydrogen on the selective area growth of Si and Si1-xGex thin films on Si and SiO2 surfaces: Inhibition, nucleation, and growth

    International Nuclear Information System (INIS)

    Schroeder, T.W.; Lam, A.M.; Ma, P.F.; Engstrom, J.R.

    2004-01-01

    Supersonic molecular beam techniques have been used to study the nucleation of Si and Si 1-x Ge x thin films on Si and SiO 2 surfaces, where Si 2 H 6 and GeH 4 have been used as sources. A particular emphasis of this study has been an examination of the effects of a coincident flux of atomic hydrogen. The time associated with formation of stable islands of Si or Si 1-x Ge x on SiO 2 surfaces--the incubation time--has been found to depend strongly on the kinetic energy of the incident molecular precursors (Si 2 H 6 and GeH 4 ) and the substrate temperature. After coalescence, thin film morphology has been found to depend primarily on substrate temperature, with smoother films being grown at substrate temperatures below 600 deg. C. Introduction of a coincident flux of atomic hydrogen has a large effect on the nucleation and growth process. First, the incubation time in the presence of atomic hydrogen has been found to increase, especially at substrate temperatures below 630 deg. C, suggesting that hydrogen atoms adsorbed on Si-like sites on SiO 2 can effectively block nucleation of Si. Unfortunately, in terms of promoting selective area growth, coincident atomic hydrogen also decreases the rate of epitaxial growth rate, essentially offsetting any increase in the incubation time for growth on SiO 2 . Concerning Si 1-x Ge x growth, the introduction of GeH 4 produces substantial changes in both thin film morphology and the rate nucleation of poly-Si 1-x Ge x on SiO 2 . Briefly, the addition of Ge increases the incubation time, while it lessens the effect of coincident hydrogen on the incubation time. Finally, a comparison of the maximum island density, the time to reach this density, and the steady-state polycrystalline growth rate strongly suggests that all thin films [Si, Si 1-x Ge x , both with and without H(g)] nucleate at special sites on the SiO 2 surface, and grow primarily via direct deposition of adatoms on pre-existing islands

  13. Two-dimensional mapping of underdosed areas using radiochromic film for patients undergoing total skin electron beam radiotherapy

    International Nuclear Information System (INIS)

    Gamble, Lisa M.; Farrell, Thomas J.; Jones, Glenn W.; Hayward, Joseph E.

    2005-01-01

    Purpose: To demonstrate the viability of radiochromic film as an in vivo, two-dimensional dosimeter for the measurement of underdosed areas in patients undergoing total skin electron beam (TSEB) radiotherapy. The results were compared with thermoluminescent dosimeter measurements. Methods and Materials: Dosimetry results are reported for an inframammary fold of 2 patients treated using a modified version of the Stanford six-position (i.e., six-field and dual-beam) TSEB technique. The results are presented as contour plots of film optical density and percentage of dose. A linear dose profile measured from film was compared with the thermoluminescent dosimeter measurements. Results: The results showed that the percentage doses as measured by film are in good agreement with those measured by the thermoluminescent dosimeters. The isodose contour plots provided by film can be used as a two-dimensional dose map for a patient when determining the size of the supplemental patch fields. Conclusion: Radiochromic film is a viable dosimetry tool that the radiation oncologist can use to understand the surface dose heterogeneity better across complex concave regions of skin to help establish more appropriate margins to patch underdosed areas. Film could be used for patients undergoing TSEB for disorders such as mycosis fungoides or undergoing TSEB or regional skin electron beam for widespread skin metastases from breast cancer and other malignancies

  14. Simulated Thin-Film Growth and Imaging

    Science.gov (United States)

    Schillaci, Michael

    2001-06-01

    Thin-films have become the cornerstone of the electronics, telecommunications, and broadband markets. A list of potential products includes: computer boards and chips, satellites, cell phones, fuel cells, superconductors, flat panel displays, optical waveguides, building and automotive windows, food and beverage plastic containers, metal foils, pipe plating, vision ware, manufacturing equipment and turbine engines. For all of these reasons a basic understanding of the physical processes involved in both growing and imaging thin-films can provide a wonderful research project for advanced undergraduate and first-year graduate students. After producing rudimentary two- and three-dimensional thin-film models incorporating ballsitic deposition and nearest neighbor Coulomb-type interactions, the QM tunneling equations are used to produce simulated scanning tunneling microscope (SSTM) images of the films. A discussion of computational platforms, languages, and software packages that may be used to accomplish similar results is also given.

  15. Comparison between radical- and energetic ion-induced growth of SiCxNy films in plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Afanasyev-Charkin, I.V.; Nastasi, M.

    2004-01-01

    Ternary SiC x N y compounds are materials with some remarkable properties such as high oxidation resistance and high hardness. In this work we compare the properties of SiC x N y films obtained using radio-frequency (rf) and pulsed glow discharge (PGD) plasmas with combinations of SiH 4 , C 2 H 2 , N 2 , and Ar source gases. The pulsed voltage used for the rf deposition was 200 V and for the PGD deposition it was 4 kV. During the rf growth, the growth takes place mostly by attaching neutral radicals to form chemical bonds. In the PGD method, the deposition takes place by subplantation and surface activation by energetic ions. We show that in the case of low-energy RF deposition, a high relative number of C-N bonds with sp 3 hybridization is formed and very few Si-C bonds can be observed. Apparently the growth of carbon nitride and silicon nitride networks takes place independently. This indicates that SiH 3 radicals attach to the dangling bonds of silicon and nitrogen, whereas C 2 H radicals attach to the dangling bonds of carbon and nitrogen. During pulsed glow discharge deposition, bonds between all three components are formed apparently by means of subplantation and damage-induced disorder. The hardness of the PGD films exceed that of the RF films, showing that to form a dense SiC x N y film one has to either supply energy during the growth of the films by heating the substrate, as in the case of chemical vapor deposition or by using energetic ions

  16. Absence of surface stress change during pentacene thin film growth on the Si(111)-(7 x 7) surface: a buried reconstruction interface

    International Nuclear Information System (INIS)

    Kury, P; Horn von Hoegen, M; Heringdorf, F-J Meyer zu; Roos, K R

    2008-01-01

    We use high-resolution surface stress measurements to monitor the surface stress during the growth of pentacene (C 22 H 14 ) on the (7x7) reconstructed silicon (111) surface. No significant change in the surface stress is observed during the pentacene growth. Compared to the changes in the surface stress observed for Si and Ge deposition on the Si(111)-(7x7) surface, the insignificant change in the surface stress observed for the pentacene growth suggests that the pentacene molecules of the first adsorbate layer, although forming strong covalent bonds with the Si adatoms, do not alter the structure of the (7x7) reconstruction. The (7x7) reconstruction remains intact and, with subsequent deposition of pentacene, eventually becomes buried under the growing film. This failure of the pentacene to affect the structure of the reconstruction may represent a fundamental difference between the growth of organic thin films and that of inorganic thin films on semiconductor surfaces

  17. Properties of dislocations in Cu(In,Ga)Se2 film and their formation during growth

    Energy Technology Data Exchange (ETDEWEB)

    Dietrich, Jens; Boit, Christian [Technische Universitaet Berlin, Department of Semiconductor Devices, Einsteinufer 19, 10587 Berlin (Germany); Abou-Ras, Daniel; Rissom, Thorsten; Unold, Thomas; Schock, Hans-Werner [Helmholtz Zentrum Berlin fuer Materialien und Energie, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Niermann, Tore; Lehmann, Michael [Technische Universitaet Berlin, Institute of Optics and Atomic Physics, Hardenbergstrasse 36, 10623 Berlin (Germany)

    2012-07-01

    Transmission electron microscopy (TEM) studies were performed on Cu(In,Ga)Se2 (CIGSe) thin films for solar cells with a special focus on dislocations. A sample series of glass/Mo/CIGSe stacks with varying [Cu]/([Ga]+[In]) ratio were prepared by interrupting the growth processes at several stages. TEM imaging and elemental distribution maps by energy-dispersive X-ray spectroscopy gave structural and compositional information at certain film growth states. Furthermore, high resolution TEM imaging was used to confirm a structural model of dislocations in complete CIGSe solar cells and by means of in-line electron holography we examined changes in the mean inner potential. A decrease of the mean inner potential at the position of the dislocations was observed. This might be attributed to a change of the atomic density due to the dislocation, a local segregation or a charge at the dislocation core.

  18. Growth of Ag thin films on ZnO(0 0 0 -1) investigated by AES and STM

    Energy Technology Data Exchange (ETDEWEB)

    Duriau, E. [Interuniversity Microelectronic Center (IMEC), SPDT-MCA, Kapeldreef 75, B-3001 Leuven (Belgium); Agouram, S. [Dpto. Fisica Aplicada y Electromagnetismo c/Dr. Moliner no. 50, 46100 Burjassot, Valencia (Spain); Laboratoire de Physique des Materiaux Electroniques (LPME), University of Namur, Rue de Bruxelles 61, B-5000 Namur (Belgium); Morhain, C. [Centre de Recherche sur l' HeteroEpitaxie et ses Applications (CRHEA), CNRS, Rue Bernard Gregory, F-06560 Valbonne Sophia-Antipolis (France); Seldrum, T. [Laboratoire de Physique des Materiaux Electroniques (LPME), University of Namur, Rue de Bruxelles 61, B-5000 Namur (Belgium); Sporken, R. [Laboratoire de Physique des Materiaux Electroniques (LPME), University of Namur, Rue de Bruxelles 61, B-5000 Namur (Belgium); Dumont, J. [Laboratoire de Physique des Materiaux Electroniques (LPME), University of Namur, Rue de Bruxelles 61, B-5000 Namur (Belgium)]. E-mail: jacques.dumont@fundp.ac.be

    2006-11-15

    The growth of Ag films on ZnO(0 0 0 -1) has been investigated by Auger electron spectroscopy (AES) and scanning tunneling microscopy (STM). A high density of islands is nucleated at the earliest stages of the growth. An upstepping mechanism causes these islands to coalesce while the uncovered fraction of the ZnO surface remains constant (30%)

  19. Fabrication of honeycomb-structured poly(ethylene glycol)-block-poly(lactic acid) porous films and biomedical applications for cell growth

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Bingjian [Key Laboratory of Special Functional Aggregated Materials, Ministry of Education, School of Chemistry and Chemical Engineering, Shandong University, Jinan 250199 (China); College of chemistry, Chemical Engineering and Materials Science, Collaborative Innovation Center of Functionalized Probes for Chemical Imaging, Key Laboratory of Molecular and Nano Probes, Ministry of Education, Shandong Normal University, Jinan 250014 (China); Zhu, Qingzeng, E-mail: qzzhu@sdu.edu.cn [Key Laboratory of Special Functional Aggregated Materials, Ministry of Education, School of Chemistry and Chemical Engineering, Shandong University, Jinan 250199 (China); Yao, Linli [Key Laboratory of the Ministry of Education for Experimental Teratology, Department of Histology and Embryology, Shandong University School of Medicine, 250012 Jinan (China); Hao, Jingcheng [Key Laboratory of Special Functional Aggregated Materials, Ministry of Education, School of Chemistry and Chemical Engineering, Shandong University, Jinan 250199 (China)

    2015-03-30

    Graphical abstract: - Highlights: • Honeycomb-structured PEG-PLA porous films were fabricated. • The organization of pores depends on molecular weight ratio of PEG-to-PLA block. • The pores in the film were internally decorated with a layer of PEG. • The honeycomb-structured PEG-PLA film was suitable as a substrate for cell growth. - Abstract: A series of poly(ethylene glycol)-block-poly(lactic acid) (PEG-PLA) copolymers with a hydrophobic PLA block of different molecular weights and a fixed length hydrophilic PEG were synthesized successfully and characterized. These amphiphilic block copolymers were used to fabricate honeycomb-structured porous films using the breath figure (BF) templating technique. The surface topology and composition of the highly ordered pattern film were further characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and fluorescence microscopy. The results indicated that the PEG-to-PLA block molecular weight ratio influenced the BF film surface topology. The film with the best ordered pores was obtained with a PEG-to-PLA ratio of 2.0 × 10{sup 3}:3.0 × 10{sup 4}. The self-organization of the hydrophilic PEG chains within the pores was confirmed by XPS and fluorescence labeled PEG. A model is proposed to elucidate the stabilization process of the amphiphilic PEG-PLA aggregated architecture on the water droplet-based templates. In addition, GFP-U87 cell viability has been investigated by MTS test and the cell morphology on the honeycomb-structured PEG-PLA porous film has been evaluated using phase-contrast microscope. This porous film is shown to be suitable as a matrix for cell growth.

  20. Fabrication of honeycomb-structured poly(ethylene glycol)-block-poly(lactic acid) porous films and biomedical applications for cell growth

    International Nuclear Information System (INIS)

    Yao, Bingjian; Zhu, Qingzeng; Yao, Linli; Hao, Jingcheng

    2015-01-01

    Graphical abstract: - Highlights: • Honeycomb-structured PEG-PLA porous films were fabricated. • The organization of pores depends on molecular weight ratio of PEG-to-PLA block. • The pores in the film were internally decorated with a layer of PEG. • The honeycomb-structured PEG-PLA film was suitable as a substrate for cell growth. - Abstract: A series of poly(ethylene glycol)-block-poly(lactic acid) (PEG-PLA) copolymers with a hydrophobic PLA block of different molecular weights and a fixed length hydrophilic PEG were synthesized successfully and characterized. These amphiphilic block copolymers were used to fabricate honeycomb-structured porous films using the breath figure (BF) templating technique. The surface topology and composition of the highly ordered pattern film were further characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS) and fluorescence microscopy. The results indicated that the PEG-to-PLA block molecular weight ratio influenced the BF film surface topology. The film with the best ordered pores was obtained with a PEG-to-PLA ratio of 2.0 × 10 3 :3.0 × 10 4 . The self-organization of the hydrophilic PEG chains within the pores was confirmed by XPS and fluorescence labeled PEG. A model is proposed to elucidate the stabilization process of the amphiphilic PEG-PLA aggregated architecture on the water droplet-based templates. In addition, GFP-U87 cell viability has been investigated by MTS test and the cell morphology on the honeycomb-structured PEG-PLA porous film has been evaluated using phase-contrast microscope. This porous film is shown to be suitable as a matrix for cell growth

  1. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  2. Substrate and growth related microstructural and magnetic properties in La{sub 0.67}Sr{sub 0.33}MnO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hawley, M.E.; Brown, G.W.; Kwon, C.; Jia, Q.

    1998-12-31

    Ambient observation of magnetic domain structures by magnetic force microscopy (MFM) in La{sub 0.67}Sr{sub 0.33}MnO{sub 3} films has not yet been clearly correlated with stresses induced by kinetic or thermodynamic growth processes or the compressive (LaAlO{sub 3}) or tensile (SrTiO{sub 3}) nature of the film-substrate lattice mismatch. Although domain-like magnetic structures have been seen in some as-grown films and related to substrate-induced stress and film thickness, no magnetic structure has been seen for other films grown under similar conditions on the same pair of substrates. In this study the authors have grown films over a range of temperatures by pulsed-laser deposition, using the above substrates, to determine the relationship between growth and stress-induced magnetic structures. Results from scanning tunneling, atomic force, and magnetic force microscopies, measurements of temperature-dependent magnetization and structure-dependent coercivity show the relationship between growth and magnetic properties. Maze-like domain structures, with separations between 150 nm and 200 nm, were only observed for the thicker films grown at the highest temperature, 800 C. Application of an in-plane magnetic field converted these domain structures to stripe-like domains whose spacing and out of plane component decreased as the field was increased.

  3. Dependence of critical current properties on growth temperature and doping level of nanorods in PLD-YBa2Cu3Oy films

    International Nuclear Information System (INIS)

    Fujita, N.; Haruta, M.; Ichinose, A.; Maeda, T.; Horii, S.

    2013-01-01

    Highlights: •We fabricated Y123 films with Ba–Nb–O nanorods at various growth temperatures. •Irreversibility lines depended on growth temperature and doping level of Ba–Nb–O. •Nanorod morphology was drastically changed by growth temperature (T s ). •Its T s dependence of the matching field was different from that for Er123 + Ba–Nb–O. -- Abstract: The vortex-Bose-glass-like irreversibility lines (ILs) emerged for 2.5 and 5.0 at.% Ba–Nb–O (BNO)-doped YBa 2 Cu 3 O y films deposited by PLD using Nd:YAG-laser. The ILs strongly depended on growth temperature (T s ) in addition to the doping level of BNO. The vortex glass region was expanded with increasing T s or doping level of BNO. Drastic change of the nanorod morphology from short and bended nanorods to long and linear nanorods with increasing T s was clarified. Moreover, it was found that T s -dependent ILs were quite different from our previous results in BNO-doped ErBa 2 Cu 3 O y films

  4. Growth of tin oxide thin films composed of nanoparticles on hydrophilic and hydrophobic glass substrates by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Paloly, Abdul Rasheed; Satheesh, M. [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India); Martínez-Tomás, M. Carmen; Muñoz-Sanjosé, Vicente [Departamento de Física Aplicada y Electromagnetismo, Universitat de Valencia, c/Dr Moliner 50, Burjassot, Valencia 46100 (Spain); Rajappan Achary, Sreekumar [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India); Bushiri, M. Junaid, E-mail: junaidbushiri@gmail.com [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India)

    2015-12-01

    Highlights: • SnO{sub 2} thin films were grown on hydrophilic and hydrophobic glass substrates. • Samples on hydrophobic substrates are having comparatively larger lattice volume. • Films on hydrophobic substrates have larger particles and low density distribution. • Substrate dependent photoluminescence emission is observed and studied. • SnO{sub 2} thin films grown over hydrophobic substrates may find potential applications. - Abstract: In this paper, we have demonstrated the growth of tin oxide (SnO{sub 2}) thin films composed of nanoparticles on hydrophobic (siliconized) and hydrophilic (non-siliconized) glass substrates by using the spray pyrolysis technique. X-ray diffraction (XRD) analysis confirmed the formation of SnO{sub 2} thin films with tetragonal rutile-phase structure. Average particle size of nanoparticles was determined to be in the range of 3–4 nm measured from the front view images obtained by a field emission gun scanning electron microscope (FESEM), while the size of nanoparticle clusters, when present, were in the range of 11–20 nm. Surface morphology of SnO{sub 2} films grown over hydrophobic substrates revealed larger isolated particles which are less crowded compared to the highly crowded and agglomerated smaller particles in films on hydrophilic substrates. Blue shift in the band gap is observed in samples in which the average particle size is slightly larger than the exciton Bohr radius. Photoluminescence (PL) analysis of samples grown over hydrophobic substrates exhibited an intense defect level emission and a weak near band edge emission. The enhanced visible emission from these SnO{sub 2} thin films is attributed to lattice defects formed during the film growth due to the mismatch between the film and the hydrophobic substrate surface.

  5. CaTiO.sub.3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A structure including a film of a desired perovskite oxide which overlies and is fully commensurate with the material surface of a semiconductor-based substrate and an associated process for constructing the structure involves the build up of an interfacial template film of perovskite between the material surface and the desired perovskite film. The lattice parameters of the material surface and the perovskite of the template film are taken into account so that during the growth of the perovskite template film upon the material surface, the orientation of the perovskite of the template is rotated 45.degree. with respect to the orientation of the underlying material surface and thereby effects a transition in the lattice structure from fcc (of the semiconductor-based material) to the simple cubic lattice structure of perovskite while the fully commensurate periodicity between the perovskite template film and the underlying material surface is maintained. The film-growth techniques of the invention can be used to fabricate solid state electrical components wherein a perovskite film is built up upon a semiconductor-based material and the perovskite film is adapted to exhibit ferroelectric, piezoelectric, pyroelectric, electro-optic or large dielectric properties during use of the component.

  6. Sodium induced grain growth, defect passivation and enhancement in the photovoltaic properties of Cu{sub 2}ZnSnS{sub 4} thin film solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Om Pal; Gour, Kuldeep Singh [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Parmar, Rahul [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Singh, Vidya Nand, E-mail: singhvn@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2016-07-01

    Sodium diffusion from soda lime glass (SLG) during high temperature annealing is known to play a crucial role in affecting the grain growth and defect passivation in chalocogenide/kesterite solar cells. Additional sodium is required when low temperature or short term annealing is used. Although this fact is known, a systematic comparative study for kesterite films is seldom reported. In the present study, Cu{sub 2}ZnSnS{sub 4} thin films were deposited on SLG and Mo coated SLG using stacked layer reactive sputtering. Na was deposited over the CZTS thin film and the film was annealed in N{sub 2} atmosphere in order to enhance the grain growth. This resulted in the shift in the XRD peak towards lower diffraction angle. The optical bandgap shifted from 1.45 eV to 1.38 eV with Na addition. Significant grain growth from hundreds of nanometer to micrometer was observed in samples with Na. Device fabricated in SLG/Mo/CZTS/CdS/ZnO/ITO configuration with Al front contact shows increase in efficiencies values from 1.50% to 2.84%. - Highlights: • Reactive sputtering with reduced annealing time have been used for the growth of CZTS thin film. • NaF has been deposited over precursor film before annealing. • Na addition resulted in grain growth, improved compactness and reduction in band gap. • An enhancement in the photovoltaic characteristics have been observed with addition of Na.

  7. Growth of epitaxial (Sr, Ba){sub n+1}Ru{sub n}O{sub 3n}P+{sub 1}films

    Energy Technology Data Exchange (ETDEWEB)

    Schlom, D.G.; Knapp, S.B.; Wozniak, S. [Department of Materials Science and Engineering, Penn State University, University Park, PA (United States); Zou, L.N.; Park, J.; Liu, Y. [Department of Physics, Penn State University, University Park, PA (United States); Hawley, M.E.; Brown, G.W. [Center for Materials Science, Los Alamos National Laboratory, Los Alamos, NM (United States); Dabkowski, A.; Dabkowska, H.A. [Institute of Materials Research, McMaster University, Hamilton, Ontario (Canada); Uecker, R.; Reiche, P. [Institute of Crystal Growth, Berlin (Germany)

    1997-12-01

    We have grown epitaxial (Sr,Ba){sub n+1}Ru{sub n}O{sub 3n+1} films, n = 1, 2 and {infinity}, by pulsed laser deposition (PLD) and controlled their orientation by choosing appropriate substrates. The growth conditions yielding phase-pure films have been mapped out. Resistivity versus temperature measurements show that both a-axis and c-axis films of Sr{sub 2}RuO{sub 4} are metallic, but not superconducting. The latter is probably due to the presence of low-level impurities that are difficult to avoid given the target preparation process involved in growing these films by PLD. (author)

  8. Growth of manganese sulfide (α-MnS) thin films by thermal vacuum evaporation: Structural, morphological and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com [MALTA-Consolider Team, Institut de Ciència dels Materials – Departamento de Fisica Aplicada, University of Valencia, E-46100 Burjassot, Valencia (Spain); Université de Tunis El-Manar, Faculté des Sciences de Tunis, Laboratoire de Chimie Analytique et Electrochimie, LR99ES15, 2092 Tunis (Tunisia); Segura, Alfredo [MALTA-Consolider Team, Institut de Ciència dels Materials – Departamento de Fisica Aplicada, University of Valencia, E-46100 Burjassot, Valencia (Spain); Maghraoui-Meherzi, Hager [Université de Tunis El-Manar, Faculté des Sciences de Tunis, Laboratoire de Chimie Analytique et Electrochimie, LR99ES15, 2092 Tunis (Tunisia)

    2016-09-15

    MnS thin films have been successfully prepared by thermal evaporation method at different substrate temperatures using different masses of MnS powder. The prepared films were characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray analysis (EDX) and UV–visible spectrophotometry. The XRD measurements show that the films crystallized in the pure α-MnS for substrate temperatures above 100 °C. The optical bandgap of thin films is found to be in the range of 3.2–3.3 eV. A factorial experimental design was used for determining the influence of the two experimental parameters on the films growth. - Highlights: • α-MnS films were deposited on glass and quartz substrates using the thermal evaporation technique. • The effect of substrate temperature on the properties of the MnS films has been studied. • The factorial design was used to determine the most influence parameters.

  9. On the preparation and growth of a-Si:H thin films by Hg-sensitised ...

    African Journals Online (AJOL)

    It also recall and summarizes some fundamental issues such experimental systems or apparatus particularities, the analysis of gas-phase reactions in the reactor, the surface reaction model of SiH3 and H during the film growth and all the kinetic model for lamp induced Photo-CVD. Key words: Hydrogenated Amorphous ...

  10. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  11. Selective growth of ZnO thin film nanostructures: Structure, morphology and tunable optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Krishnakanth, Katturi Naga; Sunandana, C. S. [School of Physics, University of Hyderabad, Hyderabad-50046 (India); Rajesh, Desapogu, E-mail: rajesh.esapogu@gmail.com, E-mail: mperd@nus.edu.sg [School of Physics, University of Hyderabad, Hyderabad-50046 (India); Dept. of Mechanical Engineering, National University of Singapore (Singapore)

    2016-05-23

    The ZnO nanostructures (spherical, rod shape) have been successfully fabricated via a thermal evaporation followed by dip coating method. The pure, doped ZnO thin films were characterized by X-ray powder diffraction (XRD) and field emission scanning electron microscopy (FESEM) and UV-Vis spectroscopy, respectively. A possible growth mechanism of the spherical, rod shape ZnO nanostructures are discussed. XRD patterns revealed that all films consist of pure ZnO phase and were well crystallized with preferential orientation towards (002) direction. Doping by PVA, PVA+Cu has effective role in the enhancement of the crystalline quality and increases in the band gap.

  12. Optimization of Nd1+xBa2-xCu3O7 thin-film growth conditions using micro-Raman spectroscopy

    International Nuclear Information System (INIS)

    Bae, J S; Yang, In-Sang; Jo, W; Wee, S H; Yoo, S I

    2006-01-01

    We explore optimal growth conditions for superconducting Nd 1+x Ba 2-x Cu 3 O 7 (NdBCO) thin films deposited under various oxygen pressures in the range of 100-800 mTorr. In this study we address spatial inhomogeneity, growth orientation, impurity phases, cation disorder, and oxygen deficiency of NdBCO thin films by using micro-Raman scattering. The films grown in the low oxygen pressure range of 100-200 mTorr show predominantly a-axis orientation and degraded superconducting properties with a critical temperature (T c ) of ∼80 K. The degradation of the transition temperature of the films deposited at lower oxygen pressure is attributed to the cation disorder, on the basis of analysis of the apical oxygen Raman mode. On the other hand, the samples grown in the higher oxygen pressure range of 400-800 mTorr show strong c-axis orientation and much less cation disorder. These features correlate with their high values of T c and J c

  13. Effect of nickel seed layer on growth of α-V{sub 2}O{sub 5} nanostructured thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Rabindar Kumar; Kant, Chandra; Kumar, Prabhat; Singh, Megha, E-mail: meghasingh-08@yahoo.com; Reddy, G. B. [Thin film Laboratory, Department of Physics, Indian Institute of Technology Delhi-110016 (India)

    2015-08-28

    In this communication, we reported the role of Ni seed layer on the growth of vanadium pentoxide (α-V{sub 2}O{sub 5}) nanostructured thin films (NSTs) using plasma assisted sublimation process (PASP). Two different substrates, simple glass substrate and the Ni coated glass substrate (Ni thickness ∼ 100 nm) are employing in the present work. The influence of seed layer on structural, morphological, and vibrational properties have been studied systematically. The structural analysis divulged that both films deposited on simple glass as well as on Ni coated glass shown purely orthorhombic phase, no other phases are detected. The morphological studies of V{sub 2}O{sub 5} film deposited on both substrates are carried out by SEM, revealed that features of V{sub 2}O{sub 5} NSTs is completely modified in presence of Ni seed layer and the film possessing the excellent growth of nanorods (NRs) on Ni coated glass rather than simple glass. The HRTEM analysis of NRs is performed at very high magnification, shows very fine fringe pattern, which confirmed the single crystalline nature of nanorods. The vibrational study of NRs is performed using micro-Raman spectroscopy, which strongly support the XRD observations.

  14. Growth of Cu(In,Al)(Se,S)2 thin films by selenization and sulfurization for a wide bandgap absorber

    International Nuclear Information System (INIS)

    Fujiwara, C.; Kawasaki, Y.; Sato, T.; Sugiyama, M.; Chichibu, S.F.

    2010-01-01

    Full text : Chalcopyrite structure Cu(In 1 .xAlx)(S y Se 1 -y) 2 (CIASS) alloys are attracting attention as promising candidates for the light-absorbing medium of high conversion efficiency, low cost, and lightweight solar cells. In addition, according to the wide variation in the bandgap energy (1.0-3.5eV), multiple-junction or tandem solar cells able to be fabricated using CIASS films of different compositions, x and y. In fact, several research groups have recently fabricated Cu(In,Al)Se 2 -based solar cells, and a high μ of 16.9 percent has been demonstrated. The sulfurization following selenization of Cu(In,Ga)Se2 (CIGS) films is believed to be promising for bandgap engineering of absorber material. Furthermore, it has been reported that the controlled incorporation of sulfur into CIGS films reduces the carrier recombination in the space charge region due to the deep trap states. Therefore, the sulfurization following selenization is expected to be used as a method of growth of CIASS films. However, sulfurization condition following selenization for obtaining CIASS films has not been clarified. The crystal growth of CIASS must be studied for solar cell applications. In this study, the advantages of using sulfurization for the growth of CIASS will be presented. Cu-In-Al precursors were selenized using diethylselenide (DESe) at 515-570 degrees Celsium for 60- 90 min under atmospheric pressure. The flow rates of DESe and N 2 carrier gases were 35 imol/min and 2 L/min, respectively. The films were then sulfurized at 550 degrees Celsium using S vapor. These films were characterized by SEM, EDX, XRD, and PL measurements. Using the selenization and sulfurization technique, polycrystalline Cu(In,Al)Se 2 , CuIn(Se,S) 2 , CuInS 2 films with thickness of approximately 2.0 im were formed without additional annealing. The films adhered well to the Mo/SLG substrate, which was confirmed by the peeling test. Phase separations, i.e. distinct peaks corresponding to CuInSe 2

  15. Numerical Simulation of Vapor Bubble Growth and Heat Transfer in a Thin Liquid Film

    International Nuclear Information System (INIS)

    Yu-Jia, Tao; Xiu-Lan, Huai; Zhi-Gang, Li

    2009-01-01

    A mathematical model is developed to investigate the dynamics of vapor bubble growth in a thin liquid film, movement of the interface between two fluids and the surface heat transfer characteristics. The model takes into account the effects of phase change between the vapor and liquid, gravity, surface tension and viscosity. The details of the multiphase now and heat transfer are discussed for two cases: (1) when a water micro-droplet impacts a thin liquid film with a vapor bubble growing and (2) when the vapor bubble grows and merges with the vapor layer above the liquid film without the droplet impacting. The development trend of the interface between the vapor and liquid is coincident qualitatively with the available literature, mostly at the first stage. We also provide an important method to better understand the mechanism of nucleate spray cooling. (fundamental areas of phenomenology (including applications))

  16. Structural properties and growth evolution of diamond-like carbon films with different incident energies: A molecular dynamics study

    International Nuclear Information System (INIS)

    Li, Xiaowei; Ke, Peiling; Zheng, He; Wang, Aiying

    2013-01-01

    Structural properties and growth evolution of diamond-like carbon (DLC) films with different incident energies were investigated systematically by the molecular dynamics simulation using a Tersoff interatomic potential for carbon-carbon interaction. The results revealed that the density, sp 3 fraction and residual compressive stress as a function of incident energy increased firstly and then decreased; when the incident energy was 70 eV/atom, the density could reach to 3.0 g/cm 3 with the maximal compressive stress of 15.5 GPa. Structure analysis indicated that the deviation of both bond angles and lengths from the equilibrium position led to the generation of a large residual stress, while the high compressive stress mainly attributed to the decrease of both bond angles and lengths among carbon atoms. The growth of DLC films underwent a formation process of “Line-Net” structure accompanied with the interaction of many atomic motion mechanisms, and the “Point” stage was only found for DLC films with low incident energy.

  17. Growth and characterizations of GaN micro-rods on graphene films for flexible light emitting diodes

    Directory of Open Access Journals (Sweden)

    Kunook Chung

    2014-09-01

    Full Text Available We report the growth of GaN micro-rods and coaxial quantum-well heterostructures on graphene films, together with structural and optical characterization, for applications in flexible optical devices. Graphene films were grown on Cu foil by means of chemical vapor deposition, and used as the substrates for the growth of the GaN micro-rods, which were subsequently transferred onto SiO2/Si substrates. Highly Si-doped, n-type GaN micro-rods were grown on the graphene films using metal–organic chemical vapor deposition. The growth and vertical alignment of the GaN micro-rods, which is a critical factor for the fabrication of high-performance light-emitting diodes (LEDs, were characterized using electron microscopy and X-ray diffraction. The GaN micro-rods exhibited promising photoluminescence characteristics for optoelectronic device applications, including room-temperature stimulated emission. To fabricate flexible LEDs, InxGa1–xN/GaN multiple quantum wells and a p-type GaN layer were deposited coaxially on the GaN micro-rods, and transferred onto Ag-coated polymer substrates using lift-off. Ti/Au and Ni/Au metal layers were formed to provide electrical contacts to the n-type and p-type GaN regions, respectively. The micro-rod LEDs exhibited intense emission of visible light, even after transfer onto the flexible polymer substrate, and reliable operation was achieved following numerous cycles of mechanical deformation.

  18. Conditions for the growth of smooth La0.7Sr0.3MnO3 thin films by pulsed electron ablation

    International Nuclear Information System (INIS)

    Graziosi, P.; Prezioso, M.; Gambardella, A.; Kitts, C.; Rakshit, R.K.; Riminucci, A.; Bergenti, I.; Borgatti, F.; Pernechele, C.; Solzi, M.; Pullini, D.; Busquets-Mataix, D.; Dediu, V.A.

    2013-01-01

    We report on the optimisation of the growth conditions of manganite La 0.7 Sr 0.3 MnO 3 thin films prepared by Channel Spark Ablation (CSA). CSA belongs to pulsed electron deposition methods and its energetic and deposition parameters are quite similar to those of pulsed laser deposition. The method has been already proven to provide manganite films with good magnetic properties, but the films were generally relatively rough (a few nm coarseness). Here we show that increasing the oxygen deposition pressure with respect to previously used regimes, reduces the surface roughness down to unit cell size while maintaining a robust magnetism. We analyse in detail the effect of other deposition parameters, like accelerating voltage, discharging energy, chamber pressure and substrate temperature and provide on this basis a set of optimal conditions for the growth of atomically flat films. The thicknesses for which atomically flat surface was achieved is as high as about 10–20 nm, corresponding to films with room temperature magnetism. We believe such magnetic layers represent appealing and suitable electrodes for various spintronic devices. - Highlights: ► Atomically flat manganite thin films ► Robust ferromagnetism at room temperature ► Perovskite thin films deposited by channel spark ablation ► Magnetotransport and magnetometry comparison

  19. Influence of growth time on crystalline structure, morphologic and optical properties of In2O3 thin films

    International Nuclear Information System (INIS)

    Attaf, A.; Bouhdjar, A.; Saidi, H.; Benkhetta, Y.; Bendjedidi, H.; Nouadji, M.; Lehraki, N.

    2015-01-01

    Indium oxide (In 2 O 3 ) thin films are successfully deposited on glass substrate at different deposition timings by ultrasonic spray technique using Indium chloride (InCl 3 ) material source witch is prepared with dissolvent Ethanol (C 2 H 5 -OH), the physical properties of these films are characterized by XRD, MEB,UV-visible. XRD analysis revealed that the films are polycrystalline in nature having centered cubic crystal structure and symmetry space group I2 1 3 with a preferred grain orientation along to (222) plane when the deposition time changes from 4 to 10 min but after t = 10 min, especially when t = 13 min we found that the majority of grains preferred the plane (400). The maximum value of grain size D = 61,51 nm is attained for In 2 O 3 films grown at t =10 min. the average transmittance is about 72%, The optical gap energy is found to decrease from 3.8 to 3.66 eV with growth time Increased from 4 to 10 min but after t = 10 min the value of E g will increase to 3.72 eV. A systematic study on the influence of growth time on the properties of In 2 O 3 thin films deposited by ultrasonic spray at 400 °C has been reported

  20. Comprehensive study of growth mechanism and properties of low Zn content Cd_1_-_xZn_xS thin films by chemical bath

    International Nuclear Information System (INIS)

    Rodriguez, Carlos Anibal; Sandoval-Paz, Myrna Guadalupe; Saavedra, Renato; De la Carrera, Francisco; Trejo-Cruz, Cuauhthemoc; Aragon, Luis E.; Sirena, Martin; Delplancke, Marie-Paule; Carrasco, Claudia

    2016-01-01

    Cd_1_-_xZn_xS thin films have been studied extensively as window layers for solar cell applications. However, a mismatch between the Cd_1_-_xZn_xS and copper-indium-gallium-selenide absorber layers increases with Zn film concentration, which reduces the device efficiency. In this work, Cd_1_-_xZn_xS thin films with low Zn concentrations were analyzed. The effect of the addition of different molar Zn concentrations to the reaction mixture on the growth mechanism of Cd_1_-_xZn_xS thin films and the influence of these mechanisms on structural, optical and morphological properties of the films has been studied. Cd_1_-_xZn_xS thin films were synthesized by chemical bath deposition using an ammonia-free alkaline solution. Microstructural analysis by X-ray diffraction showed that all deposited films grew with hexagonal structure and crystallite sizes decreased as the Zn concentration in the film increased. Optical measurements indicated a high optical transmission between 75% and 90% for wavelengths above the absorption edge. Band gap value increased from 2.48 eV to 2.62 eV, and the refractive index values for Cd_1_-_xZn_xS thin films decreased as the Zn increased. These changes in films and properties are related to a modification in growth mechanism of the Cd_1_-_xZn_xS thin films, with the influence of Zn(OH)_2 formation being more important as Zn in solution increases. (author)

  1. An ultrahigh vacuum, low-energy ion-assisted deposition system for III-V semiconductor film growth

    Science.gov (United States)

    Rohde, S.; Barnett, S. A.; Choi, C.-H.

    1989-06-01

    A novel ion-assisted deposition system is described in which the substrate and growing film can be bombarded with high current densities (greater than 1 mA/sq cm) of very low energy (10-200 eV) ions. The system design philosophy is similar to that used in III-V semiconductor molecular-beam epitaxy systems: the chamber is an all-metal ultrahigh vacuum system with liquid-nitrogen-cooled shrouds, Knudsen-cell evaporation sources, a sample insertion load-lock, and a 30-kV reflection high-energy electron diffraction system. III-V semiconductor film growth is achieved using evaporated group-V fluxes and group-III elemental fluxes sputtered from high-purity targets using ions extracted from a triode glow discharge. Using an In target and an As effusion cell, InAs deposition rates R of 2 microns/h have been obtained. Epitaxial growth of InAs was observed on both GaSb(100) and Si(100) substrates.

  2. Correlation between substrate bias, growth process and structural properties of phosphorus incorporated tetrahedral amorphous carbon films

    International Nuclear Information System (INIS)

    Liu Aiping; Zhu Jiaqi; Han Jiecai; Wu Huaping; Jia Zechun

    2007-01-01

    We investigate the growth process and structural properties of phosphorus incorporated tetrahedral amorphous carbon (ta-C:P) films which are deposited at different substrate biases by filtered cathodic vacuum arc technique with PH 3 as the dopant source. The films are characterized by X-ray photoelectron spectroscopy (XPS), atomic force microscopy, Raman spectroscopy, residual stress measurement, UV/VIS/NIR absorption spectroscopy and temperature-dependent conductivity measurement. The atomic fraction of phosphorus in the films as a function of substrate bias is obtained by XPS analysis. The optimum bias for phosphorus incorporation is about -80 V. Raman spectra show that the amorphous structures of all samples with atomic-scaled smooth surface are not remarkably changed when PH 3 is implanted, but some small graphitic crystallites are formed. Moreover, phosphorus impurities and higher-energetic impinging ions are favorable for the clustering of sp 2 sites dispersed in sp 3 skeleton and increase the level of structural ordering for ta-C:P films, which further releases the compressive stress and enhances the conductivity of the films. Our analysis establishes an interrelationship between microstructure, stress state, electrical properties, and substrate bias, which helps to understand the deposition mechanism of ta-C:P films

  3. Growth of superconducting MgB2 films by pulsed-laser deposition using a Nd-YAG laser

    International Nuclear Information System (INIS)

    Badica, P; Togano, K; Awaji, S; Watanabe, K

    2006-01-01

    Thin films of MgB 2 on r-cut Al 2 O 3 substrates have been grown by pulsed-laser deposition (PLD) using a Nd-YAG laser (fourth harmonic-266 nm) instead of the popular KrF excimer laser. The growth window to obtain superconducting films is laser energy 350-450 mJ and vacuum pressure with Ar-buffer gas of 1-8/10 Pa (initial background vacuum 0.5-1 x 10 -3 Pa). Films were deposited at room temperature and post-annealed in situ and ex situ at temperatures of 500-780 0 C and up to 1 h. Films are randomly oriented with maximum critical temperature (offset of resistive transition) of 27 K. SEM/TEM/EDS investigations show that they are mainly composed of small sphere-like particles (≤20 nm), and contain oxygen and some carbon, uniformly distributed in the flat matrix, but the amount of Mg and/or oxygen is higher in the aggregates-droplets (100-1000 nm) observed on the surface of the film's matrix. Some aspects of the processing control and dependences on film characteristics are discussed. The technique is promising for future development of coated conductors

  4. Robust, flexible, and bioadhesive free-standing films for the co-delivery of antibiotics and growth factors.

    Science.gov (United States)

    Chen, Dongdong; Wu, Mingda; Chen, Jie; Zhang, Chunqiu; Pan, Tiezheng; Zhang, Bing; Tian, Huayu; Chen, Xuesi; Sun, Junqi

    2014-11-25

    Free-standing polymer films that adhere strongly to tissue and can codeliver multiple therapeutic agents in a controlled manner are useful as medical plasters. In this study, a bilayer polymer film comprising a drug reservoir layer and a supporting layer is fabricated by spin-coating poly(lactic-co-glycolic acid) (PLGA) on top of a layer-by-layer assembled film of poly(β-amino esters) (PAE), alginate sodium (ALG), and recombinant human basic fibroblast growth factor (bFGF). Apart from bFGF, the bilayer film can also load antibiotic drug ceftriaxone sodium (CTX) by a postdiffusion process. The PLGA supporting layer facilitates the direct peeling of the bilayer film from substrate to produce a robust and flexible free-standing film with excellent adhesion onto the human skin and porcine liver. The excellent adhesion of the bilayer film originates from the ALG component in the drug reservoir layer. CTX is quickly released by easily breaking its electrostatic interaction with the drug reservoir layer, whereas the sustained release of bFGF is due to the slow degradation of PAE component in the drug reservoir layer. Wounds can be synergetically treated by fast release of CTX to effectively eradicate invasive bacteria and by sustained release of bFGF to accelerate wound healing. Our results serve as a basis for designing multifunctional free-standing films with combination therapy for biomedical applications.

  5. Composition and growth procedure-dependent properties of electrodeposited CuInSe 2 thin films

    Science.gov (United States)

    Babu, S. Moorthy; Ennaoui, A.; Lux-Steiner, M. Ch.

    2005-02-01

    CuInSe 2 thin films were deposited on molybdenum-coated glass substrates by electrodeposition. Deposition was carried out with a variety of electrochemical bath compositions. The quality of the deposits depends very much on the source materials as well as the concentration of the same in the electrolyte. The deposition potential was varied from -0.4 to -0.75 V vs. SCE. The pH of the solution was adjusted to 1.5-2 using diluted sulphuric acid. Chloride salts containing bath yield good surface morphology, but there is always excess of the metallic content in the deposited films. Different growth procedures, like initial metallic layers of copper or indium, layers of copper selenide or indium selenide before the actual deposition of ternary chalcopyrite layers were attempted. Fabrication pathway, morphological and compositional changes due to the different precursor route has been analysed. The quality of the deposits prepared by one-step electrodeposition is better than the deposits with a two-stage process. The deposited films were characterized with XRD, SEM-EDAX, UV-visible spectroscopy and I- V characteristics. The deposited films were annealed in air as well as in nitrogen atmosphere. The influence of annealing temperature, environment and annealing time on the properties of the films are evaluated. Attempts were made to fabricate solar cell structure from the deposited absorber films. The structure of Mo/CuInSe 2/CdS/ZnO/Ni was characterized with surface, optical and electrical studies.

  6. The Passive Film Growth Mechanism of New Corrosion-Resistant Steel Rebar in Simulated Concrete Pore Solution: Nanometer Structure and Electrochemical Study.

    Science.gov (United States)

    Jiang, Jin-Yang; Wang, Danqian; Chu, Hong-Yan; Ma, Han; Liu, Yao; Gao, Yun; Shi, Jinjie; Sun, Wei

    2017-04-14

    An elaborative study was carried out on the growth mechanism and properties of the passive film for a new kind of alloyed corrosion-resistant steel (CR steel). The passive film naturally formed in simulated concrete pore solutions (pH = 13.3). The corrosion resistance was evaluated by various methods including open circuit potential (OCP), linear polarization resistance (LPR) measurements, and electrochemical impedance spectroscopy (EIS). Meanwhile, the 2205 duplex stainless steel (SS steel) was evaluated for comparison. Moreover, the passive film with CR steel was studied by means of X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), Atomic Force Microscope (AFM), and the Mott‑Schottky approach. The results showed that the excellent passivity of CR steel could be detected in a high alkaline environment. The grain boundaries between the fine passive film particles lead to increasing Cr oxide content in the later passivation stage. The filling of cation vacancies in the later passivation stage as well as the orderly crystalized inner layer contributed to the excellent corrosion resistance of CR steel. A passive film growth model for CR steel was proposed.

  7. NATO Advanced Research Workshop on Thin Film Growth Techniques for Low-Dimensional Structures

    CERN Document Server

    Parkin, S; Dobson, P; Neave, J; Arrott, A

    1987-01-01

    This work represents the account of a NATO Advanced Research Workshop on "Thin Film Growth Techniques for Low Dimensional Structures", held at the University of Sussex, Brighton, England from 15-19 Sept. 1986. The objective of the workshop was to review the problems of the growth and characterisation of thin semiconductor and metal layers. Recent advances in deposition techniques have made it possible to design new material which is based on ultra-thin layers and this is now posing challenges for scientists, technologists and engineers in the assessment and utilisation of such new material. Molecular beam epitaxy (MBE) has become well established as a method for growing thin single crystal layers of semiconductors. Until recently, MBE was confined to the growth of III-V compounds and alloys, but now it is being used for group IV semiconductors and II-VI compounds. Examples of such work are given in this volume. MBE has one major advantage over other crystal growth techniques in that the structure of the growi...

  8. ZnO and Al doped ZnO thin films deposited by Spray Plasma: Effect of the growth time and Al doping on microstructural, optical and electrical properties

    International Nuclear Information System (INIS)

    Baba, Kamal; Lazzaroni, Claudia; Nikravech, Mehrdad

    2015-01-01

    Nanostructured zinc oxide (ZnO) and Al doped ZnO (ZnO:Al) thin films are deposited on glass substrate by the Spray Plasma technique. Zinc nitrate and aluminium nitrate are used as Zn and Al precursors, respectively. The effect of the growth time on structural and optical properties of undoped films is studied by X-ray diffraction, atomic force microscopy, and UV–Vis spectroscopy. The effect of Al doping on microstructural, optical and electrical characteristics of ZnO:Al films is also investigated. The results show that the grain size and the film thickness both increase with the growth time. The band gap of the layers varies from 3.17 to 3.24 eV depending on the thickness. The increase of the Al doping results in the enlargement of the peak (002) and the shift of its position to higher 2θ values. Average optical transmittance decreases from 90 to 65% with the growth time because of the thickness increase while there is no significant influence of the aluminium doping on the transmittance which is above 80% in most of the visible and near-IR range for all ZnO:Al films. The electrical properties characterized by Hall measurements show that all the deposited films exhibit high resistivity, between 4 and 10 4 Ω cm. The carrier concentration decreases from 2.10 19 to 2.10 13 cm −3 when the concentration of Al increases from 1.5 to 5 atm%. - Highlights: • The original Spray Plasma technique is used for ZnO and ZnO:Al thin film deposition. • Investigation of the effect of growth time and Al doping on the structural and optical properties • Increase of grain size and film thickness with the growth time • Optical transmittance decreases from 90 to 65% with the growth time and is above 80% for ZnO:Al films in UV–Vis-NIR range. • The peak position of the (002) plane is shifted to high 2θ values with Al doping.

  9. Room temperature growth of nanocrystalline anatase TiO{sub 2} thin films by dc magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Preetam, E-mail: preetamphy@gmail.co [Functional Nanomaterials Research Lab, Department of Physics and Centre of Nanotechnology, Indian Institute of Technology Roorkee, Roorkee 247667 (India); Kaur, Davinder [Functional Nanomaterials Research Lab, Department of Physics and Centre of Nanotechnology, Indian Institute of Technology Roorkee, Roorkee 247667 (India)

    2010-03-01

    We report, the structural and optical properties of nanocrystalline anatase TiO{sub 2} thin films grown on glass substrate by dc magnetron sputtering at room temperature. The influence of sputtering power and pressure over crystallinity and surface morphology of the films were investigated. It was observed that increase in sputtering power activates the TiO{sub 2} film growth from relative lower surface free energy to higher surface free energy. XRD pattern revealed the change in preferred orientation from (1 0 1) to (0 0 4) with increase in sputtering power, which is accounted for different surface energy associated with different planes. Microstructure of the films also changes from cauliflower type to columnar type structures with increase in sputtering power. FESEM images of films grown at low pressure and low sputtering power showed typical cauliflower like structure. The optical measurement revealed the systematic variation of the optical constants with deposition parameters. The films are highly transparent with transmission higher than 90% with sharp ultraviolet cut off. The transmittance of these films was found to be influenced by the surface roughness and film thickness. The optical band gap was found to decrease with increase in the sputtering power and pressure. The refractive index of the films was found to vary in the range of 2.50-2.24 with increase in sputtering pressure or sputtering power, resulting in the possibility of producing TiO{sub 2} films for device applications with different refractive index, by changing the deposition parameters.

  10. Room-temperature epitaxial growth of high-quality m-plane InGaN films on ZnO substrates

    Energy Technology Data Exchange (ETDEWEB)

    Shimomoto, Kazuma; Ueno, Kohei [Institute of Industrial Science, University of Tokyo (Japan); Kobayashi, Atsushi [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Department of Applied Chemistry, University of Tokyo (Japan); Ohta, Jitsuo [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Corporation (JST-CREST), Tokyo (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Corporation (JST-CREST), Tokyo (Japan); Amanai, Hidetaka; Nagao, Satoru; Horie, Hideyoshi [Mitsubishi Chemical Group, Science and Technology Research Center, Higashi-Mamiana, Ushiku-shi, Ibaraki (Japan)

    2009-05-15

    The authors have grown high-quality m -plane In{sub 0.36}Ga{sub 0.64}N (1 anti 100) films on ZnO (1 anti 100) substrates at room temperature (RT) by pulsed laser deposition (PLD) and have investigated their structural properties. m-plane InGaN films grown on ZnO substrates at RT possess atomically flat surfaces with stepped and terraced structures, indicating that the film growth proceeds in a two-dimensional mode. X-ray diffraction measurements have revealed that the m-plane InGaN films grow without phase separation reactions at RT. The full-width at half-maximum values of the 1 anti 100 X-ray rocking curves of films with X-ray incident azimuths perpendicular to the c- and a-axis are 88 arcsec and 78 arcsec, respectively. Reciprocal space-mapping has revealed that a 50 nm thick m-plane In{sub 0.36}Ga{sub 0.64}N film grows coherently on the ZnO substrate, which can probably explain the low defect density that is observed in the film. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Room-temperature epitaxial growth of high-quality m-plane InGaN films on ZnO substrates

    International Nuclear Information System (INIS)

    Shimomoto, Kazuma; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Oshima, Masaharu; Fujioka, Hiroshi; Amanai, Hidetaka; Nagao, Satoru; Horie, Hideyoshi

    2009-01-01

    The authors have grown high-quality m -plane In 0.36 Ga 0.64 N (1 anti 100) films on ZnO (1 anti 100) substrates at room temperature (RT) by pulsed laser deposition (PLD) and have investigated their structural properties. m-plane InGaN films grown on ZnO substrates at RT possess atomically flat surfaces with stepped and terraced structures, indicating that the film growth proceeds in a two-dimensional mode. X-ray diffraction measurements have revealed that the m-plane InGaN films grow without phase separation reactions at RT. The full-width at half-maximum values of the 1 anti 100 X-ray rocking curves of films with X-ray incident azimuths perpendicular to the c- and a-axis are 88 arcsec and 78 arcsec, respectively. Reciprocal space-mapping has revealed that a 50 nm thick m-plane In 0.36 Ga 0.64 N film grows coherently on the ZnO substrate, which can probably explain the low defect density that is observed in the film. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Experimental and simulation study of growth of TiO2 films on different substrates and its applications

    Science.gov (United States)

    Ghogare, Trupti T.; Kartha, Moses J.; Kendre, Subhash D.; Pathan, Habib M.

    2018-04-01

    Monte-Carlo Ballistic Deposition simulations have done on substrates with different initial roughness. The grown films were observed to be porous. The initial growths of the films with seed like initiations are observed for substrate with high initial roughness. In order to confirm this effect TiO2 films were deposited on different substrates using chemical bath deposition. The surface morphological and optical properties were measured using scanning electron microscopy and a UV-Vis spectrophotometer. Flower like porous structure are obtained on glass substrate and continuous porous morphology is formed on ITO substrate. The morphology of the surfaces was successfully reconstructed and the surface porosity was calculated after digitalising images and reconstructed the surfaces. The TiO2 film formed on ITO is observed to be 10% more porous than on the film formed on glass substrate. Diffusion Limited Aggregation simulations with multiple seeds confirms that the observed flower like structure formed are due to the screening effects of the diffusing ion by already deposited particles.

  13. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  14. Growth, structure and magnetic properties of magnetron sputtered FePt thin films

    Energy Technology Data Exchange (ETDEWEB)

    Cantelli, Valentina

    2010-07-01

    The L1{sub 0} FePt phase belongs to the most promising hard ferromagnetic materials for high density recording media. The main challenges for thin FePt films are: (i) to lower the process temperature for the transition from the soft magnetic A1 to the hard magnetic L1{sub 0} phase, (ii) to realize c-axes preferential oriented layers independently from the substrate nature and (iii) to control layer morphology supporting the formation of FePt-L1{sub 0} selforganized isolated nanoislands towards an increase of the signal-to-noise ratio. In this study, dc magnetron sputtered FePt thin films on amorphous substrates were investigated. The work is focused on the correlation between structural and magnetic properties with respect to the influence of deposition parameters like growth mode (cosputtering vs. layer - by - layer) and the variation of the deposition gas (Ar, Xe) or pressure (0.3-3 Pa). In low-pressure Ar discharges, high energetic particle impacts support vacancies formation during layer growth lowering the phase transition temperature to (320{+-}20) C. By reducing the particle kinetic energy in Xe discharges, highly (001) preferential oriented L1{sub 0}-FePt films were obtained on a-SiO{sub 2} after vacuum annealing. L1{sub 0}-FePt nano-island formation was supported by the introduction of an Ag matrix, or by random ballistic aggregation and atomic self shadowing realized by FePt depositions at very high pressure (3 Pa). The high coercivity (1.5 T) of granular, magnetic isotropic FePt layers, deposited in Ar discharges, was measured with SQUID magnetometer hysteresis loops. For non-granular films with (001) preferential orientation the coercivity decreased (0.6 T) together with an enhancement of the out-of- plane anisotropy. Nanoislands show a coercive field close to the values obtained for granular layers but exhibit an in-plane easy axis due to shape anisotropy effects. An extensive study with different synchrotron X-ray scattering techniques, mainly

  15. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  16. The effect of deposition energy of energetic atoms on the growth and structure of ultrathin amorphous carbon films studied by molecular dynamics simulations

    KAUST Repository

    Wang, N; Komvopoulos, K

    2014-01-01

    The growth and structure of ultrathin amorphous carbon films was investigated by molecular dynamics simulations. The second-generation reactive-empirical-bond-order potential was used to model atomic interactions. Films with different structures

  17. Grain growth: The key to understand solid-state dewetting of silver thin films

    International Nuclear Information System (INIS)

    Jacquet, P.; Podor, R.; Ravaux, J.; Teisseire, J.; Gozhyk, I.; Jupille, J.; Lazzari, R.

    2016-01-01

    The dynamics of solid-state dewetting of polycrystalline silver thin films in oxygen atmosphere was investigated with in situ and real-time environmental Scanning Electron Microscopy at high temperature combined with Atomic Force Microscopy. Three steps were identified during dewetting: induction, hole propagation without specific rim and sintering. Moreover, it was observed that a very selective grain growth, promoted by surface diffusion, plays a key role all along the process.

  18. Selective LPCVD growth of graphene on patterned copper and its growth mechanism

    Science.gov (United States)

    Zhang, M.; Huang, B.-C.; Wang, Y.; Woo, J. C. S.

    2016-12-01

    Copper-catalyzed graphene low-pressure chemical-vapor deposition (LPCVD) growth has been regarded as a viable solution towards its integration to CMOS technology, and the wafer-bonding method provides a reliable alternative for transferring the selective graphene grown on a patterned metal film for IC manufacturing. In this paper, selective LPCVD graphene growth using patterned copper dots has been studied. The Raman spectra of grown films have demonstrated large dependence on the growth conditions. To explain the results, the growth mechanisms based on surface adsorption and copper-vapor-assisted growth are investigated by the comparison between the blanket copper films with/without the additional copper source. The copper vapor density is found to be critical for high-quality graphene growth. In addition, the copper-vapor-assisted growth is also evidenced by the carbon deposition on the SiO2 substrate of the patterned-copper-dot sample and chamber wall during graphene growth. This growth mechanism explains the correlation between the growth condition and Raman spectrum for films on copper dots. The study on the copper-catalyzed selective graphene growth on the hard substrate paves the way for the synthesis and integration of the 2D material in VLSI.

  19. Direct correlations between XPS analyses and growth film by chronopotentiometry on InP in liquid ammonia (−55 °C)

    Energy Technology Data Exchange (ETDEWEB)

    Gonçalves, A.-M., E-mail: anne-marie.goncalves@uvsq.fr; Njel, C.; Aureau, D.; Etcheberry, A.

    2017-01-01

    Highlights: • Anodic galvannostatic treatment on n‐InP is carried out in liquid ammonia (−55 °C) under illumination. • Whatever the anodic charge, a phosphazene like film is revealed by XPS without thickening of the layer. • The film growth requires a nucleation step which is followed by a phosphazene coalescence phenomenon in the two dimensions of the surface. • A monolayer film of phosphazene is suggested and an excess of charge is observed which can be assigned to ammonia oxidation. - Abstract: This paper is based on the understanding of the formation of a reproducible polyphosphazene-like film (−[(H{sub 2}N)−P=N]{sub n}−) obtained on InP by anodic treatment in liquid ammonia. The approach is innovative as it combines indications from the coulometric charges and the related chemical information from XPS analyses. Anodic charges are accurately monitored by galvanostatic treatment between 0.05 mC cm{sup −2} and 12.5 mC cm{sup −2}. XPS investigation of the treated surfaces demonstrates the presence of an anodic film on InP. Whatever the spent charge, the specific P{sub 2p} and N{sub 1s} signals agree with the growth of an ultrathin phosphazene layer. From 0.25 mC cm{sup −2} to 12.5 mC cm{sup −2}, a quasi constant XPS response is revealed without thickening of the film. However a gradual chemical evolution of the modified surface is clearly observed for the lower anodic charges (from 0.04 mC cm{sup −2} to 0.5 mC cm{sup −2}). In this case, the surface is entirely recovered by the film as soon as 0.25 mC cm{sup −2} is consumed at the interface. Same atomic surface ratios are indeed revealed indicating that a constant chemical composition is consistent with a polyphosphazene film. On the basis of atomic surface ratios evolutions determined by XPS, a mechanism of the film growth is deduced. It requires a nucleation step which is followed by a phosphazene coalescence phenomenon in the two dimensions of the surface. A final phosphazene

  20. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  1. Polymeric film of 6-arm-poly(ethylene glycol) amine graphene oxide with poly (ε-caprolactone): Adherence and growth of adipose derived mesenchymal stromal cells culture on rat bladder

    Science.gov (United States)

    Durán, Marcela; Durán, Nelson; Luzo, Angela C. M.; Duarte, Adriana S. S.; Volpe, Bruno B.; Ceragioli, Helder J.; Andrade, Patricia F.; De Souza, Joel G.; Fávaro, Wagner J.

    2017-06-01

    Nanotechnology has been more present in different fields related to health. The need to find a durable material, of easy use, and which does not interfere significantly in the growth and differentiation of stem cells for the construction of a scaffold for use in urologic surgery, with the purpose of reducing infections, regeneration times and even graft rejection during reconstitution in patients with urethral stricture was conducted a broad survey of information about this and came to the consensus of this project: using graphene oxide, a widely studied nanomaterials which has been presenting numerous beneficial results when in contact with the adipose-derived stem cells. Advanced techniques for the growth, differentiation and proliferation of adipose-derived stem cells were used, as well as the characterization of graphene oxide sheets. For this study, it was prepared the graphene oxide/6 ARM-Poly (ethylene glycol) amine films with poly (ε-caprolactone). The graphene suspension in organic solvent was prepared by using an ultrasonicator bath and subsequently, the film was formed by solvent evaporation. Total characterization of graphene oxide/6 ARM-PEG-amine/ poly (ε-caprolactone) film was carried out. It was tested growth and adhesion of adipose-derived stem cells on the film, as well as, were verified the histopathological effects of this scaffold when implanted in the urinary bladder to repair the lesion. Our results demonstrated that this scaffold with adipose-derived stem cells enhanced the repair in rat urinary bladder defect model, resulting in a regular bladder. Improved organized muscle bundles and urothelial layer were observed in animals treated with this scaffold with adipose-derived stem cells compared with those treated only suture thread or scaffold. Thus, our biomaterial could be suitable for tissue engineered urinary tract reconstruction.

  2. Polymeric film of 6-arm-poly(ethylene glycol) amine graphene oxide with poly (ε-caprolactone): Adherence and growth of adipose derived mesenchymal stromal cells culture on rat bladder

    International Nuclear Information System (INIS)

    Durán, Marcela; Durán, Nelson; Fávaro, Wagner J.; Luzo, Angela C.M.; Duarte, Adriana S. S.; Volpe, Bruno B.; Ceragioli, Helder J.; Andrade, Patricia F.; De Souza, Joel G.

    2017-01-01

    Nanotechnology has been more present in different fields related to health. The need to find a durable material, of easy use, and which does not interfere significantly in the growth and differentiation of stem cells for the construction of a scaffold for use in urologic surgery, with the purpose of reducing infections, regeneration times and even graft rejection during reconstitution in patients with urethral stricture was conducted a broad survey of information about this and came to the consensus of this project: using graphene oxide, a widely studied nanomaterials which has been presenting numerous beneficial results when in contact with the adipose-derived stem cells. Advanced techniques for the growth, differentiation and proliferation of adipose-derived stem cells were used, as well as the characterization of graphene oxide sheets. For this study, it was prepared the graphene oxide/6 ARM-Poly (ethylene glycol) amine films with poly (ε-caprolactone). The graphene suspension in organic solvent was prepared by using an ultrasonicator bath and subsequently, the film was formed by solvent evaporation. Total characterization of graphene oxide/6 ARM-PEG-amine/ poly (ε-caprolactone) film was carried out. It was tested growth and adhesion of adipose-derived stem cells on the film, as well as, were verified the histopathological effects of this scaffold when implanted in the urinary bladder to repair the lesion. Our results demonstrated that this scaffold with adipose-derived stem cells enhanced the repair in rat urinary bladder defect model, resulting in a regular bladder. Improved organized muscle bundles and urothelial layer were observed in animals treated with this scaffold with adipose-derived stem cells compared with those treated only suture thread or scaffold. Thus, our biomaterial could be suitable for tissue engineered urinary tract reconstruction. (paper)

  3. Growth of porous type anodic oxide films at micro-areas on aluminum exposed by laser irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kikuchi, Tatsuya [Graduate School of Engineering, Hokkaido University, N13-W8, Kita-Ku, Sapporo 060-8628 (Japan)], E-mail: kiku@eng.hokudai.ac.jp; Sakairi, Masatoshi [Graduate School of Engineering, Hokkaido University, N13-W8, Kita-Ku, Sapporo 060-8628 (Japan); Takahashi, Hideaki [Asahikawa National College of Technology, Syunkohdai, 2-2, 1-6, Asahikawa 071-8142 (Japan)

    2009-11-30

    Aluminum covered with pore-sealed anodic oxide films was irradiated with a pulsed Nd-YAG laser to remove the oxide film at micro-areas. The specimen was re-anodized for long periods to examine the growth of porous anodic oxide films at the area where substrate had been exposed by measuring current variations and morphological changes in the oxide during the re-anodizing. The chemical dissolution resistance of the pore-sealed anodic oxide films in an oxalic acid solution was also examined by measuring time-variations in rest potentials during immersion. The resistance to chemical dissolution of the oxide film became higher with increasing pore-sealing time and showed higher values at lower solution temperatures. During potentiostatic re-anodizing at five 35-{mu}m wide and 4-mm long lines for 72 h after the film was removed the measured current was found to increase linearly with time. Semicircular columnar-shaped porous type anodic oxide was found to form during the re-anodizing at the laser-irradiated area, and was found to grow radially, thus resulting in an increase in the diameter. After long re-anodizing, the central and top parts of the oxide protruded along the longitudinal direction of the laser-irradiated area. The volume expansion during re-anodizing resulted in the formation of cracks, parallel to the lines, in the oxide film formed during the first anodizing.

  4. Plasma deposition of thin film silicon at low substrate temperature and at high growth rate

    NARCIS (Netherlands)

    Verkerk, A.D.|info:eu-repo/dai/nl/304831719

    2009-01-01

    To expand the range of applications for thin film solar cells incorporating hydrogenated amorphous silicon (a-Si:H) and hydrogenated nanocrystalline silicon (nc-Si:H), the growth rate has to be increased 0.5 or less to several nm/s and the substrate temperature should be lowered to around 100 C. In

  5. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    Science.gov (United States)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  6. Post-growth annealing of zinc oxide thin films pulsed laser deposited under enhanced oxygen pressure on quartz and silicon substrates

    International Nuclear Information System (INIS)

    Rusop, M.; Uma, K.; Soga, T.; Jimbo, T.

    2006-01-01

    Zinc oxide (ZnO) thin films have been prepared by pulsed laser deposition (PLD) technique at room temperature on quartz and single crystal silicon (1 0 0) substrates. The oxygen ambient gas pressure was attained at 6 Torr during the deposition. The deposited films were post-growth annealed in air at various annealing temperatures for 30 min. The X-ray diffraction (XRD), optical and electrical properties have been measured to study the properties of the films as a function of annealing temperatures. XRD has shown the strength of (0 0 2) peak increases and FWHM value decreases as the annealing temperatures increases from 200 to 600 deg. C. The post-growth annealed at 600 deg. C show dominant c-axis oriented hexagonal wurtize crystal structure and exhibit high average transmittance about 85% in the visible region and very sharp absorption edge at 376 nm with energy band gap of approximately 3.46 eV. Electrical measurement indicates the resistivity decreases with the annealing temperatures up to 600 deg. C, after which it increases with higher annealing temperatures at 800 deg. C. The complex of oxygen vacancy in the ZnO films may be the source of low conductivity in undoped ZnO films

  7. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    Science.gov (United States)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  8. Modelling the growth process of porous aluminum oxide film during anodization

    International Nuclear Information System (INIS)

    Aryslanova, E M; Alfimov, A V; Chivilikhin, S A

    2015-01-01

    Currently it has become important for the development of metamaterials and nanotechnology to obtain regular self-assembled structures. One such structure is porous anodic alumina film that consists of hexagonally packed cylindrical pores. In this work we consider the anodization process, our model takes into account the influence of layers of aluminum and electrolyte on the rate of growth of aluminum oxide, as well as the effect of surface diffusion. In present work we consider those effects. And as a result of our model we obtain the minimum distance between centers of alumina pores in the beginning of anodizing process. (paper)

  9. Modelling the growth process of porous aluminum oxide film during anodization

    Science.gov (United States)

    Aryslanova, E. M.; Alfimov, A. V.; Chivilikhin, S. A.

    2015-11-01

    Currently it has become important for the development of metamaterials and nanotechnology to obtain regular self-assembled structures. One such structure is porous anodic alumina film that consists of hexagonally packed cylindrical pores. In this work we consider the anodization process, our model takes into account the influence of layers of aluminum and electrolyte on the rate of growth of aluminum oxide, as well as the effect of surface diffusion. In present work we consider those effects. And as a result of our model we obtain the minimum distance between centers of alumina pores in the beginning of anodizing process.

  10. Growth model and structure evolution of Ag layers deposited on Ge films.

    Science.gov (United States)

    Ciesielski, Arkadiusz; Skowronski, Lukasz; Górecka, Ewa; Kierdaszuk, Jakub; Szoplik, Tomasz

    2018-01-01

    We investigated the crystallinity and optical parameters of silver layers of 10-35 nm thickness as a function 2-10 nm thick Ge wetting films deposited on SiO 2 substrates. X-ray reflectometry (XRR) and X-ray diffraction (XRD) measurements proved that segregation of germanium into the surface of the silver film is a result of the gradient growth of silver crystals. The free energy of Ge atoms is reduced by their migration from boundaries of larger grains at the Ag/SiO 2 interface to boundaries of smaller grains near the Ag surface. Annealing at different temperatures and various durations allowed for a controlled distribution of crystal dimensions, thus influencing the segregation rate. Furthermore, using ellipsometric and optical transmission measurements we determined the time-dependent evolution of the film structure. If stored under ambient conditions for the first week after deposition, the changes in the transmission spectra are smaller than the measurement accuracy. Over the course of the following three weeks, the segregation-induced effects result in considerably modified transmission spectra. Two months after deposition, the slope of the silver layer density profile derived from the XRR spectra was found to be inverted due to the completed segregation process, and the optical transmission spectra increased uniformly due to the roughened surfaces, corrosion of silver and ongoing recrystallization. The Raman spectra of the Ge wetted Ag films were measured immediately after deposition and ten days later and demonstrated that the Ge atoms at the Ag grain boundaries form clusters of a few atoms where the Ge-Ge bonds are still present.

  11. Does debt predict growth? An empirical analysis of the relationship between total debt and economic output

    Directory of Open Access Journals (Sweden)

    Willem Vanlaer

    2015-12-01

    Full Text Available Although the recent global financial crisis has stimulated a vast amount of research on the impact of public debt on economic growth and also increasingly on the role of private credit, the total levels of indebtedness of an economy have largely been ignored. This paper studies the impact of the total level of and increases in debt-to-GDP on economic growth for 26 developed countries in the short, medium and longer term. We analyse whether we can predict the future level of growth, simply by looking at the total level of debt, or increases in that debt level. We find that there is a negative correlation between high levels of debt and short term economic growth, but that this effect tapers in the medium and long term. Similarly, we find that rapid debt accumulation is negatively related to economic growth over the short term, the impact is less pronounced over the medium term and is non-existent over the long term.

  12. Metal-to-Insulator Transition in Anatase TiO2 Thin Films Induced by Growth Rate Modulation

    Energy Technology Data Exchange (ETDEWEB)

    Tachikawa, T; Minohara, M.; Nakanishi, Y.; Hikita, Y.; Yoshita, M.; Akiyama, H.; Bell, C.; Hwang, H.Y.

    2012-06-21

    We demonstrate control of the carrier density of single phase anatase TiO{sub 2} thin films by nearly two orders of magnitude by modulating the growth kinetics during pulsed laser deposition, under fixed thermodynamic conditions. The resistivity and the intensity of the photoluminescence spectra of these TiO{sub 2} samples, both of which correlate with the number of oxygen vacancies, are shown to depend strongly on the growth rate. A quantitative model is used to explain the carrier density changes.

  13. Metal-to-Insulator Transition in Anatase TiO2 Thin Films Induced by Growth Rate Modulation

    International Nuclear Information System (INIS)

    Tachikawa, Takashi

    2012-01-01

    We demonstrate control of the carrier density of single phase anatase TiO 2 thin films by nearly two orders of magnitude by modulating the growth kinetics during pulsed laser deposition, under fixed thermodynamic conditions. The resistivity and the intensity of the photoluminescence spectra of these TiO 2 samples, both of which correlate with the number of oxygen vacancies, are shown to depend strongly on the growth rate. A quantitative model is used to explain the carrier density changes.

  14. Successful implementation of the stepwise layer-by-layer growth of MOF thin films on confined surfaces: Mesoporous silica foam as a first case study

    KAUST Repository

    Shekhah, Osama; Fu, Lei; Sougrat, Rachid; Belmabkhout, Youssef; Cairns, Amy; Giannelis, Emmanuel P.; Eddaoudi, Mohamed

    2012-01-01

    Here we report the successful growth of highly crystalline homogeneous MOF thin films of HKUST-1 and ZIF-8 on mesoporous silica foam, by employing a layer-by-layer (LBL) method. The ability to control and direct the growth of MOF thin films on confined surfaces, using the stepwise LBL method, paves the way for new prospective applications of such hybrid systems. © 2012 The Royal Society of Chemistry.

  15. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    Science.gov (United States)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  16. DNA polymeric films as a support for cell growth as a new material for regenerative medicine: Compatibility and applicability.

    Science.gov (United States)

    Jayme, Cristiano Ceron; de Paula, Leonardo Barcelos; Rezende, Nayara; Calori, Italo Rodrigo; Franchi, Leonardo Pereira; Tedesco, Antonio Claudio

    2017-11-15

    DNA polymeric films (DNA-PFs) are a promising drug delivery system (DDS) in modern medicine. In this study, we evaluated the growth behavior of oral squamous cell carcinoma (OSCC) cells on DNA-PFs. The morphological, biochemical, and cytometric features of OSCC cell adhesion on DNA-PFs were also assessed. An initial, temporary alteration in cell morphology was observed at early time points owing to the inhibition of cell attachment to the film, which then returned to a normal morphological state at later time points. MTT and resazurin assays showed a moderate reduction in cell viability related to increased DNA concentration in the DNA-PFs. Flow cytometry studies showed low cytotoxicity of DNA-PFs, with cell viabilities higher than 90% in all the DNA-PFs tested. Flow cytometric cell cycle analysis also showed average cell cycle phase distributions at later time points, indicating that OSCC cell growth is maintained in the presence of DNA-PFs. These results show high biocompatibility of DNA-PFs and suggest their use in designing "dressing material," where the DNA film acts as a support for cell growth, or with incorporation of active or photoactive compounds, which can induce tissue regeneration and are useful to treat many diseases, especially oral cancer. Copyright © 2017 Elsevier Inc. All rights reserved.

  17. KMCThinFilm: A C++ Framework for the Rapid Development of Lattice Kinetic Monte Carlo (kMC) Simulations of Thin Film Growth

    Science.gov (United States)

    2015-09-01

    direction, so if the simulation domain is set to be a certain size, then that presents a hard ceiling on the thickness of a film that may be grown in...FFA, Los J, Cuppen HM, Bennema P, Meekes H. MONTY:  Monte Carlo crystal growth on any crystal structure in any crystallographic orientation...mhoffman.github.io/kmos/. 23. Kiravittaya S, Schmidt OG. Quantum-dot crystal defects. Applied Physics Letters. 2008;93:173109. 24. Leetmaa M

  18. Thickness and growth-condition dependence of in-situ mobility and carrier density of epitaxial thin-film Bi2Se3

    International Nuclear Information System (INIS)

    Hellerstedt, Jack; Fuhrer, Michael S.; Edmonds, Mark T.; Zheng, C. X.; Chen, J. H.; Cullen, William G.

    2014-01-01

    Bismuth selenide Bi 2 Se 3 was grown by molecular beam epitaxy, while carrier density and mobility were measured directly in situ as a function of film thickness. Carrier density shows high interface n-doping (1.5 × 10 13  cm −2 ) at the onset of film conduction and bulk dopant density of ∼5 × 10 11  cm −2 per quintuple-layer unit, roughly independent of growth temperature profile. Mobility depends more strongly on the growth temperature and is related to the crystalline quality of the samples quantified by ex-situ atomic force microscopy measurements. These results indicate that Bi 2 Se 3 as prepared by widely employed parameters is n-doped before exposure to atmosphere, the doping is largely interfacial in origin, and dopants are not the limiting disorder in present Bi 2 Se 3 films.

  19. Measuring thin films using quantitative frustrated total internal reflection (FTIR)

    NARCIS (Netherlands)

    Shirota, Minori; van Limbeek, Michiel A.J.; Lohse, Detlef; Sun, Chao

    2017-01-01

    Abstract.: In the study of interactions between liquids and solids, an accurate measurement of the film thickness between the two media is essential to study the dynamics. As interferometry is restricted by the wavelength of the light source used, recent studies of thinner films have prompted the

  20. Time-dependent changes in the growth of ultrathin ionic liquid films on Ag(111).

    Science.gov (United States)

    Lexow, Matthias; Talwar, Timo; Heller, Bettina S J; May, Benjamin; Bhuin, Radha G; Maier, Florian; Steinrück, Hans-Peter

    2018-05-09

    Various amounts of the ionic liquids (ILs) [C1C1Im][Tf2N] and [C8C1Im][Tf2N] were deposited in vacuo by physical vapour deposition (PVD) on single crystalline Ag(111) at room temperature and subsequently monitored by angle-resolved X-ray photoelectron spectroscopy (ARXPS) as a function of time. For very low coverages of up to one closed molecular layer, an initial wetting layer was rapidly formed for both ILs. Deposition of higher amounts of [C1C1Im][Tf2N] revealed an initial three-dimensional film morphology. On the time scale of hours, characteristic changes of the XPS signals were observed. These are interpreted as island spreading and a transformation towards a nearly two dimensional [C1C1Im][Tf2N] film as the final state. In contrast, a film morphology close to 2D was found from the very beginning for [C8C1Im][Tf2N] deposited on Ag(111) demonstrating the influence of the alkyl chain length on the growth kinetics. These studies also highlight the suitability of time-resolved ARXPS for the investigation of IL/solid interfaces, which play a crucial role in IL thin film applications such as in catalysis, sensor, lubrication, and coating technologies.

  1. Growth of Ferromagnetic Epitaxial Film of Hexagonal FeGe on (111) Ge Surface

    Science.gov (United States)

    Kumar, Dushyant; Joshi, P. C.; Hossain, Z.; Budhani, R. C.

    2014-03-01

    The realization of semiconductors showing ferromagnetic order at easily accessible temperatures has been of interest due to their potential use in spintronic devices where long spin life times are of key interest. We have realized the growth of FeGe thin films on Ge (111) wafers using pulsed laser deposition (PLD). The stoichiometric and single phase FeGe target used in PLD chamber has been made by arc melting. A typical θ-2 θ diffraction spectra performed on 40 nm thick FeGe film suggests the stabilization of β-Ni2In (B82-type) hexagonal phase with an epitaxial orientation of (0001)FeGe ||(111)Ge and [11-20]FeGe ||[-110]Ge. SEM images shows a granular structure with the formation of very large grains of about 100 to 500 nm in lateral dimension. The magnetization vs. temperature data taken from SQUID reveal the TC of ~ 270K. Since, PLD technique makes it easier to stabilize the B82 (Ni2In) hexagonal phase in thin FeGe films, this work opens opportunities to reinvestigate many conflicting results on various properties of the FeGe system.

  2. Adsorption-controlled growth of ferroelectric PbTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12} films for nonvolatile memory applications by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Theis, C.D.; Yeh, J.; Schlom, D.G. [Pennsylvania State Univ., University Park, PA (United States). Dept. of Materials Science and Engineering; Hawley, M.E.; Brown, G.W. [Los Alamos National Lab., NM (United States). Center for Materials Science

    1997-09-01

    Epitaxial PbTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12} thin films have been grown on (100) SrTiO{sub 3} and (100) LaAlO{sub 3} substrates by reactive molecular beam epitaxy (MBE). Titanium is supplied to the film in the form of shuttered bursts each containing a one monolayer dose of titanium atoms for the growth of PbTiO{sub 3} and three monolayers for the growth of Bi{sub 4}Ti{sub 3}O{sub 12}. Lead, bismuth, and ozone are continuously supplied to the surface of the depositing film. Growth of phase pure, c-axis oriented epitaxial films with bulk lattice constants is achieved using an overpressure of these volatile species. With the proper choice of substrate temperature (600--650 C) and ozone background pressure (P{sub O{sub 3}} = 2 {times} 10{sup {minus}5} Torr), the excess of the volatile metals and ozone desorb from the surface of the depositing film leaving a phase-pure stoichiometric crystal. The smooth PbTiO{sub 3} surface morphology revealed by atomic force microscopy (AFM) suggests that the PbTiO{sub 3} films grow in a layer-by-layer fashion. In contrast the Bi{sub 4}Ti{sub 3}O{sub 12} films contain islands which evolve either continuously or around screw dislocations via a spiral-type growth mechanism.

  3. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  4. Growth Rate and Morphology of a Single Calcium Carbonate Crystal on Polysulfone Film Measured with Time Lapse Raman Micro Spectroscopy

    NARCIS (Netherlands)

    Liszka, B.; Lenferink, Aufrid T.M.; Otto, Cornelis

    2016-01-01

    The growth of single, self- nucleated calcium carbonate crystals on a polysulfone (PSU) film was investigated with high resolution, time lapse Raman imaging. The Raman images were acquired on the interface of the polymer with the crystal. The growth of crystals could thus be followed in time. PSU is

  5. Effect of the growth conditions on the anisotropy, domain structures and the relaxation in Co thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mallik, Srijani; Mallick, Sougata; Bedanta, Subhankar, E-mail: sbedanta@niser.ac.in

    2017-04-15

    We report a systematic study on the anisotropy symmetry, magnetic domains and magnetic relaxation behavior in Co thin films deposited on MgO (001) substrate by varying (i) the pre-annealing condition and (ii) the speed of substrate rotation during deposition. Substrate annealing prior to deposition leads to the formation of textured thin films. On contrary Co films prepared without substrate pre-annealing exhibit polycrystalline nature. Surface topography imaged by atomic force microscopy (AFM) depicts a profound effect of growth condition on grain size and its distribution. Magnetic hysteresis measurement along with simultaneous domain imaging has been performed by magneto optic Kerr effect (MOKE) based microscope by varying the angle (ϕ) between the easy axis and the direction of applied magnetic field. We observed the existence of cubic and uniaxial anisotropy due to the presence of substrate annealing and oblique angular deposition, respectively. Along the easy axis, magnetization reversal is governed by 180° domain wall motion via branched domains. However, for easy axis<ϕgrowth of Co on MgO(001) substrate. • The structure has clear implication on the magnetic properties. • The magnetic relaxation has been studied for both textured and polycrystalline films.

  6. Influence of plasma-generated negative oxygen ion impingement on magnetron sputtered amorphous SiO2 thin films during growth at low temperatures

    International Nuclear Information System (INIS)

    Macias-Montero, M.; Garcia-Garcia, F. J.; Alvarez, R.; Gil-Rostra, J.; Gonzalez, J. C.; Gonzalez-Elipe, A. R.; Palmero, A.; Cotrino, J.

    2012-01-01

    Growth of amorphous SiO 2 thin films deposited by reactive magnetron sputtering at low temperatures has been studied under different oxygen partial pressure conditions. Film microstructures varied from coalescent vertical column-like to homogeneous compact microstructures, possessing all similar refractive indexes. A discussion on the process responsible for the different microstructures is carried out focusing on the influence of (i) the surface shadowing mechanism, (ii) the positive ion impingement on the film, and (iii) the negative ion impingement. We conclude that only the trend followed by the latter and, in particular, the impingement of O - ions with kinetic energies between 20 and 200 eV, agrees with the resulting microstructural changes. Overall, it is also demonstrated that there are two main microstructuring regimes in the growth of amorphous SiO 2 thin films by magnetron sputtering at low temperatures, controlled by the amount of O 2 in the deposition reactor, which stem from the competition between surface shadowing and ion-induced adatom surface mobility.

  7. Total Recall: Using Film to Enhance Learning

    Science.gov (United States)

    Clayton, Douglas N.

    2012-01-01

    Several scholars (e.g. Bumpus, 2005; Champoux, 1999; Mayer, 2005) and practitioners (Gallos 2007; English & Steffy, 1995; Hackley, 2007) of workplace learning have put forward the claim that the use of film (and other forms of multimedia) enhances learning. If this is the case, particularly given the increasing pressure to do more with less,…

  8. Epitaxial growth of bcc-Fe{sub x}Co{sub 100-x} thin films on MgO(1 1 0) single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.j [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Nishiyama, Tsutomu; Shikada, Kouhei [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2010-07-15

    Fe{sub x}Co{sub 100-x} (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe{sub x}Co{sub 100-x} film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe{sub x}Co{sub 100-x} crystals with very small errors less than +-0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe{sub 50}Co{sub 50}/MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  9. Investigation of growth, structural and electronic properties of V{sub 2}O{sub 3} thin films on selected substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nateprov, Alexei

    2006-08-15

    The present work is devoted to the experimental study of the MI transition in V{sub 2}O{sub 3} thin films, grown on different substrates. The main goal of the work was to develop a technology of growth of V{sub 2}O{sub 3} thin films on substrates with different electrical and structural properties (diamond and LiNbO{sub 3}), designed for specific applications. The structural and electrical properties of the obtained films were characterized in detail with a special focus on their potential applications. The MIT of V{sub 2}O{sub 3} was investigated by SAW using first directly deposited V{sub 2}O{sub 3} thin film onto a LiNbO{sub 3} substrate. (orig.)

  10. Effect of oxygen plasma treatment on crystal growth mode at pentacene/Ni interface in organic thin-film transistors.

    Science.gov (United States)

    Song, Bang Joo; Hong, Kihyon; Kim, Woong-Kwon; Kim, Kisoo; Kim, Sungjun; Lee, Jong-Lam

    2010-11-25

    We report how treatment of nickel (Ni) with O(2) plasma affects the polarity of Ni surface, crystallinity of pentacene film on the Ni, and electrical properties of pentacene organic thin-film transistors (OTFTs) that use Ni as source-drain electrodes. The polar component of surface energy in Ni surface increased from 8.1 to 43.3 mJ/m(2) after O(2)-plasma treatment for 10 s. From X-ray photoelectron spectra and secondary electron emission spectra, we found that NiO(x) was formed on the O(2)-plasma-treated Ni surface and the work function of O(2)-plasma-treated Ni was 0.85 eV higher than that of untreated Ni. X-ray diffraction and atomic force microscopy measurements showed that pentacene molecules are well aligned as a thin-film and grains grow much larger on O(2)-plasma-treated Ni than on untreated Ni. This change in the growth mode is attributed to the reduction of interaction energy between pentacene and Ni due to formation of oxide at the Ni/pentacene interface. Thus, O(2)-plasma treatment promoted the growth of well-ordered pentacene film and lowered both the hole injection barrier and the contact resistance between Ni and pentacene by forming NiO(x), enhancing the electrical property of bottom-contact OTFTs.

  11. Growth modes and epitaxy of FeAl thin films on a-cut sapphire prepared by pulsed laser and ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Xiang; Trautvetter, Moritz; Ziemann, Paul [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Wiedwald, Ulf [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Fakultät für Physik, Universität Duisburg-Essen, Lotharstraße 1, 47057 Duisburg (Germany)

    2014-01-14

    FeAl films around equiatomic composition are grown on a-cut (112{sup ¯}0) sapphire substrates by ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD) at ambient temperature. Subsequent successive annealing is used to establish chemical order and crystallographic orientation of the films with respect to the substrate. We find a strongly [110]-textured growth for both deposition techniques. Pole figures prove the successful preparation of high quality epitaxial films by PLD with a single in-plane orientation. IBAD-grown films, however, exhibit three in-plane orientations, all of them with broad angular distributions. The difference of the two growth modes is attributed to the existence of a metastable intermediate crystalline orientation as concluded from nonassisted sputter depositions at different substrate temperatures. The formation of the chemically ordered crystalline B2 phase is accompanied by the expected transition from ferromagnetic to paramagnetic behavior of the films. In accordance with the different thermally induced structural recovery, we find a step-like magnetic transition to paramagnetic behavior after annealing for 1 h at T{sub A} = 300 °C for IBAD deposition, while PLD-grown films show a gradual decrease of ferromagnetic signals with rising annealing temperatures.

  12. Thin film growth behaviors on strained fcc(111) surface by kinetic Monte Carlo

    International Nuclear Information System (INIS)

    Doi, Y; Matsunaka, D; Shibutani, Y

    2009-01-01

    We study Ag islands grown on strained Ag(111) surfaces using kinetic Monte Carlo (KMC) simulations. We employed KMC parameters of activation energy and attempt frequency estimated by nudged elastic band (NEB) method and vibration analyses. We investigate influences of surface strain and substrate temperature on film growth. As the biaxial surface strain increases, the island density increases. As temperature increases, the shape of the island changes from dendric to hexagonal and the island density increases.

  13. Growth of Highly Epitaxial YBa2Cu3O7-δ Films from a Simple Propionate-Based Solution

    DEFF Research Database (Denmark)

    Yue, Zhao; Torres, Pol; Tang, Xiao

    2015-01-01

    Intensive investigations have been conducted to develop epitaxial oxide thin films with superior electromagnetic performance by low-cost chemical solution deposition routes. In this paper, a novel propionate-based precursor solution without involving any other additive was proposed and employed...... to grow superconducting YBa2Cu3O7-δ (YBCO) films on LaAlO3 (LAO) single crystals. The precursor solutions are stable with a long shelf life of up to several months. Since the primary compositions are propionates after evaporating the solvent, the toxic reagents and evolved gases during solution synthesis...... and heat treatment can be eliminated completely. In this process, rapid pyrolysis and high conversation rate can also be achieved during growth of YBCO films in comparison with the conventional trifluoroacetate metal organic deposition routes. Remarkably, a 210 nm YBCO film exhibits high superconducting...

  14. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    Science.gov (United States)

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Development of Dye-Sensitized Solar Cells with Sputtered N-Doped TiO2 Thin Films: From Modeling the Growth Mechanism of the Films to Fabrication of the Solar Cells

    OpenAIRE

    Duarte, D. A.; Massi, M.; da Silva Sobrinho, A. S.

    2014-01-01

    In this paper, nitrogen-doped TiO2 thin films were deposited by DC reactive sputtering at different doping levels for the development of dye-sensitized solar cells. The mechanism of film growth during the sputtering process and the effect of the nitrogen doping on the structural, optical, morphological, chemical, and electronic properties of the TiO2 were investigated by numerical modeling and experimental methods. The influence of the nitrogen doping on the working principle of the prototype...

  16. Controllable Growth of Large-Size Crystalline MoS2 and Resist-Free Transfer Assisted with a Cu Thin Film

    Science.gov (United States)

    Lin, Ziyuan; Zhao, Yuda; Zhou, Changjian; Zhong, Ren; Wang, Xinsheng; Tsang, Yuen Hong; Chai, Yang

    2015-12-01

    Two-dimensional MoS2 is a promising material for future nanoelectronics and optoelectronics. It has remained a great challenge to grow large-size crystalline and high surface coverage monolayer MoS2. In this work, we investigate the controllable growth of monolayer MoS2 evolving from triangular flakes to continuous thin films by optimizing the concentration of gaseous MoS2, which has been shown a both thermodynamic and kinetic growth factor. A single-crystal monolayer MoS2 larger than 300 μm was successfully grown by suppressing the nuclei density and supplying sufficient source. Furthermore, we present a facile process of transferring the centimeter scale MoS2 assisted with a copper thin film. Our results show the absence of observable residues or wrinkles after we transfer MoS2 from the growth substrates onto flat substrates using this technique, which can be further extended to transfer other two-dimensional layered materials.

  17. RHEED and EELS study of Pd/Al bimetallic thin film growth on different α-Al 2O 3 substrates

    Science.gov (United States)

    Moroz, V.; Rajs, K.; Mašek, K.

    2002-06-01

    Pd/Al bimetallic thin films were grown by molecular beam epitaxy on single-crystalline α-Al 2O 3(0 0 0 1) and (1 1 2¯ 0) surfaces. Substrate and deposit crystallographic structures and evolution of deposit lattice parameter during the growth were studied by reflection high-energy electron diffraction. The electron energy loss spectroscopy was used as an auxiliary method for chemical analysis. The bimetallic films were prepared by successive deposition of both Pd and Al metals. The structure of Pd and Al deposits in early stages of the growth and its dependence on the preparation conditions were studied. Two phases of Pd clusters covered by Al overlayer have been found. The formation of Al overlayer strongly influenced the lattice parameter of Pd clusters.

  18. Heteroepitaxial growth of SiC films by carbonization of polyimide Langmuir-Blodgett films on Si

    Directory of Open Access Journals (Sweden)

    Goloudina S.I.

    2017-01-01

    Full Text Available High quality single crystal SiC films were prepared by carbonization of polyimide Langmuir-Blodgett films on Si substrate. The films formed after annealing of the polyimide films at 1000°C, 1100°C, 1200°C were studied by Fourier transform-infrared (FTIR spectroscopy, X-ray diffraction (XRD, Raman spectroscopy, transmission electon microscopy (TEM, transmission electron diffraction (TED, and scanning electron microscopy (SEM. XRD study and HRTEM cross-section revealed that the crystalline SiC film begins to grow on Si (111 substrate at 1000°C. According to the HRTEM cross-section image five planes in 3C-SiC (111 film are aligned with four Si(111 planes at the SiC/Si interface. It was shown the SiC films (35 nm grown on Si(111 at 1200°C have mainly cubic 3C-SiC structure with a little presence of hexagonal polytypes. Only 3C-SiC films (30 nm were formed on Si (100 substrate at the same temperature. It was shown the SiC films (30-35 nm are able to cover the voids in Si substrate with size up to 10 μm.

  19. Growth of Ca{sub 2}MnO{sub 4} Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W., E-mail: wilfrid.prellier@ensicaen.fr [Laboratoire CRISMAT, CNRS UMR 6508, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Grygiel, C. [Laboratoire CIMAP, CEA, CNRS UMR 6252, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Rohrer, G. S.; Salvador, P. A. [Department of Materials Science and Engineering, Carnegie Mellon University, 5000 Forbes Ave., Pittsburgh, Pennsylvania 15213 (United States); Velazquez, M. [CNRS, Université de Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Kloe, R. de [AMETEK B.V, EDAX Application Laboratory, Tilburg (Netherlands)

    2014-12-28

    The local epitaxial growth of pulsed laser deposited Ca{sub 2}MnO{sub 4} films on polycrystalline spark plasma sintered Sr{sub 2}TiO{sub 4} substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca{sub 2}MnO{sub 4} grain had a single OR with the Sr{sub 2}TiO{sub 4} grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001]{sub film}||[100][001]{sub sub}. The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides.

  20. Energy consumption and total factor productivity growth in Iranian agriculture

    Directory of Open Access Journals (Sweden)

    Reza Moghaddasi

    2016-11-01

    Full Text Available In this study we investigated the relation between energy consumption and growth of total factor productivity (TFP of agriculture in Iran from 1974 to 2012 using Solow residual method. The results from estimated aggregate Cobb–Douglas production function showed that one percent change in the value of labor, capital and energy will lead to 4.07, 0.09 and 0.49 percent change in agriculture value added, respectively. Also in a long term, based on the Johansen cointegration test, there is a negative relation between TFP growth and energy consumption in Iranian agriculture which might be due to cheap and inefficient energy use in this sector. Gradual liberalization of energy price and use of so called green box support policies is recommended.

  1. Influence of humidity on the growth characteristics and properties of chemical bath-deposited ZnS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yi-Cheng; Chao, Yen-Tai [Department of Mechatronics Engineering, National Changhua University of Education, Changhua 50007, Taiwan (China); Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw [Department of Materials Science and Engineering, Da-Yeh University, Dacun, Changhua 51591, Taiwan (China)

    2014-07-01

    In this study, the effect of humidity on the growth characteristics and properties of chemical bath-deposited ZnS thin films was systematically investigated. All deposition was conducted by an open CBD system under various relative humidity levels (RH) or by a hermetic CBD system as a comparison. It shows, for films deposited by an open system, the ambient humidity plays an important role in the quality of the resultant films. Damp environments lead to powdery films. Generally, all films prepared in this study using NH{sub 3} and hydrazine hydrate as the complexing agents were amorphous or poorly crystalline. For an open system, the [H{sup +}] from the dissolved carbon dioxide in the air competes with the ammonium ions in the bath solution. According to Le Châtelier's principle, more ammonia was consumed, which favors the free [Zn{sup +2}] in the solution, facilitating the homogeneous precipitation of Zn(OH){sub 2} and giving rise to a powdery film. The x-ray photoelectron spectrum shows, for an open system, the content of Zn–O compounds in the form of Zn(OH){sub 2} and ZnO, etc., is increased by the relative humidity of the environment. The visible transmittance is reduced by RH. The higher optical band gap of the as-deposited films could be attributed to the quantum confinement effects due to the small grain size of the polycrystalline ZnS films over the substrates.

  2. Catalytic effect of Al and AlN interlayer on the growth and properties of containing carbon films

    International Nuclear Information System (INIS)

    Zhou, Bing; Liu, Zhubo; Tang, Bin; Rogachev, A.V.

    2015-01-01

    Highlights: • DLC and CN x bilayers with Al (AlN) interlayer were fabricated by cathode arc technique. • Complete diffusion of Al and C atoms occurs at the interface of Al/DLC (CN x ) bilayer. • Al/CN x bilayer presents a higher content of Csp 3 /Csp 2 bonds. • The hardness of Al/DLC bilayer decreases but increases for the other bilayers. • Morphology of the bilayers was explained by growth mechanism of DLC and surface state of substrate. - Abstract: Diamond-like carbon (DLC) and carbon nitride (CN x ) bilayer films with Al and AlN interlayer were fabricated by pulse cathode arc technique. The structure, composition, morphology and mechanical properties of the films were investigated by Raman, Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), Knoop sclerometer and surface profilometer. The results indicated that the complete diffusion between C and Al atoms occurs in the Al/DLC and Al/CN x bilayer. Al interlayer induces the increase of the size and ordering of Csp 2 clusters in the films but AlN interlayer increases the disordering degree of Csp 2 clusters. XPS results showed that a higher content of Csp 3 /Csp 2 bonds presents in the Al/CN x bilayer, and Al and AlN interlayer decreases the atomic ratio of N/C. AFM with phase contrast mode illustrated the morphologic characteristics of the bilayer films. All the bilayers show a nano-structural surface. The morphology changes of the bilayer were well explained by the surface state of the substrate and the growth mechanism of DLC films. The hardness of Al/DLC bilayer decreases but it increases for the other bilayers compared to the corresponding DLC (CN x ) monolayer. The internal stress of the bilayer is significantly lower than that of the monolayer except for the AlN/CN x bilayer. These studies could make the difference at the time of choosing a suitable functional film for certain application

  3. Wet-cleaning of MgO(001): Modification of surface chemistry and effects on thin film growth investigated by x-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectroscopy

    OpenAIRE

    Le Febvrier, Arnaud; Jensen, Jens; Eklund, Per

    2017-01-01

    The effect of the wet-cleaning process using solvents and detergent on the surface chemistry of MgO(001) substrate for film deposition was investigated. Six different wet-cleaning processes using solvent and detergent were compared. The effect on film growth was studied by the example system ScN. The surface chemistry of the cleaned surface was studied by x-ray photoelectron spectroscopy and the film/substrate interface after film growth was investigated by time-of-flight secondary ion mass s...

  4. Growth stimulation of Bacillus cereus and Pseudomonas putida using nanostructured ZnO thin film as transducer element

    International Nuclear Information System (INIS)

    Loukanov, Alexandre; Filipov, Chavdar; Valcheva, Violeta; Lecheva, Marta; Emin, Saim

    2015-01-01

    The semiconductor zinc oxide nanomaterial (ZnO or ZnO:H) is widely used in advanced biosensor technology for the design of highly-sensitive detector elements for various applications. In the attempt to evaluate its effect on common microorganisms, two types of nanostructured transducer films have been used (average diameter 600–1000 nm). They have been prepared by using both wet sol–gel method and magnetron sputtering. Their polycrystalline structure and specific surface features have been analyzed by X-ray diffraction (XRD), scanning electron microscope, and atomic force microscope. The assessment of growth stimulation of bacteria was determined using epifluorescent microscope by cell staining with Live/Dead BacLight kit. In our experiments, the growth stimulation of Gram-positive and Gram-negative bacteria on nanostructured ZnO film is demonstrated by Bacillus cereus and Pseudomonas putida. These two bacterial species have been selected, because they are well known and studied in biosensor technologies, with structural difference of their cell walls. These pathogens are easy for with common source in the liquid food or some commercial products. Our data has revealed that the method of transducer film preparation influences strongly bacterial inhibition and division. These results present the transforming signal precisely, when ZnO is used in biosensor applications

  5. Growth stimulation of Bacillus cereus and Pseudomonas putida using nanostructured ZnO thin film as transducer element

    Science.gov (United States)

    Loukanov, Alexandre; Filipov, Chavdar; Valcheva, Violeta; Lecheva, Marta; Emin, Saim

    2015-04-01

    The semiconductor zinc oxide nanomaterial (ZnO or ZnO:H) is widely used in advanced biosensor technology for the design of highly-sensitive detector elements for various applications. In the attempt to evaluate its effect on common microorganisms, two types of nanostructured transducer films have been used (average diameter 600-1000 nm). They have been prepared by using both wet sol-gel method and magnetron sputtering. Their polycrystalline structure and specific surface features have been analyzed by X-ray diffraction (XRD), scanning electron microscope, and atomic force microscope. The assessment of growth stimulation of bacteria was determined using epifluorescent microscope by cell staining with Live/Dead BacLight kit. In our experiments, the growth stimulation of Gram-positive and Gram-negative bacteria on nanostructured ZnO film is demonstrated by Bacillus cereus and Pseudomonas putida. These two bacterial species have been selected, because they are well known and studied in biosensor technologies, with structural difference of their cell walls. These pathogens are easy for with common source in the liquid food or some commercial products. Our data has revealed that the method of transducer film preparation influences strongly bacterial inhibition and division. These results present the transforming signal precisely, when ZnO is used in biosensor applications.

  6. Growth stimulation of Bacillus cereus and Pseudomonas putida using nanostructured ZnO thin film as transducer element

    Energy Technology Data Exchange (ETDEWEB)

    Loukanov, Alexandre, E-mail: loukanov@mail.saitama-u.ac.jp [Saitama University, Department of Chemistry, Faculty of Science (Japan); Filipov, Chavdar [University of Forestry, Department of Infectious pathology, hygiene, technology and control of food stuffs of animal origin, Faculty of Veterinary Medicine (Bulgaria); Valcheva, Violeta [Bulgarian Academy of Science, Department of Infectious Diseases, Institute of microbiology (Bulgaria); Lecheva, Marta [University of Mining and Geology “St. Ivan Rilski”, Laboratory of Engineering NanoBiotechnology, Department of Engineering Geoecology (Bulgaria); Emin, Saim [University of Nova Gorica, Materials Research Laboratory (Slovenia)

    2015-04-15

    The semiconductor zinc oxide nanomaterial (ZnO or ZnO:H) is widely used in advanced biosensor technology for the design of highly-sensitive detector elements for various applications. In the attempt to evaluate its effect on common microorganisms, two types of nanostructured transducer films have been used (average diameter 600–1000 nm). They have been prepared by using both wet sol–gel method and magnetron sputtering. Their polycrystalline structure and specific surface features have been analyzed by X-ray diffraction (XRD), scanning electron microscope, and atomic force microscope. The assessment of growth stimulation of bacteria was determined using epifluorescent microscope by cell staining with Live/Dead BacLight kit. In our experiments, the growth stimulation of Gram-positive and Gram-negative bacteria on nanostructured ZnO film is demonstrated by Bacillus cereus and Pseudomonas putida. These two bacterial species have been selected, because they are well known and studied in biosensor technologies, with structural difference of their cell walls. These pathogens are easy for with common source in the liquid food or some commercial products. Our data has revealed that the method of transducer film preparation influences strongly bacterial inhibition and division. These results present the transforming signal precisely, when ZnO is used in biosensor applications.

  7. Recent progress in thin film processing by magnetron sputtering with plasma diagnostics

    International Nuclear Information System (INIS)

    Han, Jeon G

    2009-01-01

    The precise control of the structure and related properties becomes crucial for sophisticated applications of thin films deposited by magnetron sputtering in emerging industries including the flat panel display, digital electronics and nano- and bio-industries. The film structure is closely related to the total energy delivered to the substrate surface for nucleation and growth during all kinds of thin film processes, including magnetron sputtering. Therefore, the energy delivered to the surface for nucleation and growth during magnetron sputtering should be measured and analysed by integrated diagnostics of the plasma parameters which are closely associated with the process parameters and other external process conditions. This paper reviews the background of thin film nucleation and growth, the status of magnetron sputtering technology and the progress of plasma diagnostics for plasma processing. The evolution of the microstructure during magnetron sputtering is then discussed with respect to the change in the process variables in terms of the plasma parameters along with empirical data of the integrated plasma diagnostics for various magnetron sputtering conditions with conventional dc, pulsed dc and high power pulsed dc sputtering modes. Among the major energy terms to be discussed are the temperature change in the top surface region and the energies of ions and neutral species. (topical review)

  8. Osteogenic cell differentiation on H-terminated and O-terminated nanocrystalline diamond films

    Directory of Open Access Journals (Sweden)

    Liskova J

    2015-01-01

    Full Text Available Jana Liskova,1 Oleg Babchenko,2 Marian Varga,2 Alexander Kromka,2 Daniel Hadraba,1 Zdenek Svindrych,1 Zuzana Burdikova,1 Lucie Bacakova1 1Institute of Physiology, Academy of Sciences of the Czech Republic, Prague, Czech Republic; 2Institute of Physics, Academy of Sciences of the Czech Republic, Prague, Czech Republic Abstract: Nanocrystalline diamond (NCD films are promising materials for bone implant coatings because of their biocompatibility, chemical resistance, and mechanical hardness. Moreover, NCD wettability can be tailored by grafting specific atoms. The NCD films used in this study were grown on silicon substrates by microwave plasma-enhanced chemical vapor deposition and grafted by hydrogen atoms (H-termination or oxygen atoms (O-termination. Human osteoblast-like Saos-2 cells were used for biological studies on H-terminated and O-terminated NCD films. The adhesion, growth, and subsequent differentiation of the osteoblasts on NCD films were examined, and the extracellular matrix production and composition were quantified. The osteoblasts that had been cultivated on the O-terminated NCD films exhibited a higher growth rate than those grown on the H-terminated NCD films. The mature collagen fibers were detected in Saos-2 cells on both the H-terminated and O-terminated NCD films; however, the quantity of total collagen in the extracellular matrix was higher on the O-terminated NCD films, as were the amounts of calcium deposition and alkaline phosphatase activity. Nevertheless, the expression of genes for osteogenic markers – type I collagen, alkaline phosphatase, and osteocalcin – was either comparable on the H-terminated and O-terminated films or even lower on the O-terminated films. In conclusion, the higher wettability of the O-terminated NCD films is promising for adhesion and growth of osteoblasts. In addition, the O-terminated surface also seems to support the deposition of extracellular matrix proteins and extracellular matrix

  9. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  10. Cell adhesion and growth on ultrananocrystalline diamond and diamond-like carbon films after different surface modifications

    Energy Technology Data Exchange (ETDEWEB)

    Miksovsky, J. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Voss, A. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Kozarova, R. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Kocourek, T.; Pisarik, P. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Ceccone, G. [Unit Nanobiosciences, European Commission Joint Research Centre, Ispra (Italy); Kulisch, W. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Jelinek, M. [Institute of Physics ASCR, Prague (Czech Republic); Czech Technical University in Prague, Faculty of Biomedical Engineering, Kladno (Czech Republic); Apostolova, M.D. [Institute of Molecular Biology, Bulgarian Academy of Sciences, Sofia (Bulgaria); Reithmaier, J.P. [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany); Popov, C., E-mail: popov@ina.uni-kassel.de [Institute of Nanostructure Technologies and Analytics, Center for Interdisciplinary Nanostructure Science and Technology, University of Kassel (Germany)

    2014-04-01

    Graphical abstract: - Highlights: • UNCD and DLC films were modified by UV/O{sub 3} treatments, O{sub 2} or NH{sub 3}-containing plasmas. • Surface composition, wettability and surface energy change upon modifications. • Higher efficiency of UNCD modifications was observed. • Cell attachment and growth were influenced by the surface termination and roughness. - Abstract: Diamond and diamond-like carbon (DLC) films possess a set of excellent physical and chemical properties which together with a high biocompatibility make them attractive candidates for a number of medical and biotechnological applications. In the current work thin ultrananocrystalline diamond (UNCD) and DLC films were comparatively investigated with respect to cell attachment and proliferation after different surface modifications. The UNCD films were prepared by microwave plasma enhanced chemical vapor deposition, the DLC films by pulsed laser deposition (PLD). The films were comprehensively characterized with respect to their basic properties, e.g. crystallinity, morphology, chemical bonding nature, etc. Afterwards the UNCD and DLC films were modified applying O{sub 2} or NH{sub 3}/N{sub 2} plasmas and UV/O{sub 3} treatments to alter their surface termination. The surface composition of as-grown and modified samples was studied by X-ray photoelectron spectroscopy (XPS). Furthermore the films were characterized by contact angle measurements with water, formamide, 1-decanol and diiodomethane; from the results obtained the surface energy with its dispersive and polar components was calculated. The adhesion and proliferation of MG63 osteosarcoma cells on the different UNCD and DLC samples were assessed by measurement of the cell attachment efficiency and MTT assays. The determined cell densities were compared and correlated with the surface properties of as-deposited and modified UNCD and DLC films.

  11. 2D ultrathin core-shell Pd@Ptmonolayer nanosheets: defect-mediated thin film growth and enhanced oxygen reduction performance

    Science.gov (United States)

    Wang, Wenxin; Zhao, Yunfeng; Ding, Yi

    2015-07-01

    An operational strategy for the synthesis of atomically smooth Pt skin by a defect-mediated thin film growth method is reported. Extended ultrathin core-shell structured d@Ptmonolayer nanosheets (thickness below 5 nm) exhibit nearly seven-fold enhancement in mass-activity and surprisingly good durability toward oxygen reduction reaction as compared with the commercial Pt/C catalyst.An operational strategy for the synthesis of atomically smooth Pt skin by a defect-mediated thin film growth method is reported. Extended ultrathin core-shell structured d@Ptmonolayer nanosheets (thickness below 5 nm) exhibit nearly seven-fold enhancement in mass-activity and surprisingly good durability toward oxygen reduction reaction as compared with the commercial Pt/C catalyst. Electronic supplementary information (ESI) available: Sample preparation, physical and electrochemical characterization, Fig. S1 to S11. See DOI: 10.1039/c5nr02748a

  12. Direct growth of Ge1-xSnx films on Si using a cold-wall ultra-high-vacuum chemical-vapor-deposition system

    Directory of Open Access Journals (Sweden)

    Aboozar eMosleh

    2015-04-01

    Full Text Available Germanium tin alloys were grown directly on Si substrate at low temperatures using a cold-wall ultra-high vacuum chemical vapor deposition system. Epitaxial growth was achieved by adopting commercial gas precursors of germane and stannic chloride without any carrier gases. The X-ray diffraction analysis showed the incorporation of Sn and that the Ge1-xSnx films are fully epitaxial and strain relaxed. Tin incorporation in the Ge matrix was found to vary from 1% to 7%. The scanning electron microscopy images and energy dispersive X-ray spectra maps show uniform Sn incorporation and continuous film growth. Investigation of deposition parameters shows that at high flow rates of stannic chloride the films were etched due to the production of HCl. The photoluminescence study shows the reduction of bandgap from 0.8 eV to 0.55 eV as a result of Sn incorporation.

  13. Atomistic growth phenomena of reactively sputtered RuO2 and MnO2 thin films

    International Nuclear Information System (INIS)

    Music, Denis; Bliem, Pascal; Geyer, Richard W.; Schneider, Jochen M.

    2015-01-01

    We have synthesized RuO 2 and MnO 2 thin films under identical growth conditions using reactive DC sputtering. Strikingly different morphologies, namely, the formation of RuO 2 nanorods and faceted, nanocrystalline MnO 2 , are observed. To identify the underlying mechanisms, we have carried out density functional theory based molecular dynamics simulations of the growth of one monolayer. Ru and O 2 molecules are preferentially adsorbed at their respective RuO 2 ideal surface sites. This is consistent with the close to defect free growth observed experimentally. In contrast, Mn penetrates the MnO 2 surface reaching the third subsurface layer and remains at this deep interstitial site 3.10 Å below the pristine surface, resulting in atomic scale decomposition of MnO 2 . Due to this atomic scale decomposition, MnO 2 may have to be renucleated during growth, which is consistent with experiments

  14. Comprehensive study of growth mechanism and properties of low Zn content Cd{sub 1-x}Zn{sub x}S thin films by chemical bath

    Energy Technology Data Exchange (ETDEWEB)

    Rodriguez, Carlos Anibal [Multidisciplinary Research Institute in Science and Technology, Ineergias, University of La Serena (Chile); Sandoval-Paz, Myrna Guadalupe; Saavedra, Renato; De la Carrera, Francisco [Department of Physics, Faculty of Physical and Mathematical Sciences, University of Concepcion (Chile); Trejo-Cruz, Cuauhthemoc [Department of Physics, Faculty of Sciences, University of Biobio, Concepcion (Chile); Aragon, Luis E.; Sirena, Martin [Centro Atomico Bariloche & Instituto Balseiro, CNEA & Univ. Nac. de Cuyo, Bariloche, Rio Negro (Argentina); Delplancke, Marie-Paule [4MAT, Universite Libre de Bruxelles, Brussels (Belgium); Carrasco, Claudia [Department of Materials Engineering, Faculty of Engineering, University of Concepcion (Chile)

    2016-11-15

    Cd{sub 1-x}Zn{sub x}S thin films have been studied extensively as window layers for solar cell applications. However, a mismatch between the Cd{sub 1-x}Zn{sub x}S and copper-indium-gallium-selenide absorber layers increases with Zn film concentration, which reduces the device efficiency. In this work, Cd{sub 1-x}Zn{sub x}S thin films with low Zn concentrations were analyzed. The effect of the addition of different molar Zn concentrations to the reaction mixture on the growth mechanism of Cd{sub 1-x}Zn{sub x}S thin films and the influence of these mechanisms on structural, optical and morphological properties of the films has been studied. Cd{sub 1-x}Zn{sub x}S thin films were synthesized by chemical bath deposition using an ammonia-free alkaline solution. Microstructural analysis by X-ray diffraction showed that all deposited films grew with hexagonal structure and crystallite sizes decreased as the Zn concentration in the film increased. Optical measurements indicated a high optical transmission between 75% and 90% for wavelengths above the absorption edge. Band gap value increased from 2.48 eV to 2.62 eV, and the refractive index values for Cd{sub 1-x}Zn{sub x}S thin films decreased as the Zn increased. These changes in films and properties are related to a modification in growth mechanism of the Cd{sub 1-x}Zn{sub x}S thin films, with the influence of Zn(OH){sub 2} formation being more important as Zn in solution increases. (author)

  15. Growth-induced strong pinning sites in laser ablated YBa2Cu3O7-δ films with a non-random distribution

    International Nuclear Information System (INIS)

    Huijbregtse, J.M.; Klaassen, F.C.; Geest, R.C.F. van der; Dam, B.; Griessen, R.

    1999-01-01

    Recently, the authors showed that natural linear defects are the origin of the high critical currents in laser ablated YGBa 2 Cu 3 O 7-δ films. Combining wet-chemical etching and Atomic Force Microscopy, they find that these dislocations are created by island coalescence during growth. Consequently, the defect density can be reproducibly varied by manipulating the density of growth islands, which in turn depends on the substrate temperature. Interestingly, the radial defect distribution function approaches zero at small distances, indicating short range order. Therefore, they are now able to study vortex matter in films with a tailored non-random distribution of natural strong pinning sites

  16. Formation of complex wedding-cake morphologies during homoepitaxial film growth of Ag on Ag(111): atomistic, step-dynamics, and continuum modeling

    International Nuclear Information System (INIS)

    Li Maozhi; Han, Yong; Thiel, P A; Evans, J W

    2009-01-01

    An atomistic lattice-gas model is developed which successfully describes all key features of the complex mounded morphologies which develop during deposition of Ag films on Ag(111) surfaces. We focus on this homoepitaxial thin film growth process below 200 K. The unstable multilayer growth mode derives from the presence of a large Ehrlich-Schwoebel step-edge barrier, for which we characterize both the step-orientation dependence and the magnitude. Step-dynamics modeling is applied to further characterize and elucidate the evolution of the vertical profiles of these wedding-cake-like mounds. Suitable coarse-graining of these step-dynamics equations leads to instructive continuum formulations for mound evolution.

  17. Domain growth kinetics in stratifying foam films

    Science.gov (United States)

    Zhang, Yiran; Sharma, Vivek

    2015-11-01

    Baking bread, brewing cappuccino, pouring beer, washing dishes, shaving, shampooing, whipping eggs and blowing bubbles all involve creation of aqueous foam films. Typical foam films consist of two surfactant-laden surfaces that are ~ 5 nm - 10 micron apart. Sandwiched between these interfacial layers is a fluid that drains primarily under the influence of viscous and interfacial forces, including disjoining pressure. Interestingly, a layered ordering of micelles inside the foam films (thickness characteristic scaling laws. Though several studies have focused on the expansion dynamics of isolated domains that exhibit a diffusion-like scaling, the change in expansion kinetics observed after domains contact with the Plateau border has not been reported and analyzed before.

  18. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  19. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  20. Serum Levels Of Free And Total Insulin-Like Growth Factor (IGF)-1 And IGF Binding Protein-3 In Normal And Growth Hormone Deficient Children

    International Nuclear Information System (INIS)

    Shousha, M.A.; Soliman, S.E.T.; Hafez, M.H.

    2006-01-01

    Serum levels of total insulin-like growth factor-1 (IGF-1) and IGF-binding protein-3 (IGFBP-3) reflect the endogenous GH secretion in healthy children, which makes them good diagnostic markers for screening growth hormone deficiency (GHD) in short children, although some controversy still exists. Only a minor fraction of the total IGF-1 circulates in its free form, which is believed to be the biologically active form. Serum levels of free IGF-1, total IGF-I and IGFBP-3 were measured in 144 healthy children (72 boys and 72 girls, aged from 0 to 16 years) and in 12 pre-pubertal GH deficient (GHD) children to study the correlation between the age and free IGF-1, total IGF-1 and IGFBP-3 levels. In healthy subjects (both sexes), serum free IGF-1, total IGF-1 and IGFBP-3 levels were low in infancy, increasing during puberty and declining thereafter. Free IGF-1 in serum occupied about 0.97-1.45 % of the total IGF-1 values, and the ratios of free IGF-1 to total IGF-1 were significantly increased in the pubertal age groups than in the pre-pubertal age groups. Serum levels of free IGF-1 showed significant positive correlation with those of total IGF-I and IGFBP-3. Serum free IGF-1, total IGF-1 and IGFBP-3 levels in patients with GHD were decreased significantly with increasing the degree of hypopituitarism. These observations suggest that the increase in serum free IGF-1 level during puberty was caused by a dramatic increase in total IGF-1 rather than IGFBP-3. Also, high levels of these hormones may play an important role in pubertal growth spurt and may become a useful tool for diagnosing GHD and predicting growth response to long term GH therapy