WorldWideScience

Sample records for titanium-silicon oxide film

  1. RBS and XRD analysis of silicon doped titanium diboride films

    International Nuclear Information System (INIS)

    Mollica, S.; Sood, D.K.; Ghantasala, M.K.; Kothari, R.

    1999-01-01

    Titanium diboride is a newly developed material suitable for protective coatings. Its high temperature oxidation resistance at temperatures of 700 deg C and beyond is limited due to its poor oxidative behaviour. This paper presents a novel approach to improving the coatings' oxidative characteristics at temperatures of 700 deg C by doping with silicon. Titanium diboride films were deposited onto Si(100) wafer substrates using a DC magnetron sputtering system. Films were deposited in two different compositions, one at pure TiB 2 and the other with 20 % Si doping. These samples were vacuum annealed at 700 deg C at 1x10 -6 Torr to investigate the anaerobic behaviour of the material at elevated temperatures and to ensure that they were crystalline. Samples were then oxidised in air at 700 deg C to investigate their oxidation resistance. Annealing the films at 700 deg C in air results in the oxidation of the film as titanium and boron form TiO 2 and B 2 O 3 . Annealing is seen to produce only minor changes in the films. There is some silicon diffusion from the substrate at elevated temperatures, which is related to the porous nature of the deposited film and the high temperature heat treatments. However, silicon doped films showed relatively less oxidation characteristics after annealing in air compared with the pure TiB 2 samples

  2. Cathodic arc sputtering of functional titanium oxide thin films, demonstrating resistive switching

    Energy Technology Data Exchange (ETDEWEB)

    Shvets, Petr, E-mail: pshvets@innopark.kantiana.ru; Maksimova, Ksenia; Demin, Maxim; Dikaya, Olga; Goikhman, Alexander

    2017-05-15

    The formation of thin films of the different stable and metastable titanium oxide phases is demonstrated by cathode arc sputtering of a titanium target in an oxygen atmosphere. We also show that sputtering of titanium in vacuum yields the formation of titanium silicides on the silicon substrate. The crystal structure of the produced samples was investigated using Raman spectroscopy and X-ray diffraction. We conclude that cathode arc sputtering is a flexible method suitable for producing the functional films for electronic applications. The functionality is verified by the memory effect demonstration, based on the resistive switching in the titanium oxide thin film structure.

  3. Self-cleaning glass coating containing titanium oxide and silicon

    International Nuclear Information System (INIS)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P.

    2009-01-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  4. Relative SHG measurements of metal thin films: Gold, silver, aluminum, cobalt, chromium, germanium, nickel, antimony, titanium, titanium nitride, tungsten, zinc, silicon and indium tin oxide

    Directory of Open Access Journals (Sweden)

    Franklin Che

    Full Text Available We have experimentally measured the surface second-harmonic generation (SHG of sputtered gold, silver, aluminum, zinc, tungsten, copper, titanium, cobalt, nickel, chromium, germanium, antimony, titanium nitride, silicon and indium tin oxide thin films. The second-harmonic response was measured in reflection using a 150 fs p-polarized laser pulse at 1561 nm. We present a clear comparison of the SHG intensity of these films relative to each other. Our measured relative intensities compare favorably with the relative intensities of metals with published data. We also report for the first time to our knowledge the surface SHG intensity of tungsten and antimony relative to that of well known metallic thin films such as gold and silver. Keywords: Surface second-harmonic generation, Nonlinear optics, Metal thin films

  5. Titanium-silicon films prepared by spin and dip-coating

    International Nuclear Information System (INIS)

    Nassar, Eduardo J.; Ciuffi, Katia J.; Goncalves, Rogeria R.; Messaddeq, Younes; Ribeiro, Sidney J.L.

    2003-01-01

    The conditions for the preparation of luminescent materials, consisting of Eu 3+ ions entrapped in a titanium matrix, in the form of a thin film, using the sol-gel process, are described. The films were obtained from sols prepared with TEOS and TEOT, in the presence of acetylacetone as the hydrolysis-retarding agent, using the dip-coating and spin-coating techniques. The influence of these techniques on the films based on titanium and silicon are presented. The Eu 3+ was used as a luminescent probe. The films have been characterized by luminescence, reflection and transmittance. The thickness of the films could be related to the preparation procedure. Transparent thin films have been prepared by dip-coating technique. (author)

  6. Superhard PVD carbon films deposited with different gradients with and without additions of titanium and silicon

    International Nuclear Information System (INIS)

    Bauer, C.

    2003-10-01

    This work focusses on thin carbon-based films, deposited by magnetron sputtering with additional argon ion bombardment (0 eV to 800 eV) without extra adhesive layer on hard metal inserts. As one possibility of increasing the reduced adherence of hard carbon films the deposition of films with additions of titanium and silicon is studied. The aim of this work is to examine the influence of a modification of the transition between substrate and film by realizing three different types of deposition gradients. The pure carbon films are amorphous, the dominant network of atoms is formed by sp 2 bonded atoms. The amount of sp 3 bonded atoms is up to 30% and is influenced by the bombarding argon ion energy. Carbon films with additions of silicon are amorphous, only in films with a high amount of titanium (approx. 20 at%) nanocomposites of titanium carbide crystals with diameters of less than 5 nm in an amorphous carbon matrix were found. The mechanical properties and the behavior of single layer carbon films strongly depend on the argon ion energy. An increase of this energy leads to higher film hardness and higher residual stress and results in the delamination of superhard carbon films on hard metal substrates. The adhesion of single layer films for ion energies of more than 200 eV is significantly improved by additions of titanium and silicon, respectively. The addition of 23 at% silicon and titanium, respectively leads to a high reduction of the residual stress. In a non-reactive PVD process thin films were deposited with a continuously gradient in chemical composition. The results of the investigations of the films with two different concentrations of titanium and silicon, respectively show that carbon-based films with a good adhesion could be deposited. The combination of the two gradients in structure and properties and in chemical composition leads in the system with carbon and silicon carbide to hard and very adhesive films. Especially for carbon films with a high

  7. Sputtered tin oxide and titanium oxide thin films as alternative transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Boltz, Janika

    2011-12-12

    Alternative transparent conductive oxides to tin doped indium oxide have been investigated. In this work, antimony doped tin oxide and niobium doped titanium oxide have been studied with the aim to prepare transparent and conductive films. Antimony doped tin oxide and niobium doped titanium oxide belong to different groups of oxides; tin oxide is a soft oxide, while titanium oxide is a hard oxide. Both oxides are isolating materials, in case the stoichiometry is SnO{sub 2} and TiO{sub 2}. In order to achieve transparent and conductive films free carriers have to be generated by oxygen vacancies, by metal ions at interstitial positions in the crystal lattice or by cation doping with Sb or Nb, respectively. Antimony doped tin oxide and niobium doped titanium oxide films have been prepared by reactive direct current magnetron sputtering (dc MS) from metallic targets. The process parameters and the doping concentration in the films have been varied. The films have been electrically, optically and structurally analysed in order to analyse the influence of the process parameters and the doping concentration on the film properties. Post-deposition treatments of the films have been performed in order to improve the film properties. For the deposition of transparent and conductive tin oxide, the dominant parameter during the deposition is the oxygen content in the sputtering gas. The Sb incorporation as doping atoms has a minor influence on the electrical, optical and structural properties. Within a narrow oxygen content in the sputtering gas highly transparent and conductive tin oxide films have been prepared. In this study, the lowest resistivity in the as deposited state is 2.9 m{omega} cm for undoped tin oxide without any postdeposition treatment. The minimum resistivity is related to a transition to crystalline films with the stoichiometry of SnO{sub 2}. At higher oxygen content the films turn out to have a higher resistivity due to an oxygen excess. After post

  8. Bloodcompatibility improvement of titanium oxide film modified by phosphorus ion implantation

    International Nuclear Information System (INIS)

    Yang, P.; Leng, Y.X.; Zhao, A.S.; Zhou, H.F.; Xu, L.X.; Hong, S.; Huang, N.

    2006-01-01

    Our recent investigation suggested that Ti-O thin film could be a newly developed antithrombotic material and its thromboresistance could be related to its physical properties of wide gap semiconductor. In this work, titanium oxide film was modified by phosphorus ion implantation and succeeding vacuum annealing. RBS were used to investigate phosphorus distribution profile. Contact angle test results show that phosphorus-doped titanium oxide film becomes more hydrophilic after higher temperature annealing, while its electric conductivity increases. Antithrombotic property of phosphorus-doped titanium oxide thin films was examined by clotting time and platelet adhesion tests. The results suggest that phosphorus doping is an effective way to improve the bloodcompatibility of titanium oxide film, and it is related to the changes of electron structure and surface properties caused by phosphorus doping

  9. Characterization for rbs of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide

    International Nuclear Information System (INIS)

    Pedrero, E.; Vigil, E.; Zumeta, I.

    1999-01-01

    The depth of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide was characterized using Rutherford Backscattering Spectrometry. Film depths are compared in function of bath and suspension parameters

  10. Oxide film assisted dopant diffusion in silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Tin, Chin-Che, E-mail: cctin@physics.auburn.ed [Department of Physics, Auburn University, Alabama 36849 (United States); Mendis, Suwan [Department of Physics, Auburn University, Alabama 36849 (United States); Chew, Kerlit [Department of Electrical and Electronic Engineering, Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Kuala Lumpur (Malaysia); Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin [Physical Technical Institute, Uzbek Academy of Sciences, 700084 Tashkent (Uzbekistan); Atabaev, Bakhtiyar [Institute of Electronics, Uzbek Academy of Sciences, 700125 Tashkent (Uzbekistan); Adedeji, Victor [Department of Chemistry, Geology and Physics, Elizabeth City State University, North Carolina 27909 (United States); Rusli [School of Electrical and Electronic Engineering, Nanyang Technological University (Singapore)

    2010-10-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  11. Oxide film assisted dopant diffusion in silicon carbide

    International Nuclear Information System (INIS)

    Tin, Chin-Che; Mendis, Suwan; Chew, Kerlit; Atabaev, Ilkham; Saliev, Tojiddin; Bakhranov, Erkin; Atabaev, Bakhtiyar; Adedeji, Victor; Rusli

    2010-01-01

    A process is described to enhance the diffusion rate of impurities in silicon carbide so that doping by thermal diffusion can be done at lower temperatures. This process involves depositing a thin film consisting of an oxide of the impurity followed by annealing in an oxidizing ambient. The process uses the lower formation energy of silicon dioxide relative to that of the impurity-oxide to create vacancies in silicon carbide and to promote dissociation of the impurity-oxide. The impurity atoms then diffuse from the thin film into the near-surface region of silicon carbide.

  12. Titanium oxide nanocoating on a titanium thin film deposited on a glass substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kotsedi, L., E-mail: kotsedi@tlabs.ac.za [UNESCO-UNISA Africa Chair in Nanosciences-Nanotechnology, College of Graduate Studies, University of South Africa, Muckleneuk Ridge, PO Box 392, Pretoria (South Africa); Nanosciences African Network (NANOAFNET), iThemba LABS, National Research Foundation, 1 Old Faure Road, Somerset West 7129, PO Box 722, Somerset West, Western Cape (South Africa); Nuru, Z.Y. [UNESCO-UNISA Africa Chair in Nanosciences-Nanotechnology, College of Graduate Studies, University of South Africa, Muckleneuk Ridge, PO Box 392, Pretoria (South Africa); Nanosciences African Network (NANOAFNET), iThemba LABS, National Research Foundation, 1 Old Faure Road, Somerset West 7129, PO Box 722, Somerset West, Western Cape (South Africa); Eaton, S.M. [Physics Department, Politecnico di Milano, Piazza Leonardo Da Vinci, 32, 20133 Milano (Italy); Cummings, F.R. [University of the Western Cape, Electron Microscopy Unit, Physics Department, Bellville 7535, Cape Town (South Africa); Turco, S. Lo; Ntwaeaborwa, O.M. [Center for Nano Science and Technology, Istituto Italiano di Tecnologia, Milano, Italy Via Giovanni Pascoli, 70/3, 20133 Milano (Italy); Ramponi, R. [Institute for Photonics and Nanotechnologies (IFN)-CNR, Piazza Leonardo Da Vinci, 32, 20133 Milano (Italy); Maaza, M. [UNESCO-UNISA Africa Chair in Nanosciences-Nanotechnology, College of Graduate Studies, University of South Africa, Muckleneuk Ridge, PO Box 392, Pretoria (South Africa); Nanosciences African Network (NANOAFNET), iThemba LABS, National Research Foundation, 1 Old Faure Road, Somerset West 7129, PO Box 722, Somerset West, Western Cape (South Africa)

    2016-03-31

    Thin films of titanium were deposited on a glass substrate using electron beam evaporator. Femtosecond laser pulses were focused on the surface of the films, and the samples were scanned while mounted on the motorized computer-controlled motion stage to produce an areal modification of the films. X-ray diffraction of the laser-patterned samples showed evidence of the formation of a γ-Ti{sub 3}O{sub 5} with a monoclinic phase. Rutherford backscattering spectrometry simulation showed that there is an increase in the oxygen concentration as the average laser fluence is increased. Time of flight secondary ions mass spectrometry analysis showed an even distribution of the titanium and oxygen ions on the sample and also ionized molecules of the oxides of titanium were observed. The formation of the oxide of titanium was further supported using the UV–Vis-NIR spectroscopy, which showed that for 0.1 J/cm{sup 2} fluence, the laser-exposed film showed the electron transfer band and the d–d transition peak of titanium was observed at lower wavelengths. - Highlights: • γ-Ti{sub 3}O{sub 5} formed using femtosecond laser. • Fluence and oxygen relation were studied. • Nanoflakes of γ-Ti{sub 3}O{sub 5} were observed under HRSEM.

  13. Self-cleaning glass coating containing titanium oxide and silicon; Revestimentos autolimpantes para vidros contendo oxido de titanio e silicio

    Energy Technology Data Exchange (ETDEWEB)

    Araujo, A.O. de; Alves, A.K.; Berutti, F.A.; Bergmann, C.P. [Universidade Federal do Rio Grande do Sul (LACER/UFRGS), Porto Alegre, RS (Brazil). Lab. de Materiais Ceramicos

    2009-07-01

    Using the electro spinning technique nano fibers of titanium oxide doped with silicon were synthesized. As precursor materials, titanium propoxide, silicon tetra propoxide and a solution of polyvinylpyrrolidone were used. The non-tissue material obtained was characterized by X-ray diffraction to determine the phase and crystallite size, BET method to determine the surface and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in ethanol, the glass coatings were made by dip-coating methodology. The influence of the removal velocity, the solution composition and the glass surface preparation were evaluated. The film was characterized by the contact angle of a water droplet in its surface. (author)

  14. Microstructural variation in titanium oxide thin films deposited by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Pandian, Ramanathaswamy; Natarajan, Gomathi; Kamruddin, M.; Tyagi, A.K.

    2013-01-01

    We report on the microstructural evolution of titanium oxide thin films deposited by reactive DC magnetron sputtering using titanium metal target. By varying the ratio of sputter-gas mixture containing argon, oxygen and nitrogen various phases of titanium oxide, almost pure rutile, rutile-rich and anatase-rich nano-crystalline, were deposited on Si substrates at room temperature. Using high-resolution scanning electron microscopy, X-ray diffraction and micro-Raman techniques the microstructure of the films were revealed. The relationship between the microstructure of the films and the oxygen partial pressure during sputtering is discussed

  15. Titanium di-oxide films using a less hygroscopic colloidal precursor

    Energy Technology Data Exchange (ETDEWEB)

    Vandana,, E-mail: vandana1@nplindia.org; Batra, Neha; Kumar, Praveen; Sharma, Pooja; Singh, P.K., E-mail: pksingh@nplindia.org

    2014-04-01

    We report the study of titanium dioxide films (TiO{sub 2}) using titanium di-isopropoxyl di-2ethyl hexanoate Ti(OC{sub 3}H{sub 7}){sub 2} (C{sub 7}H{sub 15}COO){sub 2} colloidal precursor. This compound is less hygroscopic in nature and easy to use with processes like spin or dip coating. Thin films of TiO{sub 2} are made on silicon substrates and their structural and optical properties are studied. The effect of Ti content in the precursor, sintering temperature and its duration on film thickness and refractive index are investigated. Refractive index shows an increasing trend with the rise in the sintering temperature but remains unchanged with the time. The film thickness decreases with both sintering temperature and time and increases with Ti content in the precursor. Reflectivity measurements show marked reduction in the reflection losses compared to bare silicon surface wherein the film thickness is altered by spin speed. XRD results show anatase phase in the samples sintered at lower temperature (<680 °C), however, a mix of anatase, brookite and rutile phases is seen above this temperature. In the samples sintered above 1100 °C, rutile phase is dominant. These results are supported by the X-ray photoelectron spectroscopy. Atomic force microscopy reveals larger grain size at higher sintering temperature. The titanium dioxide films of desirable thickness and refractive index could be used as an antireflection coating on solar cells. - Highlights: • TiO{sub 2} films are made using titanium di-isopropoxyl di-2ethyl hexanoate precursor. • Effect of Ti content in the precursor, sintering temperature and time is studied. • Refractive index (μ) increases with sintering temperature but is independent of time. • Films of desired thickness and μ could be used as an antireflection coating. • XRD results show that rutile phase dominates in samples sintered above 1100 °C.

  16. Characterization of electron beam evaporated carbon films and compound formation on titanium and silicon

    International Nuclear Information System (INIS)

    Luthin, J.; Linsmeier, C.

    2001-01-01

    The formation of carbon-based mixed materials is unavoidable on the plasma-facing components (e.g. first wall and divertor) of fusion devices when carbon is used together with other materials. On the surfaces of these components very different conditions with respect to particle and energy impact occur. To predict the mixed material formation under these conditions the precise knowledge of the fundamental mechanisms governing these interactions is essential. In this paper we present the results of carbon interaction with titanium and silicon, as model substances for metallic and covalent carbides, during thermal treatment. To perform basic studies of the reactions of carbon with different elements, thin carbon films are produced by electron beam evaporation on the different substrates under UHV conditions. All measurements for chemical analysis are performed using X-ray photoelectron spectroscopy (XPS). We discuss first the properties of the deposited carbon films. The carbon films are characterized on inert gold surfaces and are compared to bulk graphite. Annealing of the carbon films up to 970 K leads to a transition from a disordered carbon network into a graphitic structure. Preparation of carbon films at room temperature on titanium or silicon leads to a limited carbide formation at the carbon/substrate interface. Carbon deposited in excess of several monolayers is present in elementary form. Annealing of the samples leads to complete carbidization consuming the available carbon in both cases. Titanium reacts to TiC and additional substoichiometric carbide, silicon forms SiC with exact stoichiometry. (orig.)

  17. Effect of hydrogen on stresses in anodic oxide film on titanium

    International Nuclear Information System (INIS)

    Kim, Joong-Do; Pyun, Su-Il; Seo, Masahiro

    2003-01-01

    Stresses in anodic oxide film on titanium thin film/glass electrode in pH 8.4 borate solution were investigated by a bending beam method. The increases in compressive stress observed with cathodic potential sweeps after formation of anodic oxide film were attributed to the volume expansion due to the compositional change of anodic oxide film from TiO 2 to TiO 2-x (OH) x . The instantaneous responses of changes in stress, Δσ, in the anodic oxide film to potential steps demonstrated the reversible characteristic of the TiO 2-x (OH) x formation reaction. In contrast, the transient feature of Δσ for the titanium without anodic oxide film represented the irreversible formation of TiH x at the metal/oxide interphase. The large difference in stress between with and without the oxide film, has suggested that most of stresses generated during the hydrogen absorption/desorption reside in the anodic oxide film. A linear relationship between changes in stress, Δ(Δσ) des , and electric charge, ΔQ des , during hydrogen desorption was found from the current and stress transients, manifesting that the stress changes were crucially determined by the amount of hydrogen desorbed from the oxide film. The increasing tendency of -Δ(Δσ) des with increasing number of potential steps and film formation potential were discussed in connection with the increase in desorption amount of hydrogen in the oxide film with increasing absorption/desorption cycles and oxide film thickness

  18. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  19. Fluorescence and thermoluminescence in silicon oxide films rich in silicon

    International Nuclear Information System (INIS)

    Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.

    2009-10-01

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  20. Photoconduction in silicon rich oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Luna-Lopez, J A; Carrillo-Lopez, J; Flores-Gracia, F J; Garcia-Salgado, G [CIDS-ICUAP, Benemerita Universidad Autonoma de Puebla. Ed. 103 D and C, col. San Manuel, Puebla, Pue. Mexico 72570 (Mexico); Aceves-Mijares, M; Morales-Sanchez, A, E-mail: jluna@buap.siu.m, E-mail: jluna@inaoep.m [INAOE, Luis Enrique Erro No. 1, Apdo. 51, Tonantzintla, Puebla, Mexico 72000 (Mexico)

    2009-05-01

    Photoconduction of silicon rich oxide (SRO) thin films were studied by current-voltage (I-V) measurements, where ultraviolet (UV) and white (Vis) light illumination were applied. SRO thin films were deposited by low pressure chemical vapour deposition (LPCVD) technique, using SiH{sub 4} (silane) and N{sub 2}O (nitrous oxide) as reactive gases at 700 {sup 0}. The gas flow ratio, Ro = [N{sub 2}O]/[SiH{sub 4}] was used to control the silicon excess. The thickness and refractive index of the SRO films were 72.0 nm, 75.5 nm, 59.1 nm, 73.4 nm and 1.7, 1.5, 1.46, 1.45, corresponding to R{sub o} = 10, 20, 30 and 50, respectively. These results were obtained by null ellipsometry. Si nanoparticles (Si-nps) and defects within SRO films permit to obtain interesting photoelectric properties as a high photocurrent and photoconduction. These effects strongly depend on the silicon excess, thickness and structure type. Two different structures (Al/SRO/Si and Al/SRO/SRO/Si metal-oxide-semiconductor (MOS)-like structures) were fabricated and used as devices. The photocurrent in these structures is dominated by the generation of carriers due to the incident photon energies ({approx}3.0-1.6 eV and 5 eV). These structures showed large photoconductive response at room temperature. Therefore, these structures have potential applications in optoelectronics devices.

  1. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  2. The oxidation of titanium nitride- and silicon nitride-coated stainless steel in carbon dioxide environments

    International Nuclear Information System (INIS)

    Mitchell, D.R.G.; Stott, F.H.

    1992-01-01

    A study has been undertaken into the effects of thin titanium nitride and silicon nitride coatings, deposited by physical vapour deposition and chemical vapour deposition processes, on the oxidation resistance of 321 stainless steel in a simulated advanced gas-cooled reactor carbon dioxide environment for long periods at 550 o C and 700 o C under thermal-cycling conditions. The uncoated steel contains sufficient chromium to develop a slow-growing chromium-rich oxide layer at these temperatures, particularly if the surfaces have been machine-abraded. Failure of this layer in service allows formation of less protective iron oxide-rich scales. The presence of a thin (3-4 μm) titanium nitride coating is not very effective in increasing the oxidation resistance since the ensuing titanium oxide scale is not a good barrier to diffusion. Even at 550 o C, iron oxide-rich nodules are able to develop following relatively rapid oxidation and breakdown of the coating. At 700 o C, the coated specimens oxidize at relatively similar rates to the uncoated steel. A thin silicon nitride coating gives improved oxidation resistance, with both the coating and its slow-growing oxide being relatively electrically insulating. The particular silicon nitride coating studied here was susceptible to spallation on thermal cycling, due to an inherently weak coating/substrate interface. (Author)

  3. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    International Nuclear Information System (INIS)

    Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-01-01

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  4. Electrical properties of vacuum-annealed titanium-doped indium oxide films

    NARCIS (Netherlands)

    Yan, L.T.; Rath, J.K.; Schropp, R.E.I.

    2011-01-01

    Titanium-doped indium oxide (ITiO) films were deposited on Corning glass 2000 substrates at room temperature by radio frequency magnetron sputtering followed by vacuum post-annealing. With increasing deposition power, the as-deposited films showed an increasingly crystalline nature. As-deposited

  5. Structural and photoluminescent properties of a composite tantalum oxide and silicon nanocrystals embedded in a silicon oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.

    2017-04-15

    Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.

  6. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications

    Energy Technology Data Exchange (ETDEWEB)

    Boudot, Cécile, E-mail: cecile.boudot@tum.de [Technical University of Munich, Department of Mechanical Engineering, Boltzmannstraße 15, D-85748 Garching bei München (Germany); Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen [Institute for Plasma Technology and Mathematics, University of Federal Armed Forces Munich, Werner-Heisenberg-Weg 39, D-85577 Neubiberg (Germany)

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO{sub 2}) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150 nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO{sub 2} layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO{sub 2}-coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68 days and the coating's resistance to several sterilization methods. - Highlights: • Vacuum arc plasma was applied to deposit titanium dioxide films onto silicone. • Thickness, roughness and composition of the films were determined. • Cytocompatibility of coated silicone elastomer is greatly improved. • Films have good adhesion to the substrate and are stable, non-toxic and sterilizable.

  7. Transmission Electron Microscopy Studies of Electron-Selective Titanium Oxide Contacts in Silicon Solar Cells

    KAUST Repository

    Ali, Haider; Yang, Xinbo; Weber, Klaus; Schoenfeld, Winston V.; Davis, Kristopher O.

    2017-01-01

    In this study, the cross-section of electron-selective titanium oxide (TiO2) contacts for n-type crystalline silicon solar cells were investigated by transmission electron microscopy. It was revealed that the excellent cell efficiency of 21

  8. Effect of porous silicon layer on the performance of Si/oxide photovoltaic and photoelectrochemical cells

    International Nuclear Information System (INIS)

    Badawy, Waheed A.

    2008-01-01

    Photovoltaic and photoelectrochemical systems were prepared by the formation of a thin porous film on silicon. The porous silicon layer was formed on the top of a clean oxide free silicon wafer surface by anodic etching in HF/H 2 O/C 2 H 5 OH mixture (2:1:1). The silicon was then covered by an oxide film (tin oxide, ITO or titanium oxide). The oxide films were prepared by the spray/pyrolysis technique which enables doping of the oxide film by different atoms like In, Ru or Sb during the spray process. Doping of SnO 2 or TiO 2 films with Ru atoms improves the surface characteristics of the oxide film which improves the solar conversion efficiency. The prepared solar cells are stable against environmental attack due to the presence of the stable oxide film. It gives relatively high short circuit currents (I sc ), due to the presence of the porous silicon layer, which leads to the recorded high conversion efficiency. Although the open-circuit potential (V oc ) and fill factor (FF) were not affected by the thickness of the porous silicon film, the short circuit current was found to be sensitive to this thickness. An optimum thickness of the porous film and also the oxide layer is required to optimize the solar cell efficiency. The results represent a promising system for the application of porous silicon layers in solar energy converters. The use of porous silicon instead of silicon single crystals in solar cell fabrication and the optimization of the solar conversion efficiency will lead to the reduction of the cost as an important factor and also the increase of the solar cell efficiency making use of the large area of the porous structures

  9. Titanium disilicide formation by sputtering of titanium on heated silicon substrate

    Science.gov (United States)

    Tanielian, M.; Blackstone, S.

    1984-09-01

    We have sputter deposited titanium on bare silicon substrates at elevated temperatures. We find that at a substrate temperature of about 515 °C titanium silicide is formed due to the reaction of the titanium with the Si. The resistivity of the silicide is about 15 μΩ cm and it is not etchable in a selective titanium etch. This process can have applications in low-temperature, metal-oxide-semiconductor self-aligned silicide formation for very large scale integrated

  10. Y-Ba-Cu-O superconducting film on oxidized silicon

    International Nuclear Information System (INIS)

    Gupta, R.P.; Khokle, W.S.; Dubey, R.C.; Singhal, S.; Nagpal, K.C.; Rao, G.S.T.; Jain, J.D.

    1988-01-01

    We report thick superconducting films of Y-Ba-Cu-O on oxidized silicon substrates. The critical temperatures for onset and zero resistance are 96 and 77 K, respectively. X-ray diffraction analysis predicts 1, 2, 3 composition and orthorhombic phase of the film

  11. Functional doped metal oxide films. Zinc oxide (ZnO) as transparent conducting oxide (TCO) titanium dioxide (TiO{sub 2}) as thermographic phosphor and protective coating

    Energy Technology Data Exchange (ETDEWEB)

    Nebatti Ech-Chergui, Abdelkader

    2011-07-29

    Metalorganic chemical vapor deposition (MOCVD) was used in the present work. Un-doped and Al-doped ZnO films were developed using two reactors: Halogen Lamp Reactor (HLR) (a type of Cold Wall Reactor) and Hot Wall Reactor (HWR), and a comparison was made between them in terms of the film properties. Zinc acetylacetonate was used as precursor for ZnO films while aluminum acetylacetonate was used for doping. The amount of Al doping can be controlled by varying the gas flow rate. Well ordered films with aluminum content between 0 and 8 % were grown on borosilicate glass and silicon. The films obtained are 0.3 to 0.5 {mu}m thick, highly transparent and reproducible. The growth rate of ZnO films deposited using HLR is less than HWR. In HLR, the ZnO films are well oriented along c-axis ((002) plane). ZnO films are commonly oriented along the c-axis due to its low surface free energy. On the other hand, the HWR films are polycrystalline and with Al doping these films aligned along the a-axis ((100) plane) which is less commonly observed. The best films were obtained with the HLR method showing a minimum electrical resistivity of 2.4 m{omega}cm and transmittance of about 80 % in the visible range. The results obtained for Al-doped films using HLR are promising to be used as TCOs. The second material investigated in this work was un-doped and doped titanium dioxide (TiO{sub 2}) films- its preparation and characterization. It is well known that thermographic phosphors can be used as an optical method for the surface temperature measurement. For this application, the temperature-dependent luminescence properties of europium (III)-doped TiO{sub 2} thin films were studied. It was observed that only europium doped anatase films show the phosphorescence. Rutile phase do not show phosphorescence. The films were prepared by the sol-gel method using the dip coating technique. The structures of the films were determined by X-ray diffraction (XRD). The excitation and the emission

  12. Titanium oxidation by rf inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2014-01-01

    The development of titanium dioxide (TiO 2 ) films in the rutile and anatase phases is reported. The films have been obtained from an implantation/diffusion and sputtering process of commercially pure titanium targets, carried out in up to 500 W plasmas. The experimental outcome is of particular interest, in the case of anatase, for atmospheric pollution degradation by photocatalysis and, as to the rutile phase, for the production of biomaterials required by prosthesis and implants. The reactor employed consists in a cylindrical pyrex-like glass vessel inductively coupled to a 13.56 MHz RF source. The process takes place at a 5×10 −2 mbar pressure with the target samples being biased from 0 to -3000 V DC. The anatase phase films were obtained from sputtering the titanium targets over glass and silicon electrically floated substrates placed 2 cm away from the target. The rutile phase was obtained by implantation/diffusion on targets at about 700 °C. The plasma was developed from a 4:1 argon/oxygen mixture for ∼5 hour processing periods. The target temperature was controlled by means of the bias voltage and the plasma source power. The obtained anatase phases did not require annealing after the plasma oxidation process. The characterization of the film samples was conducted by means of x-ray diffraction, scanning electron microscopy, x-ray photoelectron spectroscopy and Raman spectroscopy

  13. Aluminum–Titanium Alloy Back Contact Reducing Production Cost of Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Hsin-Yu Wu

    2016-11-01

    Full Text Available In this study, metal films are fabricated by using an in-line reactive direct current magnetron sputtering system. The aluminum–titanium (AlTi back contacts are prepared by changing the pressure from 10 mTorr to 25 mTorr. The optical, electrical and structural properties of the metal back contacts are investigated. The solar cells with the AlTi had lower contact resistance than those with the silver (Ag back contact, resulting in a higher fill factor. The AlTi contact can achieve a solar cell conversion efficiency as high as that obtained from the Ag contact. These findings encourage the potential adoption of AlTi films as an alternative back contact to silver for silicon thin-film solar cells.

  14. Gas Permeation, Mechanical Behavior and Cytocompatibility of Ultrathin Pure and Doped Diamond-Like Carbon and Silicon Oxide Films

    Directory of Open Access Journals (Sweden)

    Juergen M. Lackner

    2013-12-01

    Full Text Available Protective ultra-thin barrier films gather increasing economic interest for controlling permeation and diffusion from the biological surrounding in implanted sensor and electronic devices in future medicine. Thus, the aim of this work was a benchmarking of the mechanical oxygen permeation barrier, cytocompatibility, and microbiological properties of inorganic ~25 nm thin films, deposited by vacuum deposition techniques on 50 µm thin polyetheretherketone (PEEK foils. Plasma-activated chemical vapor deposition (direct deposition from an ion source was applied to deposit pure and nitrogen doped diamond-like carbon films, while physical vapor deposition (magnetron sputtering in pulsed DC mode was used for the formation of silicon as well as titanium doped diamond-like carbon films. Silicon oxide films were deposited by radio frequency magnetron sputtering. The results indicate a strong influence of nanoporosity on the oxygen transmission rate for all coating types, while the low content of microporosity (particulates, etc. is shown to be of lesser importance. Due to the low thickness of the foil substrates, being easily bent, the toughness as a measure of tendency to film fracture together with the elasticity index of the thin films influence the oxygen barrier. All investigated coatings are non-pyrogenic, cause no cytotoxic effects and do not influence bacterial growth.

  15. Titanium dioxide-coated fluorine-doped tin oxide thin films for improving overall photoelectric property

    International Nuclear Information System (INIS)

    Li, Bao-jia; Huang, Li-jing; Ren, Nai-fei; Zhou, Ming

    2014-01-01

    Titanium (Ti) layers were deposited by direct current (DC) magnetron sputtering on commercial fluorine-doped tin oxide (FTO) glasses, followed by simultaneous oxidation and annealing treatment in a tubular furnace to prepare titanium dioxide (TiO 2 )/FTO bilayer films. Large and densely arranged grains were observed on all TiO 2 /FTO bilayer films. The presence of TiO 2 tetragonal rutile phase in the TiO 2 /FTO bilayer films was confirmed by X-ray diffraction (XRD) analysis. The results of parameter optimization indicated that the TiO 2 /FTO bilayer film, which was formed by adopting a temperature of 400 °C and an oxygen flow rate of 15 sccm, had the optimal overall photoelectric property with a figure of merit of 2.30 × 10 −2 Ω −1 , higher than 1.78 × 10 −2 Ω −1 for the FTO single-layer film. After coating a 500 nm-thick AZO layer by DC magnetron sputtering on this TiO 2 /FTO bilayer film, the figure of merit of the trilayer film achieved to a higher figure of merit of 3.12 × 10 −2 Ω −1 , indicating further improvement of the overall photoelectric property. This work may provide a scientific basis and reference for improving overall photoelectric property of transparent conducting oxide (TCO) films.

  16. Study of the phase composition of nanostructures produced by the local anodic oxidation of titanium films

    International Nuclear Information System (INIS)

    Avilov, V. I.; Ageev, O. A.; Konoplev, B. G.; Smirnov, V. A.; Solodovnik, M. S.; Tsukanova, O. G.

    2016-01-01

    The results of experimental studies of the phase composition of oxide nanostructures formed by the local anodic oxidation of a titanium thin film are reported. The data of the phase analysis of titanium-oxide nanostructures are obtained by X-ray photoelectron spectroscopy in the ion profiling mode of measurements. It is established that the surface of titanium-oxide nanostructures 4.5 ± 0.2 nm in height possesses a binding energy of core levels characteristic of TiO_2 (458.4 eV). By analyzing the titanium-oxide nanostructures in depth by X-ray photoelectron spectroscopy, the formation of phases with binding energies of core levels characteristic of Ti_2O_3 (456.6 eV) and TiO (454.8 eV) is established. The results can be used in developing the technological processes of the formation of a future electronic-component base for nanoelectronics on the basis of titanium-oxide nanostructures and probe nanotechnologies.

  17. Microstructure of titanium oxide films synthesized by ion beam dynamic mixing

    International Nuclear Information System (INIS)

    Makino, Y.; Setsuhara, Y.; Miyake, S.

    1994-01-01

    The microstructure of titanium oxide films synthesized by the ion beam dynamic mixing (IBDM) method is investigated by glancing angle X-ray diffraction and multi-reflectance FT-IR methods. Titanium oxide films are identified as rutile phases having different degrees of (110) orientation. The IBDM rutile phase with a standard crystalline state is produced by controlling the ratio of the intensities between the (110) and (101) peaks of the rutile, I(110)/I(101), so as to approach the ratio to the value (=2.0) of ASTM standard rutile. The crystallite size of the rutile phase increases with increasing ratio of intensities of the two XRD peaks, I(110)/I(101). The increase of the crystallite size is suggested to be attributed to the increase of oxygen ion energy per Ti atom. From the dependence of the IR absorption near 500 cm -1 upon I(110)/I(101), it is indicated that the Ti-O bond strength is delicately affected by the degree of (110) orientation of the IBDM rultile phase. ((orig.))

  18. Development of Doped Microcrystalline Silicon Oxide and its Application to Thin‑Film Silicon Solar Cells

    NARCIS (Netherlands)

    Lambertz, A.

    2015-01-01

    The aim of the present study is the development of doped microcrystalline silicon oxide (µc‑SiOx:H) alloys and its application in thin‑film silicon solar cells. The doped µc‑SiOx:H material was prepared from carbon dioxide (CO2), silane (SiH4), hydrogen (H2) gas mixtures using plasma enhanced

  19. Titanium dioxide-coated fluorine-doped tin oxide thin films for improving overall photoelectric property

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bao-jia, E-mail: bjia_li@126.com [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Huang, Li-jing [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Ren, Nai-fei [Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); School of Mechanical Engineering, Jiangsu University, Zhenjiang 212013 (China); Zhou, Ming [The State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2014-01-30

    Titanium (Ti) layers were deposited by direct current (DC) magnetron sputtering on commercial fluorine-doped tin oxide (FTO) glasses, followed by simultaneous oxidation and annealing treatment in a tubular furnace to prepare titanium dioxide (TiO{sub 2})/FTO bilayer films. Large and densely arranged grains were observed on all TiO{sub 2}/FTO bilayer films. The presence of TiO{sub 2} tetragonal rutile phase in the TiO{sub 2}/FTO bilayer films was confirmed by X-ray diffraction (XRD) analysis. The results of parameter optimization indicated that the TiO{sub 2}/FTO bilayer film, which was formed by adopting a temperature of 400 °C and an oxygen flow rate of 15 sccm, had the optimal overall photoelectric property with a figure of merit of 2.30 × 10{sup −2} Ω{sup −1}, higher than 1.78 × 10{sup −2} Ω{sup −1} for the FTO single-layer film. After coating a 500 nm-thick AZO layer by DC magnetron sputtering on this TiO{sub 2}/FTO bilayer film, the figure of merit of the trilayer film achieved to a higher figure of merit of 3.12 × 10{sup −2} Ω{sup −1}, indicating further improvement of the overall photoelectric property. This work may provide a scientific basis and reference for improving overall photoelectric property of transparent conducting oxide (TCO) films.

  20. ELECTROKINETIC PROPERTIES, IN VITRO DISSOLUTION, AND PROSPECTIVE HEMOAND BIOCOMPATIBILITY OF TITANIUM OXIDE AND OXYNITRIDE FILMS FOR CARDIOVASCULAR STENTS

    Directory of Open Access Journals (Sweden)

    I. A. Khlusov

    2015-01-01

    Full Text Available A state of titanium oxide and oxynitride coatings on L316 steel has been studied before and after their contact with model biological fluids. Electrokinetic investigation in 1 mmol potassium chloride showed significant (more than 10 times fall of magnitude of electrostatic potential of thin (200–300 nm titanium films at pH changing in the range of 5–9 units during 2 h. Nevertheless, zeta-potential of all samples had negative charge under pH > 6.5. Long-term (5 weeks contact of samples with simulated body fluid (SBF promoted steel corrosion and titanium oxide and oxynitride films dissolution. On the other hand, sodium and chloride ions precipitation and sodium chloride crystals formation occurred on the samples. Of positive fact is an absence of calcification of tested artificial surfaces in conditions of long-term being in SBF solution. It is supposed decreasing hazard of fast thrombosis and loss of materials functional properties. According to in vitro experiment conducted, prospective biocompatibility of materials tested before and after their contact with SBF lines up following manner: Ti–O–N (1/3 > Ti–O–N (1/1, TiO2 > Steel. It may be explained by: 1 the corrosion-preventive properties of thin titanium oxide and oxynitride films;2 a store of surface negative charge for Ti–O–N (1/3 film; 3 minor augmentation of mass and thickness of titanium films connected with speed of mineralization processes on the interface of solution/solid body. At the same time, initial (before SBF contact differences of samples wettability became equal. Modifying effect of model biological fluids on physicochemical characteristics of materials tested (roughness enhancement, a reduction or reversion of surface negative potential, sharp augmentation of surface hydrofilicity should took into account under titanium oxide and oxynitride films formation and a forecast of their optimal biological properties as the materials for cardiovascular stents.

  1. Transparent conductive oxides for thin-film silicon solar cells

    NARCIS (Netherlands)

    Löffler, J.

    2005-01-01

    This thesis describes research on thin-film silicon solar cells with focus on the transparent conductive oxide (TCO) for such devices. In addition to the formation of a transparent and electrically conductive front electrode for the solar cell allowing photocurrent collection with low ohmic losses,

  2. Ion beam analysis of PECVD silicon oxide thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.; Rodriguez, J.A.; Pedrero, E.; Fonseca Filho, H.D.; Llovera, A.; Riera, M.; Dominguez, C.; Behar, M.; Zawislak, F.C.

    2006-01-01

    A study of ion beam analysis techniques of plasma enhanced chemical vapor deposited (PECVD) silicon oxide thin films (1 μm thick) obtained from silane (SiH 4 ) and nitrous oxide (N 2 O) is reported. The film, elemental composition and surface morphology were determined as function of the reactant gas flow ratio, R = [N 2 O]/[SiH 4 ] in the 22-110 range using the Rutherford backscattering spectrometry, nuclear reaction analysis and atomic force microscopy techniques. The density of the films was determined by combining the RBS and thickness measurements. All the experiments were done at a deposition temperature of 300 deg. C. In all the cases almost stoichiometric oxides were obtained being the impurity content function of R. It was also observed that physical properties such as density, surface roughness and shape factor increase with R in the studied interval

  3. Electrical Transport Ability of Nanostructured Potassium-Doped Titanium Oxide Film

    Science.gov (United States)

    Lee, So-Yoon; Matsuno, Ryosuke; Ishihara, Kazuhiko; Takai, Madoka

    2011-02-01

    Potassium-doped nanostructured titanium oxide films were fabricated using a wet corrosion process with various KOH solutions. The doped condition of potassium in TiO2 was confirmed by Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). Nanotubular were synthesized at a dopant concentration of 0.27%, these structures disappeared. To investigate the electrical properties of K-doped TiO2, pseudo metal-oxide-semiconductor field-effect transistor (MOSFET) samples were fabricated. The samples exhibited a distinct electrical behavior and p-type characteristics. The electrical behavior was governed by the volume of the dopant when the dopant concentration was 0.18%.

  4. Hydrogen Production Using a Molybdenum Sulfide Catalyst on a Titanium-Protected n+p-Silicon Photocathode

    DEFF Research Database (Denmark)

    Seger, Brian; Laursen, Anders Bo; Vesborg, Peter Christian Kjærgaard

    2012-01-01

    A low-cost substitute: A titanium protection layer on silicon made it possible to use silicon under highly oxidizing conditions without oxidation of the silicon. Molybdenum sulfide was electrodeposited on the Ti-protected n+p-silicon electrode. This electrode was applied as a photocathode for wat...

  5. Zinc oxide films impurified with Ti and prepared by the Sol-gel method

    International Nuclear Information System (INIS)

    Tirado G, S.; Cazares R, J.M.; Maldonado, A.

    2006-01-01

    Titanium-doped zinc oxide thin films have been prepared on silicon substrate using the Sol-Gel technique. The structural, morphology, electrical and optical properties of such thin films were studied as a function of titanium concentration (0.5, 1 and 1.5 %) and the thin films thickness. Zinc acetate dihydrate and titanium (VI)-oxy acetylacetonate were used as precursor materials, using 2-methoxyethanol and monoethanolamine as via. The X-ray diffraction spectra show polycrystalline films in all the cases. It can see for all the thin films a preferential growth along the (002) planes where the titanium concentration and also the thin films thickness play an important rule. No structural changes are observed at all. The surface morphology studied shows as the grain size decreases when thin thickness is increases. For titanium concentration of 0.5, 1 and 1.5 % values the grains size increase also. The thin films thickness for titanium concentration of 1.5 % was 500 nm (4v), 400 nm (3v), 180 nm (2v) and 130 nm (1v), values obtained from cross-section micrographs. Highly resistive samples are obtained for substrate soda-lime even showing high transmittance. Better physical properties are required for gas sensors or semitransparent electrodes and other possible applications. (Author)

  6. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  7. Thermal radiative near field transport between vanadium dioxide and silicon oxide across the metal insulator transition

    Energy Technology Data Exchange (ETDEWEB)

    Menges, F.; Spieser, M.; Riel, H.; Gotsmann, B., E-mail: bgo@zurich.ibm.com [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Dittberner, M. [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Novotny, L. [Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Passarello, D.; Parkin, S. S. P. [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States)

    2016-04-25

    The thermal radiative near field transport between vanadium dioxide and silicon oxide at submicron distances is expected to exhibit a strong dependence on the state of vanadium dioxide which undergoes a metal-insulator transition near room temperature. We report the measurement of near field thermal transport between a heated silicon oxide micro-sphere and a vanadium dioxide thin film on a titanium oxide (rutile) substrate. The temperatures of the 15 nm vanadium dioxide thin film varied to be below and above the metal-insulator-transition, and the sphere temperatures were varied in a range between 100 and 200 °C. The measurements were performed using a vacuum-based scanning thermal microscope with a cantilevered resistive thermal sensor. We observe a thermal conductivity per unit area between the sphere and the film with a distance dependence following a power law trend and a conductance contrast larger than 2 for the two different phase states of the film.

  8. On the oxidation mechanism of microcrystalline silicon thin films studied by Fourier transform infrared spectroscopy

    NARCIS (Netherlands)

    Bronneberg, A. C.; Smets, A. H. M.; Creatore, M.; M. C. M. van de Sanden,

    2011-01-01

    Insight into the oxidation mechanism of microcrystalline silicon thin films has been obtained by means of Fourier transform infrared spectroscopy. The films were deposited by using the expanding thermal plasma and their oxidation upon air exposure was followed in time. Transmission spectra were

  9. The microstructure and properties of titanium dioxide films synthesized by unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Leng, Y.X.; Chen, J.Y.; Yang, P.; Sun, H.; Huang, N.

    2007-01-01

    In this work, titanium oxide films were deposited on Ti6Al4V and Si (1 0 0) by DC unbalanced magnetron sputtering method at different oxygen pressure. X-ray diffraction (XRD), microhardness tests, pin-on-disk wear experiments, surface contact angle tests and platelet adhesion investigation were conducted to evaluate the properties of the films. The corrosion behavior of titanium dioxide films was characterized by potentiodynamic polarization. The results showed that titanium oxide films deposited by unbalance magnetron sputtering were compact and could obviously enhance microhardness, wear resistance of titanium alloy substrate. Potentiodynamic polarization curves showed that Ti-6Al-4V deposited with titanium dioxide films had lower dissolution currents than that of the uncoated one. The results of in vitro hemocompatibility analyses indicated that the blood compatibility of the titanium dioxide films with bandgap 3.2 eV have better blood compatibility

  10. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications.

    Science.gov (United States)

    Boudot, Cécile; Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO 2 ) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO 2 layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO 2 -coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68days and the coating's resistance to several sterilization methods. Copyright © 2016 Elsevier B.V. All rights reserved.

  11. Laser induced single spot oxidation of titanium

    Energy Technology Data Exchange (ETDEWEB)

    Jwad, Tahseen, E-mail: taj355@bham.ac.uk; Deng, Sunan; Butt, Haider; Dimov, S.

    2016-11-30

    Highlights: • A new high resolution laser induced oxidation (colouring) method is proposed (single spot oxidation). • The method is applied to control oxide films thicknesses and hence colours on titanium substrates in micro-scale. • The method enable imprinting high resolution coloured image on Ti substrate. • Optical and morphological periodic surface structures are also produced by an array of oxide spots using the proposed method. • Colour coding of two colours into one field is presented. - Abstract: Titanium oxides have a wide range of applications in industry, and they can be formed on pure titanium using different methods. Laser-induced oxidation is one of the most reliable methods due to its controllability and selectivity. Colour marking is one of the main applications of the oxidation process. However, the colourizing process based on laser scanning strategies is limited by the relative large processing area in comparison to the beam size. Single spot oxidation of titanium substrates is proposed in this research in order to increase the resolution of the processed area and also to address the requirements of potential new applications. The method is applied to produce oxide films with different thicknesses and hence colours on titanium substrates. High resolution colour image is imprinted on a sheet of pure titanium by converting its pixels’ colours into laser parameter settings. Optical and morphological periodic surface structures are also produced by an array of oxide spots and then analysed. Two colours have been coded into one field and the dependencies of the reflected colours on incident and azimuthal angles of the light are discussed. The findings are of interest to a range of application areas, as they can be used to imprint optical devices such as diffusers and Fresnel lenses on metallic surfaces as well as for colour marking.

  12. Laser induced single spot oxidation of titanium

    International Nuclear Information System (INIS)

    Jwad, Tahseen; Deng, Sunan; Butt, Haider; Dimov, S.

    2016-01-01

    Highlights: • A new high resolution laser induced oxidation (colouring) method is proposed (single spot oxidation). • The method is applied to control oxide films thicknesses and hence colours on titanium substrates in micro-scale. • The method enable imprinting high resolution coloured image on Ti substrate. • Optical and morphological periodic surface structures are also produced by an array of oxide spots using the proposed method. • Colour coding of two colours into one field is presented. - Abstract: Titanium oxides have a wide range of applications in industry, and they can be formed on pure titanium using different methods. Laser-induced oxidation is one of the most reliable methods due to its controllability and selectivity. Colour marking is one of the main applications of the oxidation process. However, the colourizing process based on laser scanning strategies is limited by the relative large processing area in comparison to the beam size. Single spot oxidation of titanium substrates is proposed in this research in order to increase the resolution of the processed area and also to address the requirements of potential new applications. The method is applied to produce oxide films with different thicknesses and hence colours on titanium substrates. High resolution colour image is imprinted on a sheet of pure titanium by converting its pixels’ colours into laser parameter settings. Optical and morphological periodic surface structures are also produced by an array of oxide spots and then analysed. Two colours have been coded into one field and the dependencies of the reflected colours on incident and azimuthal angles of the light are discussed. The findings are of interest to a range of application areas, as they can be used to imprint optical devices such as diffusers and Fresnel lenses on metallic surfaces as well as for colour marking.

  13. Electrochemical deposition of carbon films on titanium in molten LiCl–KCl–K2CO3

    International Nuclear Information System (INIS)

    Song, Qiushi; Xu, Qian; Wang, Yang; Shang, Xujing; Li, Zaiyuan

    2012-01-01

    Electrodeposition of carbon films on the oxide-scale-coated titanium has been performed in a LiCl–KCl–K 2 CO 3 melt, which are characterized by scanning electron microscopy, Raman spectroscopy and X-ray diffraction analysis. The electrochemical process of carbon deposition is investigated by cyclic voltammetry on the graphite, titanium and oxide-scale-coated titanium electrodes. The particle-size-gradient carbon films over the oxide-scale-coated titanium can be achieved by electrodeposition under the controlled potentials for avoiding codeposition of lithium carbide. The deposited carbon films are comprised of micron-sized ‘quasi-spherical’ carbon particles with graphitized and amorphous phases. The cyclic voltammetry behavior on the graphite, titanium and oxide-scale-coated titanium electrodes shows that CO 3 2− ions are reduced most favorably on the graphite for the three electrodes. Lithium ions can discharge under the less negative potential on the electrode containing carbon compared with titanium electrode because of the formation of lithium carbide from the reaction between lithium and carbon. - Highlights: ► Carbon films are prepared on oxide-scale-coated titanium in a LiCl–KCl–K 2 CO 3 melt. ► The films comprise micron-size ‘quasi-spherical’ carbon particles. ► The films present particle-size-gradient. ► The particles contain graphitized and amorphous phases. ► The prepared carbon films are more electrochemically active than graphite.

  14. Leaching of Titanium and Silicon from Low-Grade Titanium Slag Using Hydrochloric Acid Leaching

    Science.gov (United States)

    Zhao, Longsheng; Wang, Lina; Qi, Tao; Chen, Desheng; Zhao, Hongxin; Liu, Yahui; Wang, Weijing

    2018-05-01

    Acid-leaching behaviors of the titanium slag obtained by selective reduction of vanadium-bearing titanomagnetite concentrates were investigated. It was found that the optimal leaching of titanium and silicon were 0.7% and 1.5%, respectively. The titanium and silicon in the titanium slag were firstly dissolved in the acidic solution to form TiO2+ and silica sol, and then rapidly reprecipitated, forming hydrochloric acid (HCl) leach residue. Most of the silicon presented in the HCl leach residue as floccules-like silica gel, while most of the titanium was distributed in the nano-sized rod-like clusters with crystallite refinement and intracrystalline defects, and, as such, 94.3% of the silicon was leached from the HCl leach residue by alkaline desilication, and 96.5% of the titanium in the titanium-rich material with some rutile structure was then digested by the concentrated sulfuric acid. This provides an alternative route for the comprehensive utilization of titanium and silicon in titanium slag.

  15. Microarc oxidized TiO2 based ceramic coatings combined with cefazolin sodium/chitosan composited drug film on porous titanium for biomedical applications.

    Science.gov (United States)

    Wei, Daqing; Zhou, Rui; cheng, Su; Feng, Wei; Li, Baoqiang; Wang, Yaming; Jia, Dechang; Zhou, Yu; Guo, Haifeng

    2013-10-01

    Porous titanium was prepared by pressureless sintering of titanium beads with diameters of 100, 200, 400 and 600 μm. The results indicated that the mechanical properties of porous titanium changed significantly with different bead diameters. Plastic deformations such as necking phenomenon and dimple structure were observed on the fracture surface of porous titanium sintered by beads with diameter of 100 μm. However, it was difficult to find this phenomenon on the porous titanium with a titanium bead diameter of 600 μm. The microarc oxidized coatings were deposited on its surface to improve the bioactivity of porous titanium. Furthermore, the cefazolin sodium/chitosan composited films were fabricated on the microarc oxidized coatings for overcoming the inflammation due to implantation, showing good slow-release ability by addition of chitosan. And the release kinetic process of cefazolin sodium in composited films could be possibly fitted by a polynomial model. Copyright © 2013 Elsevier B.V. All rights reserved.

  16. Heterojunction Solar Cells Based on Silicon and Composite Films of Graphene Oxide and Carbon Nanotubes.

    Science.gov (United States)

    Yu, LePing; Tune, Daniel; Shearer, Cameron; Shapter, Joseph

    2015-09-07

    Graphene oxide (GO) sheets have been used as the surfactant to disperse single-walled carbon nanotubes (CNT) in water to prepare GO/CNT electrodes that are applied to silicon to form a heterojunction that can be used in solar cells. GO/CNT films with different ratios of the two components and with various thicknesses have been used as semitransparent electrodes, and the influence of both factors on the performance of the solar cell has been studied. The degradation rate of the GO/CNT-silicon devices under ambient conditions has also been explored. The influence of the film thickness on the device performance is related to the interplay of two competing factors, namely, sheet resistance and transmittance. CNTs help to improve the conductivity of the GO/CNT film, and GO is able to protect the silicon from oxidation in the atmosphere. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  18. Fabrication of amorphous silicon nanoribbons by atomic force microscope tip-induced local oxidation for thin film device applications

    International Nuclear Information System (INIS)

    Pichon, L; Rogel, R; Demami, F

    2010-01-01

    We demonstrate the feasibility of induced local oxidation of amorphous silicon by atomic force microscopy. The resulting local oxide is used as a mask for the elaboration of a thin film silicon resistor. A thin amorphous silicon layer deposited on a glass substrate is locally oxidized following narrow continuous lines. The corresponding oxide line is then used as a mask during plasma etching of the amorphous layer leading to the formation of a nanoribbon. Such an amorphous silicon nanoribbon is used for the fabrication of the resistor

  19. Structural and dielectric characterization of sputtered Tantalum Titanium Oxide thin films for high temperature capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A., E-mail: rouahi_ahlem@yahoo.fr [Univ. Grenoble Alpes, G2Elab, F-38000 (France); Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Challali, F. [Laboratoire des Sciences des Procédés et des Matériaux (LSPM)-CNRS-UPR3407, Université Paris13, 99 Avenue Jean-Baptiste Clément, 93430, Villetaneuse (France); Dakhlaoui, I. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Vallée, C. [CNRS, LTM, CEA-LETI, F-38000 Grenoble (France); Salimy, S. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Jomni, F.; Yangui, B. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Besland, M.P.; Goullet, A. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Sylvestre, A. [Univ. Grenoble Alpes, G2Elab, F-38000 (France)

    2016-05-01

    In this study, the dielectric properties of metal-oxide-metal capacitors based on Tantalum Titanium Oxide (TiTaO) thin films deposited by reactive magnetron sputtering on aluminum bottom electrode are investigated. The structure of the films was characterized by Atomic Force Microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The dielectric properties of TiTaO thin films were studied by complex impedance spectroscopy over a wide frequency range (10{sup -2} - to 10{sup 5} Hz) and temperatures in -50 °C to 325 °C range. The contributions of different phases, phases’ boundaries and conductivity effect were highlighted by Cole – Cole diagram (ε” versus ε’). Two relaxation processes have been identified in the electric modulus plot. A first relaxation process appears at low temperature with activation energy of 0.37 eV and it is related to the motion of Ti{sup 4+} (Skanavi’s model). A second relaxation process at high temperature is related to Maxwell-Wagner-Sillars relaxation with activation energy of 0.41 eV. - Highlights: • Titanium Tantalum Oxide thin films are grown on Aluminum substrate. • The existence of phases was confirmed by X-ray photoelectron spectroscopy. • Conductivity effect appears in Cole-Cole plot. • At low temperatures, a relaxation phenomenon obeys to Skanavi’s model. • Maxwell-Wagner-Sillars polarization is processed at high temperatures.

  20. Fluorescence and thermoluminescence in silicon oxide films rich in silicon; Fluorescencia y termoluminiscencia en peliculas de oxido de silicio rico en silicio

    Energy Technology Data Exchange (ETDEWEB)

    Berman M, D.; Piters, T. M. [Centro de Investigacion en Fisica, Universidad de Sonora, Apdo. Postal 5-088, Hermosillo 83190, Sonora (Mexico); Aceves M, M.; Berriel V, L. R. [Instituto Nacional de Astrofisica, Optica y Electronica, Apdo. Postal 51, Puebla 72000, Puebla (Mexico); Luna L, J. A. [CIDS, Benemerita Universidad Autonoma de Puebla, Apdo. Postal 1651, Puebla 72000, Puebla (Mexico)

    2009-10-15

    In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 {omega}-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N{sub 2} at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)

  1. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  2. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    Science.gov (United States)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  3. Photocatalytic oxidation of organic compounds via waveguide-supported titanium dioxide films

    Science.gov (United States)

    Miller, Lawrence W.

    A photochemical reactor based on titanium dioxide (TiO2)-coated silica optical fibers was constructed to explore the use of waveguide-supported TiO2 films for photocatalytic oxidation of organic compounds. The reactor was used for the photocatalytic oxidation of 4-chlorophenol in water. It was confirmed that TiO2 films could be securely attached to silica optical fibers. The 4-chlorophenol (100 mumol/L in water) was successfully oxidized on the TiO2 surface when UV light (310 nm--380 nm) was propagated through the fibers to the films. Rates of 4-chlorophenol oxidation and UV light flux to the fibers were measured. The quantum efficiency of 4-chlorophenol oxidation [defined as the change in 4-chlorophenol concentration divided by the UV light absorbed by the catalyst] was determined as a function of TiO2 catalyst film thickness and internal incident angle of propagating UV light. A maximum quantum efficiency of 2.8% was measured when TiO2 film thickness was ca. 80 nm and the maximum internal incident angle of propagating light was 84°. Quantum efficiency increased with increasing internal angle of incidence of propagating light and decreased with TiO2 film thickness. UV-Visible internal reflection spectroscopy was used to determine whether UV light propagated through TiO2-coated silica waveguides in an ATR mode. Propagation of UV light in an ATR mode was confirmed by the similarities between internal reflection spectra of phenolphthalein obtained with uncoated and TiO2-coated silica crystals. Planar silica waveguides coated with TiO2 were employed in a photocatalytic reactor for the oxidation of formic acid (833 mumol/L in water). It was shown that the quantum yield of formic acid oxidation [defined as the moles of formic acid oxidized divided by the moles of UV photons absorbed by the catalyst] on the waveguide-supported TiO2 surface is enhanced when UV light propagates through the waveguides in an ATR mode. A maximum quantum yield of 3.9% was found for formic

  4. Silicon oxynitride films deposited by reactive high power impulse magnetron sputtering using nitrous oxide as a single-source precursor

    Energy Technology Data Exchange (ETDEWEB)

    Hänninen, Tuomas, E-mail: tuoha@ifm.liu.se; Schmidt, Susann; Jensen, Jens; Hultman, Lars; Högberg, Hans [Thin Film Physics Division, Department of Physics, Chemistry, and Biology (IFM), Linköping University, Linköping SE-581 83 (Sweden)

    2015-09-15

    Silicon oxynitride thin films were synthesized by reactive high power impulse magnetron sputtering of silicon in argon/nitrous oxide plasmas. Nitrous oxide was employed as a single-source precursor supplying oxygen and nitrogen for the film growth. The films were characterized by elastic recoil detection analysis, x-ray photoelectron spectroscopy, x-ray diffraction, x-ray reflectivity, scanning electron microscopy, and spectroscopic ellipsometry. Results show that the films are silicon rich, amorphous, and exhibit a random chemical bonding structure. The optical properties with the refractive index and the extinction coefficient correlate with the film elemental composition, showing decreasing values with increasing film oxygen and nitrogen content. The total percentage of oxygen and nitrogen in the films is controlled by adjusting the gas flow ratio in the deposition processes. Furthermore, it is shown that the film oxygen-to-nitrogen ratio can be tailored by the high power impulse magnetron sputtering-specific parameters pulse frequency and energy per pulse.

  5. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    Directory of Open Access Journals (Sweden)

    Akarapu Ashok

    2014-01-01

    Full Text Available Silicon dioxide (SiO2 thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs and microelectromechanical systems (MEMS. Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics.

  6. Dry And Ringer Solution Lubricated Tribology Of Thin Osseoconductive Metal Oxides And Diamond-Like Carbon Films

    Directory of Open Access Journals (Sweden)

    Waldhauser W.

    2015-09-01

    Full Text Available Achieving fast and strong adhesion to jawbone is essential for dental implants. Thin deposited films may improve osseointegration, but they are prone to cohesive and adhesive fracture due to high stresses while screwing the implant into the bone, leading to bared, less osteoconductive substrate surfaces and nano- and micro-particles in the bone. Aim of this work is the investigation of the cohesion and adhesion failure stresses of osteoconductive tantalum, titanium, silicon, zirconium and aluminium oxide and diamond-like carbon films. The tribological behaviour under dry and lubricated conditions (Ringer solution reveals best results for diamond-like carbon, while cohesion and adhesion of zirconium oxide films is highest.

  7. Bacterial adhesion studies on titanium, titanium nitride and modified hydroxyapatite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeyachandran, Y L [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Venkatachalam, S [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Karunagaran, B [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Narayandass, Sa K [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Mangalaraj, D [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Bao, C Y [West China College of Stomatology, Sichuan University, Chengdu 610041 (China); Zhang, C L [West China College of Stomatology, Sichuan University, Chengdu 610041 (China)

    2007-01-15

    A qualitative study on adhesion of the oral bacteria Porphyromonas gingivalis on titanium (Ti), titanium nitride (TiN), fluorine modified hydroxyapatite (FHA) and zinc modified FHA (Zn-FHA) thin films is investigated. Ti and TiN thin films were deposited by DC magnetron sputtering and hydroxyapatite-based films were prepared by solgel method. The crystalline structure, optical characteristics, chemical composition and surface topography of the films were studied by XRD, optical transmission, XPS, EDAX and AFM measurements. The predominant crystallite orientation in the Ti and TiN films was along (002) and (111) of hcp and cubic structures, respectively. The Ti : O : N composition ratio in the surface of the Ti and TiN films was found to be 7 : 21 : 1 and 3 : 8 : 2, respectively. The atomic concentration ratio (Zn + Ca) / P in Zn-FHA film was found to be 1.74 whereby the Zn replaced 3.2% of Ca. The rough surface feature in modified HA films was clearly observed in the SEM images and the surface roughness (rms) of Ti and TiN films was 2.49 and 3.5 nm, respectively, as observed using AFM. The film samples were sterilized, treated in the bacteria culture medium, processed and analyzed using SEM. Surface roughness of the films was found to have least influence on the bacterial adhesion. More bacteria were observed on the TiN film with oxide nitride surface layer and less number of adhered bacteria was noticed on the Ti film with native surface oxide layer and on Zn-FHA film.

  8. Bacterial adhesion studies on titanium, titanium nitride and modified hydroxyapatite thin films

    International Nuclear Information System (INIS)

    Jeyachandran, Y.L.; Venkatachalam, S.; Karunagaran, B.; Narayandass, Sa.K.; Mangalaraj, D.; Bao, C.Y.; Zhang, C.L.

    2007-01-01

    A qualitative study on adhesion of the oral bacteria Porphyromonas gingivalis on titanium (Ti), titanium nitride (TiN), fluorine modified hydroxyapatite (FHA) and zinc modified FHA (Zn-FHA) thin films is investigated. Ti and TiN thin films were deposited by DC magnetron sputtering and hydroxyapatite-based films were prepared by solgel method. The crystalline structure, optical characteristics, chemical composition and surface topography of the films were studied by XRD, optical transmission, XPS, EDAX and AFM measurements. The predominant crystallite orientation in the Ti and TiN films was along (002) and (111) of hcp and cubic structures, respectively. The Ti : O : N composition ratio in the surface of the Ti and TiN films was found to be 7 : 21 : 1 and 3 : 8 : 2, respectively. The atomic concentration ratio (Zn + Ca) / P in Zn-FHA film was found to be 1.74 whereby the Zn replaced 3.2% of Ca. The rough surface feature in modified HA films was clearly observed in the SEM images and the surface roughness (rms) of Ti and TiN films was 2.49 and 3.5 nm, respectively, as observed using AFM. The film samples were sterilized, treated in the bacteria culture medium, processed and analyzed using SEM. Surface roughness of the films was found to have least influence on the bacterial adhesion. More bacteria were observed on the TiN film with oxide nitride surface layer and less number of adhered bacteria was noticed on the Ti film with native surface oxide layer and on Zn-FHA film

  9. Improved optical response and photocatalysis for N-doped titanium oxide (TiO2) films prepared by oxidation of TiN

    International Nuclear Information System (INIS)

    Wan, L.; Li, J.F.; Feng, J.Y.; Sun, W.; Mao, Z.Q.

    2007-01-01

    In order to improve the photocatalytic activity, N-doped titanium oxide (TiO 2 ) films were obtained by thermal oxidation of TiN films, which were prepared on Ti substrates by ion beam assisted deposition (IBAD). The dominating rutile TiO 2 phase was found in films after thermal oxidation. According to the results of X-ray photoelectron spectroscopy (XPS), the residual N atoms occupied O-atom sites in TiO 2 lattice to form Ti-O-N bonds. UV-vis spectra revealed the N-doped TiO 2 film had a red shift of absorption edge. The maximum red shift was assigned to the sample annealed at 750 deg. C, with an onset wavelength at 600 nm. The onset wavelength corresponded to the photon energy of 2.05 eV, which was nearly 1.0 eV below the band gap of pure rutile TiO 2 . The effect of nitrogen was responsible for the enhancement of photoactivity of N-doped TiO 2 films in the range of visible light

  10. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    Science.gov (United States)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  11. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  12. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  13. Oxidation Properties of Nitrogen-Doped Silicon Films Deposited from Si2H6 and NH3

    Science.gov (United States)

    Scheid, Emmanuel; Boyer, Pierre; Samitier, Josep; Hassani, Ahmed

    1994-03-01

    Si2H6/NH3 gas mixture was employed to obtain, by low-pressure chemical vapor deposition (LPCVD) at low temperature, nitrogen-doped silicon (NIDOS) films with various N/Si ratios. Thermal oxide was grown in dry oxygen at 900°C and 1100°C on NIDOS films. The result indicates that the nitrogen content of NIDOS films, assessed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), greatly influences their oxidation rate.

  14. Microstructural characterization of silicon added titanium aluminide

    International Nuclear Information System (INIS)

    Khan, A.N.

    2009-01-01

    Titanium aluminides intermetallic compounds have received great attention during the past decade, since they have the potential, in aircraft and automotive engines, to replace the high density Ni-base superalloys However, these intermetallics possess poor oxidation properties at high temperatures. Previous studies showed that protective alumina scale formation on gamma-TiAl can be obtained by small additions (around 2 at.%) of Ag. In the present study, a number of cast Ti-Al-Si alloys were investigated in relation to transient oxide formation in air at 1300 deg. C. After various oxidation times the oxide composition, microstructure and morphology were studied by combining a number of analysis techniques. The TiAl-Si alloys appear to form Al Ti and Si oxides. However, the formation of silicon oxide at the interface of base metal and scale slows down the oxidation rate significantly. (author)

  15. Behind the Nature of Titanium Oxide Excellent Surface Passivation and Carrier Selectivity of c-Si

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Crovetto, Andrea; Hansen, Ole

    We present an expanded study of the passivation properties of titanium dioxide (TiO2) on p-type crystalline silicon (c-Si). We report a low surface recombination velocity (16 cm/s) for TiO2 passivation layers with a thin tunnelling oxide interlayer (SiO2 or Al2O3) on p-type crystalline silicon (c-Si......), and post-deposition annealing temperature were investigated. We have observed that that SiO2 and Al2O3 interlayers enhance the TiO2 passivation of c-Si. TiO2 thin film passivation layers alone result in lower effective carrier lifetime. Further annealing at 200  ̊C in N2 gas enhances the surface...

  16. The role of extra-atomic relaxation in determining Si2p binding energy shifts at silicon/silicon oxide interfaces

    International Nuclear Information System (INIS)

    Zhang, K.Z.; Greeley, J.N.; Banaszak Holl, M.M.; McFeely, F.R.

    1997-01-01

    The observed binding energy shift for silicon oxide films grown on crystalline silicon varies as a function of film thickness. The physical basis of this shift has previously been ascribed to a variety of initial state effects (Si endash O ring size, strain, stoichiometry, and crystallinity), final state effects (a variety of screening mechanisms), and extrinsic effects (charging). By constructing a structurally homogeneous silicon oxide film on silicon, initial state effects have been minimized and the magnitude of final state stabilization as a function of film thickness has been directly measured. In addition, questions regarding the charging of thin silicon oxide films on silicon have been addressed. From these studies, it is concluded that initial state effects play a negligible role in the thickness-dependent binding energy shift. For the first ∼30 Angstrom of oxide film, the thickness-dependent binding energy shift can be attributed to final state effects in the form of image charge induced stabilization. Beyond about 30 Angstrom, charging of the film occurs. copyright 1997 American Institute of Physics

  17. Synthesis and corrosion properties of silicon nitride films by ion beam assisted deposition

    Science.gov (United States)

    Baba, K.; Hatada, R.; Emmerich, R.; Enders, B.; Wolf, G. K.

    1995-12-01

    Silicon nitride films SiN x were deposited on 316L austenitic stainless steel substrates by silicon evaporation and simultaneous nitrogen ion irradiation with an acceleration voltage of 2 kV. In order to study the influence of the nitrogen content on changes in stoichiometry, structure, morphology, thermal oxidation behaviour and corrosion behaviour, the atom to ion transport ratio was systematically varied. The changes of binding states and the stoichiometry were evaluated with XPS and AES analysis. A maximum nitrogen content was reached with a {Si}/{N} transport ratio lower than 2. The films are chemically inert when exposed to laboratory atmosphere up to a temperature of more than 1000°C. XRD and SEM measurements show amorphous and featureless films for transport ratios {Si}/{N} from 1 up to 10. The variation of the corrosion behaviour of coated stainless steel substrates in sulphuric acid and hydrochloric acid shows a minimum at medium transport ratios. This goes parallel with changes in porosity and adhesion. Additional investigations showed that titanium implantation as an intermediate step improves the corrosion resistance considerably.

  18. Atomic layer deposition of titanium oxide films on As-synthesized magnetic Ni particles: Magnetic and safety properties

    International Nuclear Information System (INIS)

    Uudeküll, Peep; Kozlova, Jekaterina; Mändar, Hugo; Link, Joosep; Sihtmäe, Mariliis; Käosaar, Sandra; Blinova, Irina; Kasemets, Kaja; Kahru, Anne; Stern, Raivo; Tätte, Tanel; Kukli, Kaupo; Tamm, Aile

    2017-01-01

    Spherical nickel particles with size in the range of 100–400 nm were synthesized by non-aqueous liquid phase benzyl alcohol method. Being developed for magnetically guided biomedical applications, the particles were coated by conformal and antimicrobial thin titanium oxide films by atomic layer deposition. The particles retained their size and crystal structure after the deposition of oxide films. The sensitivity of the coated particles to external magnetic fields was increased compared to that of the uncoated powder. Preliminary toxicological investigations on microbial cells and small aquatic crustaceans revealed non-toxic nature of the synthesized particles.

  19. Atomic layer deposition of titanium oxide films on As-synthesized magnetic Ni particles: Magnetic and safety properties

    Energy Technology Data Exchange (ETDEWEB)

    Uudeküll, Peep, E-mail: peep.uudekull@ut.ee [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Kozlova, Jekaterina; Mändar, Hugo [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Link, Joosep [Laboratory of Chemical Physics, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Sihtmäe, Mariliis [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Käosaar, Sandra [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Faculty of Chemical and Materials Technology, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Blinova, Irina; Kasemets, Kaja; Kahru, Anne [Laboratory of Environmental Toxicology, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Stern, Raivo [Laboratory of Chemical Physics, National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, 12618 Tallinn (Estonia); Tätte, Tanel [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); Kukli, Kaupo [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia); University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Tamm, Aile [Institute of Physics, University of Tartu, W. Ostwaldi Str.1, 50411 Tartu (Estonia)

    2017-05-01

    Spherical nickel particles with size in the range of 100–400 nm were synthesized by non-aqueous liquid phase benzyl alcohol method. Being developed for magnetically guided biomedical applications, the particles were coated by conformal and antimicrobial thin titanium oxide films by atomic layer deposition. The particles retained their size and crystal structure after the deposition of oxide films. The sensitivity of the coated particles to external magnetic fields was increased compared to that of the uncoated powder. Preliminary toxicological investigations on microbial cells and small aquatic crustaceans revealed non-toxic nature of the synthesized particles.

  20. Pulsed 1064 nm Nd-YAG Laser Deposition of Titanium on Silicon in a Nitrogen Environment

    Directory of Open Access Journals (Sweden)

    Wilson Garcia

    1999-12-01

    Full Text Available Pulsed laser deposition (PLD technique was demonstrated for the deposition of titanium nitride (TiN thin films on Si (100 substrates. A 1064 nm pulsed Nd-YAG laser is focused on a titanium (99.5% target in a nitrogen environment to generate the atomic flux needed for the film deposition. Spectroscopic analysis of the plasma emission indicates the presence of atomic titanium and nitrogen, which are the precursors of TiN. Images of the films grown at different laser pulse energies show an increase in the number and size of deposited droplets and clusters with increasing laser pulse energy. A decrease in cluster and droplet size is also observed, with an increase in substrate temperature. EDS data show an increase in the titanium peak relative to the silicon as the ambient nitrogen pressure is decreased. An increase in deposition time was found to result in large clusters and irregularly shaped structures on the substrate. Post-deposition annealing of the samples enhanced the crystallinity of the film.

  1. Orientationally ordered ridge structures of aluminum films on hydrogen terminated silicon

    DEFF Research Database (Denmark)

    Quaade, Ulrich; Pantleon, Karen

    2006-01-01

    Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the < 110 > direct......Films of aluminum deposited onto Si(100) substrates show a surface structure of parallel ridges. On films deposited on oxidized silicon substrates the direction of the ridges is arbitrary, but on films deposited on hydrogen-terminated Si(100) the ridges are oriented parallel to the ... > directions on the silicon substrate. The ridge structure appears when the film thickness is above 500 nm, and increasing the film thickness makes the structure more distinct. Anodic oxidation enhances the structure even further. X-ray diffraction indicates that grains in the film have mostly (110) facets...

  2. Influence of metal induced crystallization parameters on the performance of polycrystalline silicon thin film transistors

    International Nuclear Information System (INIS)

    Pereira, L.; Barquinha, P.; Fortunato, E.; Martins, R.

    2005-01-01

    In this work, metal induced crystallization using nickel was employed to obtain polycrystalline silicon by crystallization of amorphous films for thin film transistor applications. The devices were produced through only one lithographic process with a bottom gate configuration using a new gate dielectric consisting of a multi-layer of aluminum oxide/titanium oxide produced by atomic layer deposition. The best results were obtained for TFTs with the active layer of poly-Si crystallized for 20 h at 500 deg. C using a nickel layer of 0.5 nm where the effective mobility is 45.5 cm 2 V -1 s -1 . The threshold voltage, the on/off current ratio and the sub-threshold voltage are, respectively, 11.9 V, 5.55x10 4 and 2.49 V/dec

  3. One-step synthesis of continuous free-standing Carbon Nanotubes-Titanium oxide composite films as anodes for lithium-ion batteries

    International Nuclear Information System (INIS)

    Gao, Hongxu; Hou, Feng; Wan, Zhipeng; Zhao, Sha; Yang, Deming; Liu, Jiachen; Guo, Anran; Gong, Yuxuan

    2015-01-01

    Highlights: • CNTs/TiO 2 compoiste films synthesized are continuous and free-standing. • The film can be directly used as flexible, binder-free Lithium-Ion Battery electrode. • The CNTs/TiO 2 electrodes exhibit excellent rate capacity and cyclic stability. • Our strategy is readily applicable to fabricate other CNTs-based composite films. - Abstract: Continuous free-standing Carbon Nanotubes (CNTs)/Titanium oxide (TiO 2 ) composite films were fabricated in a vertical CVD gas flow reactor with water sealing by the One-Step Chemical Vapor Deposition (CVD) approach. The composite films consist of multiple layers of conductive carbon nanotube networks with titanium oxide nanoparticles decorating on carbon nanotube surface. The as-synthesized flexible and transferrable composite films show excellent electrochemical properties, when the content of tetrabutyl titanate is 19.0 wt.%, which can be promising as binder-free anodes for Lithium-Ion Battery (LIB) applications. It demonstrates remarkably high rate capacity of 150 mAh g −1 , as well as excellent high rate cyclic stability over 500 cycles (current density of 3000 mA g −1 ). Such observations can be attributed to the relatively larger surface area and pore volume comparing with pristine CNT films. Great potentials of CNTs/TiO 2 composite films for large-scale production and application in energy devices were shown

  4. Polarization-dependent single-beam laser-induced grating-like effects on titanium films

    International Nuclear Information System (INIS)

    Camacho-Lopez, Santiago; Evans, Rodger; Escobar-Alarcon, Luis; Camacho-Lopez, Miguel A.; Camacho-Lopez, Marco A.

    2008-01-01

    In this paper we present results on polarization-dependent laser-induced effects on titanium (Ti) thin films. We irradiated the titanium films, in ambient air, using a nanosecond Nd:YAG laser (532 nm, 9 ns pulse duration, 10 Hz). Using a series of pulses of fluence well below the ablation threshold, it was possible to form grating-like structures, whose grooves run parallel to the linear polarization of the incident beam. No grating-like structures were obtained when circularly polarized light was used. Our results revealed the remarkable formation of tiny (100 nm and even smaller diameter) craters, which self-arrange quasi-periodically along the ridges (never on the valleys) of the grating-like structure. Optical and scanning electron microscopy were used to study the laser-induced changes on the surface of the titanium films. Micro-Raman spectroscopy was used to analyze the irradiated areas on the titanium films. The Raman analysis demonstrated that the grooves in the grating-like structure, build up from the laser-induced oxidation of titanium. This is the first time, to the best of our knowledge, that periodic surface structures are reported to be induced below the ablation threshold regime, with the grooves made of crystalline metal oxide, in this case TiO 2 in the well-known Rutile phase. The laser irradiated areas on the film acquired selective (upon recording polarization) holographic reflectance

  5. Polarization-dependent single-beam laser-induced grating-like effects on titanium films

    Energy Technology Data Exchange (ETDEWEB)

    Camacho-Lopez, Santiago [Departamento de Optica, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km 107 Carretera Tijuana-Ensenada, Ensenada, Baja California 22860 (Mexico)], E-mail: camachol@cicese.mx; Evans, Rodger [Departamento de Optica, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km 107 Carretera Tijuana-Ensenada, Ensenada, Baja California 22860 (Mexico); Escobar-Alarcon, Luis [Departamento de Fisica, Instituto Nacional de Investigaciones Nucleares, Apdo. Postal 18-1027, Mexico DF 11801 (Mexico); Camacho-Lopez, Miguel A. [Facultad de Medicina, Universidad Autonoma del Estado de Mexico, Paseo Tollocan s/n, esq. Jesus Carranza, Toluca, Estado de Mexico 50120 (Mexico); Camacho-Lopez, Marco A. [Facultad de Quimica, Universidad Autonoma del Estado de Mexico, Tollocan s/n, esq. Paseo Colon, Toluca, Estado de Mexico, 50110 (Mexico)

    2008-12-30

    In this paper we present results on polarization-dependent laser-induced effects on titanium (Ti) thin films. We irradiated the titanium films, in ambient air, using a nanosecond Nd:YAG laser (532 nm, 9 ns pulse duration, 10 Hz). Using a series of pulses of fluence well below the ablation threshold, it was possible to form grating-like structures, whose grooves run parallel to the linear polarization of the incident beam. No grating-like structures were obtained when circularly polarized light was used. Our results revealed the remarkable formation of tiny (100 nm and even smaller diameter) craters, which self-arrange quasi-periodically along the ridges (never on the valleys) of the grating-like structure. Optical and scanning electron microscopy were used to study the laser-induced changes on the surface of the titanium films. Micro-Raman spectroscopy was used to analyze the irradiated areas on the titanium films. The Raman analysis demonstrated that the grooves in the grating-like structure, build up from the laser-induced oxidation of titanium. This is the first time, to the best of our knowledge, that periodic surface structures are reported to be induced below the ablation threshold regime, with the grooves made of crystalline metal oxide, in this case TiO{sub 2} in the well-known Rutile phase. The laser irradiated areas on the film acquired selective (upon recording polarization) holographic reflectance.

  6. Self-cleaning glasses containing nanostructured titanium oxide

    International Nuclear Information System (INIS)

    Araujo, A.; Alves, A.K.; Berutti, F.A.; Bergmann, C.P.

    2010-01-01

    Using the electrospinning technique nanofibers of titanium oxide were synthesized. As precursor materials, titanium propoxide and a solution of polyvinylpyrrolidone were used. After the electrospinning process, the non-tissue material obtained was heat treated and characterized by X-ray diffraction to determine the phase crystallinity, and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in isopropyl alcohol, the glass coatings were made by dip-coating methodology. The removal velocity was kept constant, but the solution composition was varied to obtain a transparent and photo active film. The film was characterized by the contact angle of a water droplet in its surface (hydrophilicity), the transparency was evaluated using a spectrophotometer and the photocatalytic activity of the film was also evaluated. (author)

  7. Osseointegration is improved by coating titanium implants with a nanostructured thin film with titanium carbide and titanium oxides clustered around graphitic carbon

    International Nuclear Information System (INIS)

    Veronesi, Francesca; Giavaresi, Gianluca; Fini, Milena; Longo, Giovanni; Ioannidu, Caterina Alexandra; Scotto d'Abusco, Anna; Superti, Fabiana; Panzini, Gianluca; Misiano, Carlo; Palattella, Alberto; Selleri, Paolo; Di Girolamo, Nicola; Garbarino, Viola; Politi, Laura; Scandurra, Roberto

    2017-01-01

    Titanium implants coated with a 500 nm nanostructured layer, deposited by the Ion Plating Plasma Assisted (IPPA) technology, composed of 60% graphitic carbon, 25% titanium oxides and 15% titanium carbide were implanted into rabbit femurs whilst into the controlateral femurs uncoated titanium implants were inserted as control. At four time points the animals were injected with calcein green, xylenol orange, oxytetracycline and alizarin. After 2, 4 and 8 weeks femurs were removed and processed for histology and static and dynamic histomorphometry for undecalcified bone processing into methylmethacrylate, sectioned, thinned, polished and stained with Toluidine blue and Fast green. The overall bone-implant contacts rate (percentage of bone-implant contacts/weeks) of the TiC coated implant was 1.6 fold than that of the uncoated titanium implant. The histomorphometric analyses confirmed the histological evaluations. More precisely, higher Mineral Apposition Rate (MAR, μm/day) (p < 0.005) and Bone Formation Rate (BFR, μm 2 /μm/day) (p < 0.0005) as well as Bone Implant Contact (Bic) and Bone Ingrowth values (p < 0.0005) were observed for the TiC coated implants compared to uncoated implants. In conclusion the hard nanostructured TiC layer protects the bulk titanium implant against the harsh conditions of biological tissues and in the same time, stimulating adhesion, proliferation and activity of osteoblasts, induces a better bone-implant contacts of the implant compared to the uncoated titanium implant. - Highlights: • Ti implants were coated with a nanostructured film composed of C gr , TiC and TiO x . • The TiC layer stimulates adhesion, proliferation and activity of osteoblasts. • Uncoated and TiC coated titanium implants were implanted in rabbit femurs. • Bone-implant contacts of TiC coated implants were higher than that of uncoated. • Mineral Apposition Rate of TiC coated implants were higher than that of uncoated.

  8. Titanium dioxide antireflection coating for silicon solar cells by spray deposition

    Science.gov (United States)

    Kern, W.; Tracy, E.

    1980-01-01

    A high-speed production process is described for depositing a single-layer, quarter-wavelength thick antireflection coating of titanium dioxide on metal-patterned single-crystal silicon solar cells for terrestrial applications. Controlled atomization spraying of an organotitanium solution was selected as the most cost-effective method of film deposition using commercial automated equipment. The optimal composition consists of titanium isopropoxide as the titanium source, n-butyl acetate as the diluent solvent, sec-butanol as the leveling agent, and 2-ethyl-1-hexanol to render the material uniformly depositable. Application of the process to the coating of circular, large-diameter solar cells with either screen-printed silver metallization or with vacuum-evaporated Ti/Pd/Ag metallization showed increases of over 40% in the electrical conversion efficiency. Optical characteristics, corrosion resistance, and several other important properties of the spray-deposited film are reported. Experimental evidence indicates a wide tolerance in the coating thickness upon the overall efficiency of the cell. Considerations pertaining to the optimization of AR coatings in general are discussed, and a comprehensive critical survey of the literature is presented.

  9. Thin film silicon photovoltaics: Architectural perspectives and technological issues

    Energy Technology Data Exchange (ETDEWEB)

    Mercaldo, Lucia Vittoria; Addonizio, Maria Luisa; Noce, Marco Della; Veneri, Paola Delli; Scognamiglio, Alessandra; Privato, Carlo [ENEA, Portici Research Center, Piazzale E. Fermi, 80055 Portici (Napoli) (Italy)

    2009-10-15

    Thin film photovoltaics is a particularly attractive technology for building integration. In this paper, we present our analysis on architectural issues and technological developments of thin film silicon photovoltaics. In particular, we focus on our activities related to transparent and conductive oxide (TCO) and thin film amorphous and microcrystalline silicon solar cells. The research on TCO films is mainly dedicated to large-area deposition of zinc oxide (ZnO) by low pressure-metallorganic chemical vapor deposition. ZnO material, with a low sheet resistance (<8 {omega}/sq) and with an excellent transmittance (>82%) in the whole wavelength range of photovoltaic interest, has been obtained. ''Micromorph'' tandem devices, consisting of an amorphous silicon top cell and a microcrystalline silicon bottom cell, are fabricated by using the very high frequency plasma enhanced chemical vapor deposition technique. An initial efficiency of 11.1% (>10% stabilized) has been obtained. (author)

  10. Titanium oxidation-reduction at low oxygen pressure under electron bombardment

    International Nuclear Information System (INIS)

    Brasca, R.; Passeggi, M.C.G.; Ferron, J.

    2006-01-01

    The effect of the electron bombardment on the first stages of the titanium oxidation process has been studied by means of Auger Electron Spectroscopy. Using Factor Analysis and the valence electron dependence behaviour of the titanium LMV Auger transition, we found that the process is strongly dependent on the oxygen pressure and electron current density. Depending on the irradiation conditions, films of different thickness and Ti oxidized states are obtained

  11. The role of surface oxides on hydrogen sorption kinetics in titanium thin films

    Science.gov (United States)

    Hadjixenophontos, Efi; Michalek, Lukas; Roussel, Manuel; Hirscher, Michael; Schmitz, Guido

    2018-05-01

    Titanium is presently discussed as a catalyst to accelerate the hydrogenation kinetics of hydrogen storage materials. It is however known that H absorption in Ti decisively depends on the surface conditions (presence or absence of the natural surface oxide). In this work, we use Ti thin films of controlled thickness (50-800 nm) as a convenient tool for quantifying the atomic transport. XRD and TEM investigations allow us to follow the hydrogenation progress inside the film. Hydrogenation of TiO2/Ti bi-layers is studied at 300 °C, for different durations (10 s to 600 min) and at varying pressures of pure H2 atmosphere. Under these conditions, the hydrogenation is found to be linear in time. By comparing films with and without TiO2, as well as by studying the pressure dependence of hydrogenation, it is demonstrated that hydrogen transport across the oxide represents the decisive kinetic barrier rather than the splitting of H2 molecules at the surface. Hydrogenation appears by a layer-like reaction initiated by heterogeneous nucleation at the backside interface to the substrate. The linear growth constant and the H diffusion coefficient inside the oxide are quantified, as well as a reliable lower bound to the hydrogen diffusion coefficient in Ti is derived. The pressure dependence of hydrogen absorption is quantitatively modelled.

  12. Fabrication of heterojunction solar cells by using microcrystalline hydrogenated silicon oxide film as an emitter

    International Nuclear Information System (INIS)

    Banerjee, Chandan; Sritharathikhun, Jaran; Konagai, Makoto; Yamada, Akira

    2008-01-01

    Wide gap, highly conducting n-type hydrogenated microcrystalline silicon oxide (μc-SiO : H) films were prepared by very high frequency plasma enhanced chemical vapour deposition at a very low substrate temperature (170 deg. C) as an alternative to amorphous silicon (a-Si : H) for use as an emitter layer of heterojunction solar cells. The optoelectronic properties of n-μc-SiO : H films prepared for the emitter layer are dark conductivity = 0.51 S cm -1 at 20 nm thin film, activation energy = 23 meV and E 04 = 2.3 eV. Czochralski-grown 380 μm thick p-type (1 0 0) oriented polished silicon wafers with a resistivity of 1-10 Ω cm were used for the fabrication of heterojunction solar cells. Photovoltaic parameters of the device were found to be V oc = 620 mV, J sc = 32.1 mA cm -2 , FF = 0.77, η = 15.32% (active area efficiency)

  13. Simulation of atomistic processes during silicon oxidation

    OpenAIRE

    Bongiorno, Angelo

    2003-01-01

    Silicon dioxide (SiO2) films grown on silicon monocrystal (Si) substrates form the gate oxides in current Si-based microelectronics devices. The understanding at the atomic scale of both the silicon oxidation process and the properties of the Si(100)-SiO2 interface is of significant importance in state-of-the-art silicon microelectronics manufacturing. These two topics are intimately coupled and are both addressed in this theoretical investigation mainly through first-principles calculations....

  14. Sputter deposited titanium disilicide at high substrate temperatures

    Science.gov (United States)

    Tanielian, M.; Blackstone, S.; Lajos, R.

    1984-08-01

    Titanium disilicide films were sputter deposited from a composite TiSi2.1 target on bare silicon wafers both at room temperature and at 600 °C. The room temperature as-deposited films require a 900 °C sintering step to reduce their resistivity. On the other hand, the as-deposited 600 °C films are fully reacted, polycrystalline, have no oxygen contamination, large grain sizes, and are oxidation resistant. Further annealing of these films at 900 °C produces no changes in their crystal structure, composition, resistivity, or grain size.

  15. Influence of titanium oxide films on copper nucleation during electrodeposition

    International Nuclear Information System (INIS)

    Chang, Hyun K.; Choe, Byung-Hak; Lee, Jong K.

    2005-01-01

    Copper electrodeposition has an important industrial role because of various interconnects used in electronic devices such as printed wire boards. With an increasing trend in device miniaturization, in demand are void-free, thin copper foils of 10 μm thick or less with a very low surface profile. In accordance, nucleation kinetics of copper was studied with titanium cathodes that were covered with thin, passive oxide films of 2-3 nm. Such an insulating oxide layer with a band gap of 3 eV is supposed to nearly block charge transfer from the cathode to the electrolyte. However, significant nucleation rates of copper were observed. Pipe tunneling mechanism along a dislocation core is reasoned to account for the high nucleation kinetics. A dislocation core is proposed to be a high electron tunneling path with a reduced energy barrier and a reduced barrier thickness. In supporting the pipe tunneling mechanism, both 'in situ' and 'ex situ' scratch tests were performed to introduce extra dislocations into the cathode surface, that is, more high charge paths via tunneling, before electrodeposition

  16. Characterization of poly(Sodium Styrene Sulfonate) Thin Films Grafted from Functionalized Titanium Surfaces

    Science.gov (United States)

    Zorn, Gilad; Baio, Joe E.; Weidner, Tobias; Migonney, Veronique; Castner, David G.

    2011-01-01

    Biointegration of titanium implants in the body is controlled by their surface properties. Improving surface properties by coating with a bioactive polymer is a promising approach to improve the biological performance of titanium implants. To optimize the grafting processes, it is important to fully understand the composition and structure of the modified surfaces. The main focus of this study is to provide a detailed, multi-technique characterization of a bioactive poly(sodium styrene sulfonate) (pNaSS) thin film grafted from titanium surfaces via a two-step procedure. Thin titanium films (~50 nm thick with an average surface roughness of 0.9±0.2nm) prepared by evaporation onto silicon wafers were used as smooth model substrates. X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) showed that the titanium film was covered with a TiO2 layer that was at least 10nm thick and contained hydroxyl groups present at the outermost surface. These hydroxyl groups were first modified with a 3-methacryloxypropyltrimethoxysilane (MPS) cross linker. XPS and ToF-SIMS showed that a monolayer of the MPS molecules were successfully attached onto the titanium surfaces. The pNaSS film was grafted from the MPS modified titanium through atom transfer radical polymerization. Again, XPS and ToF-SIMS were used to verify that the pNaSS molecules were successfully grafted onto the modified surfaces. Atomic force microscopy analysis showed that the film was smooth and uniformly covered the surface. Fourier transform infrared spectroscopy indicated an ordered array of grafted NaSS molecules were present on the titanium surfaces. Sum frequency generation vibration spectroscopy and near edge X-ray absorption fine structure spectroscopy illustrated that the NaSS molecules were grafted onto the titanium surface with a substantial degree of orientational order in the styrene rings. PMID:21892821

  17. Correlation of Critical Temperatures and Electrical Properties in Titanium Films

    Science.gov (United States)

    Gandini, C.; Lacquaniti, V.; Monticone, E.; Portesi, C.; Rajteri, M.; Rastello, M. L.; Pasca, E.; Ventura, G.

    Recently transition-edge sensors (TES) have obtained an increasing interest as light detectors due to their high energy resolution and broadband response. Titanium (Ti), with transition temperature up to 0.5 K, is among the suitable materials for TES application. In this work we investigate Ti films obtained from two materials of different purity deposited by e-gun on silicon nitride. Films with different thickness and deposition substrate temperature have been measured. Critical temperatures, electrical resistivities and structural properties obtained from x-ray are related to each other.

  18. Preparation and characterization of hybrid materials of epoxy resin type bisphenol a with silicon and titanium oxides by sol-gel process

    International Nuclear Information System (INIS)

    Carrillo C, A.; Osuna A, J. G.

    2011-01-01

    Hybrid materials were synthesized from epoxy resins as a result bisphenol type A-silicon oxide and epoxy resin bisphenol type A-titanium oxide were obtained. The synthesis was done by sol-gel process using tetraethyl orthosilicate (Teos) and titanium isopropoxide (I Ti) as inorganic precursors. The molar ratio of bisphenol A to the inorganic precursors was the studied variable. The materials were characterized by thermal analysis, infrared spectroscopy, scanning electron microscopy and energy dispersive X-ray spectroscopy. The hybrid nature of the materials was demonstrated through thermal analysis and infrared spectroscopy. In both systems, as the amount of alkoxide increased, the bands described above were more defined. This behavior indicates the interactions between the resin and the alkoxides. Hybrids with Teos showed a smoother and homogeneous surface in its entirety, without irregularities. Hybrids with titanium isopropoxide had low roughness. Both Teos and I Ti hybrids showed a decrease on the atomic weight percentage of carbon due to a slight reduction of the organic part on the surface. (Author)

  19. Preparation and characterization of hybrid materials of epoxy resin type bisphenol a with silicon and titanium oxides by sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo C, A.; Osuna A, J. G., E-mail: acc.carrillo@gmail.com [Universidad Autonoma de Coahuila, Facultad de Ciencias Quimicas, Blvd. Venustiano Carranza y Jose Cardenas Valdes, 25000 Saltillo, Coahuila (Mexico)

    2011-07-01

    Hybrid materials were synthesized from epoxy resins as a result bisphenol type A-silicon oxide and epoxy resin bisphenol type A-titanium oxide were obtained. The synthesis was done by sol-gel process using tetraethyl orthosilicate (Teos) and titanium isopropoxide (I Ti) as inorganic precursors. The molar ratio of bisphenol A to the inorganic precursors was the studied variable. The materials were characterized by thermal analysis, infrared spectroscopy, scanning electron microscopy and energy dispersive X-ray spectroscopy. The hybrid nature of the materials was demonstrated through thermal analysis and infrared spectroscopy. In both systems, as the amount of alkoxide increased, the bands described above were more defined. This behavior indicates the interactions between the resin and the alkoxides. Hybrids with Teos showed a smoother and homogeneous surface in its entirety, without irregularities. Hybrids with titanium isopropoxide had low roughness. Both Teos and I Ti hybrids showed a decrease on the atomic weight percentage of carbon due to a slight reduction of the organic part on the surface. (Author)

  20. Electrochemical behavior of amorphous metal-silicon-carbon nanocomposites based on titanium or tungsten nanophase

    International Nuclear Information System (INIS)

    Pleskov, Yu.V.; Krotova, M.D.; Shupegin, M.L.; Bozhko, A.D.

    2009-01-01

    Electrode behavior of nanocomposite films containing titanium- or tungsten-based conducting nanophase embedded in dielectric silicon-carbon matrix, deposited onto glassceramics substrate, is studied by cyclic voltammetry and electrochemical impedance spectroscopy. As the films' resistivity decreases, their electrochemical behavior gradually changes from that of 'poor conductor' to the nearly metal-like behavior. In particular, the differential capacitance increases, the charge transfer in a model redox system [Fe(CN) 6 ] 3-/4- accelerates, which may be explained by the increasing number of metal-containing clusters at the film/electrolyte solution interface

  1. Correlation of electrolyte-derived inclusions to crystallization in the early stage of anodic oxide film growth on titanium

    Energy Technology Data Exchange (ETDEWEB)

    Jaeggi, C., E-mail: christian.jaeggi@empa.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Advanced Materials Processing Laboratory, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland); Parlinska-Wojtan, M., E-mail: magdalena.parlinska@empa.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Center for Electron Microscopy, Ueberlandstrasse 129, CH-8600 Duebendorf (Switzerland); Kern, P., E-mail: Philippe.Kern@neopac.ch [Empa, Swiss Federal Laboratories for Materials Testing and Research, Laboratory for Mechanics of Materials and Nanostructures, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland)

    2012-01-01

    Pure titanium has been subjected to anodization in sulfuric and phosphoric acid. For a better understanding of the oxide growth and properties of the final film, with a particular interest focused on the solution anions in the early stage of crystallization, microstructural analyses (Raman, Transmission Electron Microscopy [TEM]) of the oxide films were correlated to chemical depth profiling by glow discharge optical emission spectroscopy (GDOES). Raman spectroscopy shows that crystallization of the oxide films starts at potentials as low as 10-20 V. The onset of crystallization and the ongoing increase in crystallinity with increasing anodization potentials had already earlier been correlated to ac-impedance measurements [Jaeggi et al., Surf. Interface Anal. 38 (2006) 182]. TEM observations show a clear difference in the early phase of crystallization between oxides grown in 1 M sulfuric acid compared to 1 M phosphoric acid. Moreover, independent of electrolyte type, nano-sized pores from oxygen bubbles formation were revealed in the central part of the films. Until now, oxygen bubbles inside an anodically grown oxide have not been observed before without the presence of crystalline regions nearby. A growth model is proposed, in which the different starting locations of crystallization inside the films are correlated to the presence of the acid anions as residues in the film, as found by GDOES chemical depth-profiling.

  2. Osseointegration is improved by coating titanium implants with a nanostructured thin film with titanium carbide and titanium oxides clustered around graphitic carbon

    Energy Technology Data Exchange (ETDEWEB)

    Veronesi, Francesca [Laboratory of Preclinical and Surgical Studies, Rizzoli Orthopedic Institute, Via Di Barbiano 1/10, Bologna 40136 (Italy); Giavaresi, Gianluca; Fini, Milena [Laboratory of Preclinical and Surgical Studies, Rizzoli Orthopedic Institute, Via Di Barbiano 1/10, Bologna 40136 (Italy); Laboratory of Biocompatibility, Innovative Technologies and Advanced Therapies, Department Rizzoli RIT, Via Di Barbiano 1/10, Bologna 40136 (Italy); Longo, Giovanni [CNR Istituto di Struttura della Materia, CNR, Via del Fosso del Cavaliere 100, 00133 Roma (Italy); Ioannidu, Caterina Alexandra; Scotto d' Abusco, Anna [Dept. of Biochemical Sciences, Sapienza University of Roma, Ple A. Moro 5, 00185 Roma (Italy); Superti, Fabiana; Panzini, Gianluca [Dept. of Technologies and Health, Istituto Superiore di Sanità, Viale Regina Elena, 299 Roma (Italy); Misiano, Carlo [Romana Film Sottili, Anzio, Roma (Italy); Palattella, Alberto [Dept. of Clinical Sciences and Translational Medicine, Tor Vergata University, Via Montpellier 1, 00133 Roma (Italy); Selleri, Paolo; Di Girolamo, Nicola [Exotic Animals Clinic, Via S. Giovannini 53, 00137 Roma (Italy); Garbarino, Viola [Dept. of Radiology, S.M. Goretti Hospital, Via G. Reni 2, 04100 Latina (Italy); Politi, Laura [Dept. of Biochemical Sciences, Sapienza University of Roma, Ple A. Moro 5, 00185 Roma (Italy); Scandurra, Roberto, E-mail: roberto.scandurra@uniroma1.it [Dept. of Biochemical Sciences, Sapienza University of Roma, Ple A. Moro 5, 00185 Roma (Italy)

    2017-01-01

    Titanium implants coated with a 500 nm nanostructured layer, deposited by the Ion Plating Plasma Assisted (IPPA) technology, composed of 60% graphitic carbon, 25% titanium oxides and 15% titanium carbide were implanted into rabbit femurs whilst into the controlateral femurs uncoated titanium implants were inserted as control. At four time points the animals were injected with calcein green, xylenol orange, oxytetracycline and alizarin. After 2, 4 and 8 weeks femurs were removed and processed for histology and static and dynamic histomorphometry for undecalcified bone processing into methylmethacrylate, sectioned, thinned, polished and stained with Toluidine blue and Fast green. The overall bone-implant contacts rate (percentage of bone-implant contacts/weeks) of the TiC coated implant was 1.6 fold than that of the uncoated titanium implant. The histomorphometric analyses confirmed the histological evaluations. More precisely, higher Mineral Apposition Rate (MAR, μm/day) (p < 0.005) and Bone Formation Rate (BFR, μm{sup 2}/μm/day) (p < 0.0005) as well as Bone Implant Contact (Bic) and Bone Ingrowth values (p < 0.0005) were observed for the TiC coated implants compared to uncoated implants. In conclusion the hard nanostructured TiC layer protects the bulk titanium implant against the harsh conditions of biological tissues and in the same time, stimulating adhesion, proliferation and activity of osteoblasts, induces a better bone-implant contacts of the implant compared to the uncoated titanium implant. - Highlights: • Ti implants were coated with a nanostructured film composed of C{sub gr}, TiC and TiO{sub x}. • The TiC layer stimulates adhesion, proliferation and activity of osteoblasts. • Uncoated and TiC coated titanium implants were implanted in rabbit femurs. • Bone-implant contacts of TiC coated implants were higher than that of uncoated. • Mineral Apposition Rate of TiC coated implants were higher than that of uncoated.

  3. Reactive laser-induced ablation as approach to titanium oxycarbide films

    International Nuclear Information System (INIS)

    Jandova, V.; Fajgar, R.; Dytrych, P.; Kostejn, M.; Drinek, V.; Kupcik, J.

    2015-01-01

    The IR laser-induced reactive ablation of frozen titanium ethoxide target was studied. The method involves the laser ablation of titanium ethoxide at − 140 °C in gaseous methane (4–50 Pa) atmosphere. This process leads to reactions of the ablative species with hydrocarbon in the gaseous phase. During the ablation of the frozen target excited species interact with methane molecules. The reactive ablation process leads to the formation of a smooth thin film. The thickness of prepared films depends on the number of IR pulses and their composition depends on the pressure of gaseous methane. This reactive IR ablation proceeds as a carbidation process providing nanostructured films with good adhesion to various substrates (glass, metals, KBr) depending on the carbon content in prepared films. Particles are also stabilized by layer preventing their surface oxidation in the atmosphere. The described results are important in the general context for the synthesis of reactive particles in the gas phase. The final products are characterized by spectroscopic, microscopic and diffraction techniques: SEM/EDX, HRTEM, electron diffraction, Raman spectroscopy and XPS. - Highlights: • IR laser ablation of frozen target of titanium ethoxide leads to a reduction in the gaseous methane (4-50 Pa). • Films deposited in methane have Ti/O/C stoichiometry and are oxidized in the atmosphere. • Layers deposited in methane are reduced and have less O in the topmost layers

  4. Reactive laser-induced ablation as approach to titanium oxycarbide films

    Energy Technology Data Exchange (ETDEWEB)

    Jandova, V., E-mail: jandova@icpf.cas.cz; Fajgar, R.; Dytrych, P.; Kostejn, M.; Drinek, V.; Kupcik, J.

    2015-09-01

    The IR laser-induced reactive ablation of frozen titanium ethoxide target was studied. The method involves the laser ablation of titanium ethoxide at − 140 °C in gaseous methane (4–50 Pa) atmosphere. This process leads to reactions of the ablative species with hydrocarbon in the gaseous phase. During the ablation of the frozen target excited species interact with methane molecules. The reactive ablation process leads to the formation of a smooth thin film. The thickness of prepared films depends on the number of IR pulses and their composition depends on the pressure of gaseous methane. This reactive IR ablation proceeds as a carbidation process providing nanostructured films with good adhesion to various substrates (glass, metals, KBr) depending on the carbon content in prepared films. Particles are also stabilized by layer preventing their surface oxidation in the atmosphere. The described results are important in the general context for the synthesis of reactive particles in the gas phase. The final products are characterized by spectroscopic, microscopic and diffraction techniques: SEM/EDX, HRTEM, electron diffraction, Raman spectroscopy and XPS. - Highlights: • IR laser ablation of frozen target of titanium ethoxide leads to a reduction in the gaseous methane (4-50 Pa). • Films deposited in methane have Ti/O/C stoichiometry and are oxidized in the atmosphere. • Layers deposited in methane are reduced and have less O in the topmost layers.

  5. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  6. Effect of grain alignment on interface trap density of thermally oxidized aligned-crystalline silicon films

    Science.gov (United States)

    Choi, Woong; Lee, Jung-Kun; Findikoglu, Alp T.

    2006-12-01

    The authors report studies of the effect of grain alignment on interface trap density of thermally oxidized aligned-crystalline silicon (ACSi) films by means of capacitance-voltage (C-V) measurements. C-V curves were measured on metal-oxide-semiconductor (MOS) capacitors fabricated on ⟨001⟩-oriented ACSi films on polycrystalline substrates. From high-frequency C-V curves, the authors calculated a decrease of interface trap density from 2×1012to1×1011cm-2eV-1 as the grain mosaic spread in ACSi films improved from 13.7° to 6.5°. These results demonstrate the effectiveness of grain alignment as a process technique to achieve significantly enhanced performance in small-grained (⩽1μm ) polycrystalline Si MOS-type devices.

  7. Chemical and Electronic Structure Studies of Refractory and Dielectric Thin Films.

    Science.gov (United States)

    Corneille, Jason Stephen

    This study presents the synthesis and characterization of oxide and refractory thin films under varying conditions. The deposition of the thin films is performed under vacuum conditions. The characterization of the growth, as well as the chemical and electronic properties of the thin films was accomplished using a broad array of surface analytical techniques. These model studies describe the relationship between the preparative processes and the stoichiometry, structure and electronic properties of the film products. From these efforts, the optimal deposition conditions for the production of high quality films have been established. The thin film oxides synthesized and studied here include magnesium oxide, silicon oxide and iron oxide. These oxides were synthesized on a refractory substrate using both post oxidation of thin films as well as reactive vapor deposition of the metals in the presence of an oxygen background. Comparisons and contrasts are presented for the various systems. Metallic magnesium films were grown and characterized as a preliminary study to the synthesis of magnesium oxide. Magnesium oxide (MgO(100)) was synthesized on Mo(100) by evaporating magnesium at a rate of one monolayer per minute in an oxygen background pressure of 1 times 10 ^{-6} Torr at room temperature. The resulting film was found to exhibit spectroscopic characteristics quite similar to those observed for bulk MgO. The acid/base characteristics of the films were studied using carbon monoxide, water and methanol as probe molecules. The film was found to exhibit essentially the same chemical properties as found in analogous powdered catalysts. Silicon dioxide was synthesized by evaporating silicon onto Mo(100) in an oxygen ambient. It is shown that the silicon oxide prepared at room temperature with a silicon deposition rate of {~ }{1.2}A/min and an oxygen pressure of 2 times 10^{ -8} Torr, consisted of predominantly silicon dioxide with a small fraction of suboxides. Annealing to

  8. Zinc oxide films impurified with Ti and prepared by the Sol-gel method; Peliculas de oxido de zinc impurificadas con Ti y preparadas por el metodo Sol-gel

    Energy Technology Data Exchange (ETDEWEB)

    Tirado G, S. [ESFM-IPN, 07738 Mexico D.F. (Mexico); Cazares R, J.M.; Maldonado, A. [CINVESTAV-IPN, A.P. 14-740, 07000 Mexico D.F. (Mexico)

    2006-07-01

    Titanium-doped zinc oxide thin films have been prepared on silicon substrate using the Sol-Gel technique. The structural, morphology, electrical and optical properties of such thin films were studied as a function of titanium concentration (0.5, 1 and 1.5 %) and the thin films thickness. Zinc acetate dihydrate and titanium (VI)-oxy acetylacetonate were used as precursor materials, using 2-methoxyethanol and monoethanolamine as via. The X-ray diffraction spectra show polycrystalline films in all the cases. It can see for all the thin films a preferential growth along the (002) planes where the titanium concentration and also the thin films thickness play an important rule. No structural changes are observed at all. The surface morphology studied shows as the grain size decreases when thin thickness is increases. For titanium concentration of 0.5, 1 and 1.5 % values the grains size increase also. The thin films thickness for titanium concentration of 1.5 % was 500 nm (4v), 400 nm (3v), 180 nm (2v) and 130 nm (1v), values obtained from cross-section micrographs. Highly resistive samples are obtained for substrate soda-lime even showing high transmittance. Better physical properties are required for gas sensors or semitransparent electrodes and other possible applications. (Author)

  9. Synthesis of organometallic hydroxides of titanium, vanadium, cobalt and chromium as precursors of thin films type MaOb

    International Nuclear Information System (INIS)

    Montero Villalobos, Mavis

    2001-01-01

    This study shows the results obtained from a general objective that was the synthesis and characterization of precursors of thin films of metallic oxides, two different routes of synthesis have been practiced: route molecular precursors and route Sol-Gel technic. In the first route one of the objectives of the investigation is to obtain a molecular precursor of material type M a O b a route of synthesis have been tried proved that involves anhydrous chlorides of the transition metals and linked R that are alcoxides of metal such as silicon, titanium and zirconium. In the second route the general objective to create thin films of metallic oxide has been maintained but the way to resolve the problem has changed, not giving so much emphasis to the molecular precursors as it was originally presented (this due mainly to its instability and difficulty of synthesis), but being supported in the sun-gel chemistry. It was started a new synthesis line through the sun-gel chemistry that is more versatile and simplifies the process in the film formation [es

  10. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    Energy Technology Data Exchange (ETDEWEB)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao, E-mail: yxzheng@fudan.edu.c [Key Laboratory of Micro and Nano Photonic Structures, Ministry of Education, Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China)

    2010-11-10

    A series of SiO{sub 2} films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO{sub 2} films thicker than 60 nm are close to those of bulk SiO{sub 2}. For the thin films deposited at the rate of {approx}1.0 nm s{sup -1}, the refractive indices increase with decreasing thickness from {approx}60 to {approx}10 nm and then drop sharply with decreasing thickness below {approx}10 nm. However, for thin films deposited at the rates of {approx}0.4 and {approx}0.2 nm s{sup -1}, the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  11. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  12. Real-time observations of interface formation for barium strontium titanate films on silicon

    Science.gov (United States)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  13. Real-time observations of interface formation for barium strontium titanate films on silicon

    International Nuclear Information System (INIS)

    Mueller, A.H.; Suvorova, N.A.; Irene, E.A.; Auciello, O.; Schultz, J.A.

    2002-01-01

    Ba .5 Sr .5 TiO 3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Aa film with intermediate static dielectric constant (K∼12) and refractive index (n∼2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST

  14. Hydroxyapatite coatings produced on commercially pure titanium by micro-arc oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Huang Yong [College of Materials Science and Engineering, South China University of Technology, Guangzhou 510641 (China); Wang Yingjun [College of Materials Science and Engineering, South China University of Technology, Guangzhou 510641 (China); Ning Chengyun [College of Materials Science and Engineering, South China University of Technology, Guangzhou 510641 (China); Nan Kaihui [College of Materials Science and Engineering, South China University of Technology, Guangzhou 510641 (China); Han Yong [State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China)

    2007-09-15

    A porous hydroxyapatite (HA) coating on commercially pure titanium was prepared by micro-arc oxidation (MAO) in electrolytic solution containing calcium acetate and {beta}-glycerol phosphate disodium salt pentahydrate ({beta}-GP). The thickness, phase, composition morphology and biocompatibility of the oxide coating were characterized by x-ray diffraction (XRD), electron probe microanalysis (EPMA), scanning electron microscopy (SEM) with an energy dispersive x-ray spectrometer (EDS) and cell culture. The thickness of the MAO film was about 20 {mu}m, and the coating was porous and uneven without any apparent interface to the titanium substrates. The result of XRD showed that the porous coating was made up of HA film. The favorable osteoblast cell affinity gives HA film good biocompatibility. HA coatings are expected to have significant uses for medical applications such as dental implants and artificial bone joints.

  15. Hydroxyapatite coatings produced on commercially pure titanium by micro-arc oxidation.

    Science.gov (United States)

    Huang, Yong; Wang, Yingjun; Ning, Chengyun; Nan, Kaihui; Han, Yong

    2007-09-01

    A porous hydroxyapatite (HA) coating on commercially pure titanium was prepared by micro-arc oxidation (MAO) in electrolytic solution containing calcium acetate and beta-glycerol phosphate disodium salt pentahydrate (beta-GP). The thickness, phase, composition morphology and biocompatibility of the oxide coating were characterized by x-ray diffraction (XRD), electron probe microanalysis (EPMA), scanning electron microscopy (SEM) with an energy dispersive x-ray spectrometer (EDS) and cell culture. The thickness of the MAO film was about 20 microm, and the coating was porous and uneven without any apparent interface to the titanium substrates. The result of XRD showed that the porous coating was made up of HA film. The favorable osteoblast cell affinity gives HA film good biocompatibility. HA coatings are expected to have significant uses for medical applications such as dental implants and artificial bone joints.

  16. Hydroxyapatite coatings produced on commercially pure titanium by micro-arc oxidation

    International Nuclear Information System (INIS)

    Huang Yong; Wang Yingjun; Ning Chengyun; Nan Kaihui; Han Yong

    2007-01-01

    A porous hydroxyapatite (HA) coating on commercially pure titanium was prepared by micro-arc oxidation (MAO) in electrolytic solution containing calcium acetate and β-glycerol phosphate disodium salt pentahydrate (β-GP). The thickness, phase, composition morphology and biocompatibility of the oxide coating were characterized by x-ray diffraction (XRD), electron probe microanalysis (EPMA), scanning electron microscopy (SEM) with an energy dispersive x-ray spectrometer (EDS) and cell culture. The thickness of the MAO film was about 20 μm, and the coating was porous and uneven without any apparent interface to the titanium substrates. The result of XRD showed that the porous coating was made up of HA film. The favorable osteoblast cell affinity gives HA film good biocompatibility. HA coatings are expected to have significant uses for medical applications such as dental implants and artificial bone joints

  17. Electrical instability of InGaZnO thin-film transistors with and without titanium sub-oxide layer under light illumination

    Science.gov (United States)

    Chiu, Y. C.; Zheng, Z. W.; Cheng, C. H.; Chen, P. C.; Yen, S. S.; Fan, C. C.; Hsu, H. H.; Kao, H. L.; Chang, C. Y.

    2017-03-01

    The electrical instability behaviors of amorphous indium-gallium-zinc oxide thin-film transistors with and without titanium sub-oxide passivation layer were investigated under light illumination in this study. For the unpassivated IGZO TFT device, in contrast with the dark case, a noticeable increase of the sub-threshold swing was observed when under the illumination environment, which can be attributed to the generation of ionized oxygen vacancies within the α-IGZO active layer by high energy photons. For the passivated TFT device, the much smaller SS of 70 mV/dec and high device mobility of >100 cm2/Vs at a drive voltage of 3 V with negligible degradation under light illumination are achieved due to the passivation effect of n-type titanium sub-oxide semiconductor, which may create potential application for high-performance display.

  18. Non-classical polycrystalline silicon thin-film transistor with embedded block-oxide for suppressing the short channel effect

    International Nuclear Information System (INIS)

    Lin, Jyi-Tsong; Huang, Kuo-Dong; Hu, Shu-Fen

    2008-01-01

    In this paper, a polycrystalline silicon (polysilicon) thin-film transistor with a block oxide enclosing body, BTFT, is fabricated and investigated. By utilizing the block-oxide structure of thin-film transistors, the BTFT is shown to suppress the short channel effect. This proposed structure is formed by burying self-aligned oxide spacers along the sidewalls of the source and drain junctions, which reduces the P–N junction area, thereby reducing the junction capacitance and leakage current. Measurements demonstrate that the BTFT eliminates the punch-through effect even down to gate lengths of 1.5 µm, whereas the conventional TFT suffers serious short channel effects at this gate length

  19. ZnO transparent conductive oxide for thin film silicon solar cells

    Science.gov (United States)

    Söderström, T.; Dominé, D.; Feltrin, A.; Despeisse, M.; Meillaud, F.; Bugnon, G.; Boccard, M.; Cuony, P.; Haug, F.-J.; Faÿ, S.; Nicolay, S.; Ballif, C.

    2010-03-01

    There is general agreement that the future production of electric energy has to be renewable and sustainable in the long term. Photovoltaic (PV) is booming with more than 7GW produced in 2008 and will therefore play an important role in the future electricity supply mix. Currently, crystalline silicon (c-Si) dominates the market with a share of about 90%. Reducing the cost per watt peak and energy pay back time of PV was the major concern of the last decade and remains the main challenge today. For that, thin film silicon solar cells has a strong potential because it allies the strength of c-Si (i.e. durability, abundancy, non toxicity) together with reduced material usage, lower temperature processes and monolithic interconnection. One of the technological key points is the transparent conductive oxide (TCO) used for front contact, barrier layer or intermediate reflector. In this paper, we report on the versatility of ZnO grown by low pressure chemical vapor deposition (ZnO LP-CVD) and its application in thin film silicon solar cells. In particular, we focus on the transparency, the morphology of the textured surface and its effects on the light in-coupling for micromorph tandem cells in both the substrate (n-i-p) and superstrate (p-i-n) configurations. The stabilized efficiencies achieved in Neuchâtel are 11.2% and 9.8% for p-i-n (without ARC) and n-i-p (plastic substrate), respectively.

  20. Synthesis of self-detached nanoporous titanium-based metal oxide

    International Nuclear Information System (INIS)

    Hu, F.; Wen, Y.; Chan, K.C.; Yue, T.M.; Zhou, Y.Z.; Zhu, S.L.; Yang, X.J.

    2015-01-01

    In this study, self-detached nanoporous titanium-based metal oxide was synthesized for the first time by ultrafast anodization in a fluoride-free electrolyte containing 10% HNO 3 . The nanoporous oxide has through-holes with diameters ranging from 10 to 60 nm. The as-formed oxides are amorphous, and were transformed to crystalline structures by annealing. The performance of a dye sensitized solar cell using nanoporpous Ti–10Zr oxide (TZ10) was further studied. It was found that the TZ10 film could increase both the short-circuit current and the open-circuit photovoltage of the solar cell. The overall efficiency of the solar cell was 6.99%, an increase of 20.7% as compared to that using a pure TiO 2 (P25) film. - Graphical abstract: The nanoporous Ti–xZr(x=10, 30) oxide layers are fabricated by anodizing in a dilute nitric acid solvent. The power conversion efficiency of the DSSC by a covering of a Ti–10Zr thin film is increased by 20.7%, with an η of 7.69% , a short circuit current of 12.4 mA/cm 2 , a open circuit voltage of 0.833 V, and a fill factor of 0.679. - Highlights: • Self-detached nanoporous titanium-based metal (TiZr) oxide was synthesized. • The TiZr oxides have through-hole nanopores with diameters ranging from 10 to 60 nm. • The nanoporous Ti–10Zr oxide can improve the power conversion efficiency of a DSSC

  1. Synthesis of self-detached nanoporous titanium-based metal oxide

    Energy Technology Data Exchange (ETDEWEB)

    Hu, F. [Advanced Manufacturing Technology Research Center, Department of Industrial and Systems Engineering, The Hong Kong Polytechnic University (Hong Kong); Jiangxi Key Laboratory of Advanced Ceramic Materials, School of Materials Science and Engineering, Jingdezhen Ceramic Institute, Jiangxi 343001 (China); Wen, Y. [Jiangxi Key Laboratory of Advanced Ceramic Materials, School of Materials Science and Engineering, Jingdezhen Ceramic Institute, Jiangxi 343001 (China); Chan, K.C., E-mail: mfkcchan@inet.polyu.edu.hk [Advanced Manufacturing Technology Research Center, Department of Industrial and Systems Engineering, The Hong Kong Polytechnic University (Hong Kong); Yue, T.M. [Advanced Manufacturing Technology Research Center, Department of Industrial and Systems Engineering, The Hong Kong Polytechnic University (Hong Kong); Zhou, Y.Z. [Jiangxi Key Laboratory of Advanced Ceramic Materials, School of Materials Science and Engineering, Jingdezhen Ceramic Institute, Jiangxi 343001 (China); Zhu, S.L.; Yang, X.J. [School of Materials Science and Engineering, Tianjin University, Tianjin 300072 (China)

    2015-09-15

    In this study, self-detached nanoporous titanium-based metal oxide was synthesized for the first time by ultrafast anodization in a fluoride-free electrolyte containing 10% HNO{sub 3}. The nanoporous oxide has through-holes with diameters ranging from 10 to 60 nm. The as-formed oxides are amorphous, and were transformed to crystalline structures by annealing. The performance of a dye sensitized solar cell using nanoporpous Ti–10Zr oxide (TZ10) was further studied. It was found that the TZ10 film could increase both the short-circuit current and the open-circuit photovoltage of the solar cell. The overall efficiency of the solar cell was 6.99%, an increase of 20.7% as compared to that using a pure TiO{sub 2} (P25) film. - Graphical abstract: The nanoporous Ti–xZr(x=10, 30) oxide layers are fabricated by anodizing in a dilute nitric acid solvent. The power conversion efficiency of the DSSC by a covering of a Ti–10Zr thin film is increased by 20.7%, with an η of 7.69% , a short circuit current of 12.4 mA/cm{sup 2}, a open circuit voltage of 0.833 V, and a fill factor of 0.679. - Highlights: • Self-detached nanoporous titanium-based metal (TiZr) oxide was synthesized. • The TiZr oxides have through-hole nanopores with diameters ranging from 10 to 60 nm. • The nanoporous Ti–10Zr oxide can improve the power conversion efficiency of a DSSC.

  2. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    Science.gov (United States)

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  3. Nickel silicide thin films as masking and structural layers for silicon bulk micro-machining by potassium hydroxide wet etching

    International Nuclear Information System (INIS)

    Bhaskaran, M; Sriram, S; Sim, L W

    2008-01-01

    This paper studies the feasibility of using titanium and nickel silicide thin films as mask materials for silicon bulk micro-machining. Thin films of nickel silicide were found to be more resistant to wet etching in potassium hydroxide. The use of nickel silicide as a structural material, by fabricating micro-beams of varying dimensions, is demonstrated. The micro-structures were realized using these thin films with wet etching using potassium hydroxide solution on (1 0 0) and (1 1 0) silicon substrates. These results show that nickel silicide is a suitable alternative to silicon nitride for silicon bulk micro-machining

  4. Sealing glasses for titanium and titanium alloys

    Science.gov (United States)

    Brow, Richard K.; McCollister, Howard L.; Phifer, Carol C.; Day, Delbert E.

    1997-01-01

    Barium lanthanoborate sealing-glass compositions are provided comprising various combinations (in terms of mole-%) of boron oxide (B.sub.2 O.sub.3), barium oxide (BaO), lanthanum oxide (La.sub.2 O.sub.3), and at least one other oxide selected from the group consisting of aluminum oxide (Al.sub.2 O.sub.3), calcium oxide (CaO), lithium oxide (Li.sub.2 O), sodium oxide (Na.sub.2 O), silicon dioxide (SiO.sub.2), or titanium dioxide (TiO.sub.2). These sealing-glass compositions are useful for forming hermetic glass-to-metal seals with titanium and titanium alloys having an improved aqueous durability and favorable sealing characteristics. Examples of the sealing-glass compositions are provided having coefficients of thermal expansion about that of titanium or titanium alloys, and with sealing temperatures less than about 900.degree. C., and generally about 700.degree.-800.degree. C. The barium lanthanoborate sealing-glass compositions are useful for components and devices requiring prolonged exposure to moisture or water, and for implanted biomedical devices (e.g. batteries, pacemakers, defibrillators, pumps).

  5. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    OpenAIRE

    Geissbühler Jonas; Werner Jérémie; Martin de Nicolas Silvia; Barraud Loris; Hessler-Wyser Aïcha; Despeisse Matthieu; Nicolay Sylvain; Tomasi Andrea; Niesen Bjoern; De Wolf Stefaan; Ballif Christophe

    2015-01-01

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p type amorphous silicon with molybdenum oxide films. In this article we evidence that annealing above 130?°C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited c...

  6. Transmission Electron Microscopy Studies of Electron-Selective Titanium Oxide Contacts in Silicon Solar Cells

    KAUST Repository

    Ali, Haider

    2017-08-15

    In this study, the cross-section of electron-selective titanium oxide (TiO2) contacts for n-type crystalline silicon solar cells were investigated by transmission electron microscopy. It was revealed that the excellent cell efficiency of 21.6% obtained on n-type cells, featuring SiO2/TiO2/Al rear contacts and after forming gas annealing (FGA) at 350°C, is due to strong surface passivation of SiO2/TiO2 stack as well as low contact resistivity at the Si/SiO2/TiO2 heterojunction. This can be attributed to the transformation of amorphous TiO2 to a conducting TiO2-x phase. Conversely, the low efficiency (9.8%) obtained on cells featuring an a-Si:H/TiO2/Al rear contact is due to severe degradation of passivation of the a-Si:H upon FGA.

  7. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    Energy Technology Data Exchange (ETDEWEB)

    Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia; Hessler-Wyser, Aïcha; Tomasi, Andrea; Niesen, Bjoern; De Wolf, Stefaan [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); Barraud, Loris; Despeisse, Matthieu; Nicolay, Sylvain [CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland); Ballif, Christophe [Photovoltaics and Thin Film Electronics Laboratory, Institute of Microengineering (IMT), École Polytechnique Fédérale de Lausanne (EPFL), Rue de la Maladière 71b, CH-2000 Neuchâtel (Switzerland); CSEM PV-Center, Jaquet-Droz 1, CH-2000 Neuchâtel (Switzerland)

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  8. Hydrogenated amorphous silicon coatings may modulate gingival cell response

    Science.gov (United States)

    Mussano, F.; Genova, T.; Laurenti, M.; Munaron, L.; Pirri, C. F.; Rivolo, P.; Carossa, S.; Mandracci, P.

    2018-04-01

    Silicon-based materials present a high potential for dental implant applications, since silicon has been proven necessary for the correct bone formation in animals and humans. Notably, the addition of silicon is effective to enhance the bioactivity of hydroxyapatite and other biomaterials. The present work aims to expand the knowledge of the role exerted by hydrogen in the biological interaction of silicon-based materials, comparing two hydrogenated amorphous silicon coatings, with different hydrogen content, as means to enhance soft tissue cell adhesion. To accomplish this task, the films were produced by plasma enhanced chemical vapor deposition (PECVD) on titanium substrates and their surface composition and hydrogen content were analyzed by means of X-ray photoelectron spectroscopy (XPS) and Fourier-transform infrared spectrophotometry (FTIR) respectively. The surface energy and roughness were measured through optical contact angle analysis (OCA) and high-resolution mechanical profilometry respectively. Coated surfaces showed a slightly lower roughness, compared to bare titanium samples, regardless of the hydrogen content. The early cell responses of human keratinocytes and fibroblasts were tested on the above mentioned surface modifications, in terms of cell adhesion, viability and morphometrical assessment. Films with lower hydrogen content were endowed with a surface energy comparable to the titanium surfaces. Films with higher hydrogen incorporation displayed a lower surface oxidation and a considerably lower surface energy, compared to the less hydrogenated samples. As regards mean cell area and focal adhesion density, both a-Si coatings influenced fibroblasts, but had no significant effects on keratinocytes. On the contrary, hydrogen-rich films increased manifolds the adhesion and viability of keratinocytes, but not of fibroblasts, suggesting a selective biological effect on these cells.

  9. Application of photo-excitation reaction on titanium oxide thin film for control of wettability; Sanka chitann hakumakujo no hikari reiki hanno no nuresei seigyo eno oyo

    Energy Technology Data Exchange (ETDEWEB)

    Watanabe, T.; Nakajima, A.; Hashimoto, K. [The Univ. of Tokyo, Tokyo (Japan); Takada, Y. [Kyushu Univ., Fukuoka (Japan)

    2000-03-31

    It is clarified that the photo-excitation hydrophilic reaction increasing wettability remarkably is induced by changing surface structure of titanium oxide radiated light. There are already many examples being in practical use of coating products applied hydrophilic reaction of titanium oxide surface such as drip-proof side millers for automobiles, self-cleaning building materials, and the like. When surface of titanium oxide having high activities for oxidisation and decomposition is coated organic materials and radiated light, wettability of surface changes as organic materials are decomposed. If it is possible to change wettability shaping pattern drastically by radiating light, the possibility of application for printing materials will be developed. After increasing contact angle by coating water and oil repellent on the titanium oxide thin film, images can be shaped by radiating light into pattern for changing surface of titanium oxide to be ultra hydrophilicity as decomposition of repellent. At that time, contact angle is 150 degree in water, 80 degree in oil, for not radiated aria, and is 0 degree in water and oil for radiated aria. Application for control technology of wettability keeps possibility of broader development to itself, not staying ability of self-cleaning and drip-proof. (NEDO)

  10. Titanium Oxide/Platinum Catalysis: Charge Transfer from a Titanium Oxide Support Controls Activity and Selectivity in Methanol Oxidation on Platinum

    KAUST Repository

    Hervier, Antoine

    2011-11-24

    Platinum films of 1 nm thickness were deposited by electron beam evaporation onto 100 nm thick titanium oxide films (TiOx) with variable oxygen vacancy concentrations and fluorine (F) doping. Methanol oxidation on the platinum films produced formaldehyde, methyl formate, and carbon dioxide. F-doped samples demonstrated significantly higher activity for methanol oxidation when the TiOx was stoichiometric (TiO 2), but lower activity when it was nonstoichiometric (TiO 1.7 and TiO1.9). These results correlate with the chemical behavior of the same types of catalysts in CO oxidation. Fluorine doping of stoichiometric TiO2 also increased selectivity toward partial oxidation of methanol to formaldehyde and methyl formate, but had an opposite effect in the case of nonstoichiometric TiOx. Introduction of oxygen vacancies and fluorine doping both increased the conductivity of the TiO x film. For oxygen vacancies, this occurred by the formation of a conduction channel in the band gap, whereas in the case of fluorine doping, F acted as an n-type donor, forming a conduction channel at the bottom of the conduction band, about 0.5-1.0 eV higher in energy. The higher energy electrons in F-doped stoichiometric TiOx led to higher turnover rates and increased selectivity toward partial oxidation of methanol. This correlation between electronic structure and turnover rate and selectivity indicates that the ability of the support to transfer charges to surface species controls in part the activity and selectivity of the reaction. © 2011 American Chemical Society.

  11. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  12. Titanium dioxide thin films for high temperature gas sensors

    Energy Technology Data Exchange (ETDEWEB)

    Seeley, Zachary Mark; Bandyopadhyay, Amit; Bose, Susmita, E-mail: sbose@wsu.ed

    2010-10-29

    Titanium dioxide (TiO{sub 2}) thin film gas sensors were fabricated via the sol-gel method from a starting solution of titanium isopropoxide dissolved in methoxyethanol. Spin coating was used to deposit the sol on electroded aluminum oxide (Al{sub 2}O{sub 3}) substrates forming a film 1 {mu}m thick. The influence of crystallization temperature and operating temperature on crystalline phase, grain size, electronic conduction activation energy, and gas sensing response toward carbon monoxide (CO) and methane (CH{sub 4}) was studied. Pure anatase phase was found with crystallization temperatures up to 800 {sup o}C, however, rutile began to form by 900 {sup o}C. Grain size increased with increasing calcination temperature. Activation energy was dependent on crystallite size and phase. Sensing response toward CO and CH{sub 4} was dependent on both calcination and operating temperatures. Films crystallized at 650 {sup o}C and operated at 450 {sup o}C showed the best selectivity toward CO.

  13. Physical and electrical characteristics of silicon oxynitride films with various refractive indices

    Energy Technology Data Exchange (ETDEWEB)

    Liao, Jeng-Hwa; Hsieh, Jung-Yu; Lin, Hsing-Ju; Tang, Wei-Yao; Chiang, Chun-Ling; Yang, Ling-Wu; Yang, Tahone; Chen, Kuang-Chao; Lu, Chih-Yuan [Macronix International Co. Ltd, No 16, Li-Hsin Road, Hsinchu Science Park, Hsinchu 300, Taiwan (China); Lo, Yun-Shan; Wu, Tai-Bor, E-mail: jhliao@mxic.com.t [Department of Materials Science and Engineering, National Tsing Hua University, Hsinchu 300, Taiwan (China)

    2009-09-07

    This study explores the relationship between both the physical and the electrical characteristics of silicon oxynitride (SiON) films and the refractive index. The single wafer rapid thermal process modules were used for low pressure chemical vapour deposition of SiON films. A series of SiON films with refractive index between 1.50 and 1.83 were fabricated. Fourier transform infrared absorption spectroscopy and x-ray photoelectron spectroscopy identified the chemical bonding configurations of different SiON films: the Si-N bonds are replaced by Si-O bonds as the refractive index of the SiON films declines. Moreover, the Si atomic ratio is kept between 35% and 40% while the oxygen atomic ratio increases and the nitrogen atomic ratio decreases as the refractive index of the SiON film declines. The electrical characteristics of different SiON-based silicon-oxide-nitride-oxide-silicon (SONOS) devices suggest that (1) the dielectric constant increases with increasing refractive index of the SiON film and (2) the charge-trap density is inversely proportional to the oxygen concentration in the SiON film. Based on these results, the SiON films with various refractive indices can provide a wider application for silicon-based devices, such as SONOS and MOS devices.

  14. Physical and electrical characteristics of silicon oxynitride films with various refractive indices

    International Nuclear Information System (INIS)

    Liao, Jeng-Hwa; Hsieh, Jung-Yu; Lin, Hsing-Ju; Tang, Wei-Yao; Chiang, Chun-Ling; Yang, Ling-Wu; Yang, Tahone; Chen, Kuang-Chao; Lu, Chih-Yuan; Lo, Yun-Shan; Wu, Tai-Bor

    2009-01-01

    This study explores the relationship between both the physical and the electrical characteristics of silicon oxynitride (SiON) films and the refractive index. The single wafer rapid thermal process modules were used for low pressure chemical vapour deposition of SiON films. A series of SiON films with refractive index between 1.50 and 1.83 were fabricated. Fourier transform infrared absorption spectroscopy and x-ray photoelectron spectroscopy identified the chemical bonding configurations of different SiON films: the Si-N bonds are replaced by Si-O bonds as the refractive index of the SiON films declines. Moreover, the Si atomic ratio is kept between 35% and 40% while the oxygen atomic ratio increases and the nitrogen atomic ratio decreases as the refractive index of the SiON film declines. The electrical characteristics of different SiON-based silicon-oxide-nitride-oxide-silicon (SONOS) devices suggest that (1) the dielectric constant increases with increasing refractive index of the SiON film and (2) the charge-trap density is inversely proportional to the oxygen concentration in the SiON film. Based on these results, the SiON films with various refractive indices can provide a wider application for silicon-based devices, such as SONOS and MOS devices.

  15. The effect of substrate bias on titanium carbide/amorphous carbon nanocomposite films deposited by filtered cathodic vacuum arc

    International Nuclear Information System (INIS)

    Zhang, Xu; Liang, Hong; Wu, Zhenglong; Wu, Xiangying; Zhang, Huixing

    2013-01-01

    The titanium carbide/amorphous carbon nanocomposite films have been deposited on silicon substrate by filtered cathodic vacuum arc (FCVA) technology, the effects of substrate bias on composition, structures and mechanical properties of the films are studied by scanning electron spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy and nano-indentation. The results show that the Ti content, deposition rate and hardness at first increase and then decrease with increasing the substrate bias. Maximum hardness of the titanium carbide/amorphous carbon nanocomposite film is 51 Gpa prepared at −400 V. The hardness enhancement may be attributed to the compressive stress and the fraction of crystalline TiC phase due to ion bombardment

  16. Surface electronic and structural properties of nanostructured titanium oxide grown by pulsed laser deposition

    NARCIS (Netherlands)

    Fusi, M.; Maccallini, E.; Caruso, T.; Casari, C. S.; Bassi, A. Li; Bottani, C. E.; Rudolf, P.; Prince, K. C.; Agostino, R. G.

    Titanium oxide nanostructured thin films synthesized by pulsed laser deposition (PLD) were here characterized with a multi-technique approach to investigate the relation between surface electronic, structural and morphological properties. Depending on the growth parameters, these films present

  17. Effects of RF plasma treatment on spray-pyrolyzed copper oxide films on silicon substrates

    Science.gov (United States)

    Madera, Rozen Grace B.; Martinez, Melanie M.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    The effects of radio-frequency (RF) argon (Ar) plasma treatment on the structural, morphological, electrical and compositional properties of the spray-pyrolyzed p-type copper oxide films on n-type (100) silicon (Si) substrates were investigated. The films were successfully synthesized using 0.3 M copper acetate monohydrate sprayed on precut Si substrates maintained at 350 °C. X-ray diffraction revealed cupric oxide (CuO) with a monoclinic structure. An apparent improvement in crystallinity was realized after Ar plasma treatment, attributed to the removal of residues contaminating the surface. Scanning electron microscope images showed agglomerated monoclinic grains and revealed a reduction in size upon plasma exposure induced by the sputtering effect. The current-voltage characteristics of CuO/Si showed a rectifying behavior after Ar plasma exposure with an increase in turn-on voltage. Four-point probe measurements revealed a decrease in sheet resistance after plasma irradiation. Fourier transform infrared spectral analyses also showed O-H and C-O bands on the films. This work was able to produce CuO thin films via spray pyrolysis on Si substrates and enhancement in their properties by applying postdeposition Ar plasma treatment.

  18. Changes in the structural and electrical properties of vacuum post-annealed tungsten- and titanium-doped indium oxide films deposited by radio frequency magnetron sputtering

    NARCIS (Netherlands)

    Yan, L.T.; Schropp, R.E.I.

    2011-01-01

    Tungsten- and titanium-doped indium oxide (IWO and ITiO) filmswere deposited at room temperature by radio frequency (RF) magnetron sputtering, and vacuum post-annealing was used to improve the electron mobility. With increasing deposition power, the as deposited films showed an increasingly

  19. Effect of silver on the phase transition and wettability of titanium oxide films

    Science.gov (United States)

    Mosquera, Adolfo A.; Albella, Jose M.; Navarro, Violeta; Bhattacharyya, Debabrata; Endrino, Jose L.

    2016-01-01

    The effect of silver on the phase transition and microstructure of titanium oxide films grown by pulsed cathodic arc had been investigated by XRD, SEM and Raman spectroscopy. Following successive thermal annealing up to 1000 °C, microstructural analysis of annealed Ag-TiO2 films reveals that the incorporation of Ag nanoparticles strongly affects the transition temperature from the initial metastable amorphous phase to anatase and stable rutile phase. An increase of silver content into TiO2 matrix inhibits the amorphous to anatase phase transition, raising its temperature boundary and, simultaneously reduces the transition temperature to promote rutile structure at lower value of 600 °C. The results are interpreted in terms of the steric effects produced by agglomeration of Ag atoms into larger clusters following annealing which hinders diffusion of Ti and O ions for anatase formation and constrains the volume available for the anatase lattice, thus disrupting its structure to form rutile phase. The effect of silver on the optical and wetting properties of TiO2 was evaluated to demonstrate its improved photocatalytic performance. PMID:27571937

  20. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  1. Electrochemical and hydrothermal deposition of ZnO on silicon: from continuous films to nanocrystals

    International Nuclear Information System (INIS)

    Balucani, M.; Nenzi, P.; Chubenko, E.; Klyshko, A.; Bondarenko, V.

    2011-01-01

    This article presents the study of the electrochemical deposition of zinc oxide from the non-aqueous solution based on dimethyl sulfoxide and zinc chloride into the porous silicon matrix. The features of the deposition process depending on the thickness of the porous silicon layer are presented. It is shown that after deposition process the porous silicon matrix is filled with zinc oxide nanocrystals with a diameter of 10–50 nm. The electrochemically deposited zinc oxide layers on top of porous silicon are shown to have a crystalline structure. It is also shown that zinc oxide crystals formed by hydrothermal method on the surface of electrochemically deposited zinc oxide film demonstrate ultra-violet luminescence. The effect of the porous silicon layer thickness on the morphology of the zinc oxide is shown. The structures obtained demonstrated two luminescence bands peaking at the 375 and 600 nm wavelengths. Possible applications of ZnO nanostructures, porous and continuous polycrystalline ZnO films such as gas sensors, light-emitting diodes, photovoltaic devices, and nanopiezo energy generators are considered. Aspects of integration with conventional silicon technology are also discussed.

  2. Optical and electrical characteristics of zirconium oxide thin films deposited on silicon substrates by spray pyrolysis

    International Nuclear Information System (INIS)

    Aguilar-Frutis, M.; Araiza, J.J.; Falcony, C.; Garcia, M.

    2002-01-01

    The optical and electrical characteristics of zirconium oxide thin films deposited by spray pyrolysis on silicon substrates are reported. The films were deposited from a spraying solution of zirconium acetylacetonate in N,N-dimethylformamide using an ultrasonic mist generator on (100) Si substrates. The substrate temperature during deposition was in the range of 400 to 600 grad C. Deposition rates up to 16 A/sec were obtained depending on the spraying solution concentration and on the substrate temperature. A refraction index of the order of 2.0 was measured on these films by ellipsometry. The electrical characteristics of the films were determined from the capacitance and current versus voltage measurements. The addition of water mist during the spraying deposition process was also studied in the characteristics of the films. (Authors)

  3. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  4. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  5. Anodic oxidation of commercially pure titanium for purification of polluted water

    Science.gov (United States)

    Benkafada, Faouzia; Kerdoud, Djahida; Bouchoucha, Ali

    2018-05-01

    Anodisation of pure titanium has been carried out in sulphuric acid solution at potentials ranging from 40 V to 5 days. We studied the parameters influencing the anodic deposition such as acid concentration and anodic periods. Anodic oxides thin films were characterized by X-ray diffraction, cyclic polarization and electrochemical impedance spectroscopy. The I-V curves and electrochemical impedance measurements were carried out in 0.1 N NaOH solution. The results indicated that although the thin films obtained by anodic oxidation are nonstoichiometric, they have an electric behaviour like n-type semiconducting material.

  6. Effect of tantalum content of titanium oxide film fabricated by magnetron sputtering on the behavior of cultured human umbilical vein endothelial cells (HUVEC)

    International Nuclear Information System (INIS)

    Chen, J.Y.; Leng, Y.X.; Zhang, X.

    2006-01-01

    In this work, we synthesized titanium oxide thin films containing different tantalum using magnetron sputtering to meet the challenge of enhanced biocompatibility. The structure characteristics of the films were characterized using X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). The biological behavior of human umbilical vein endothelial cells (HUVECs) on the film surface was investigated by in vitro cell culture. Study of cultured HUVEC onto films revealed that the growth and proliferation behavior of EC were varied significantly due to the different Ta content which resulting the characterization of films is different. The adherence, growth, shape and proliferation of EC on Ti-O film with high Ta content and smoother surface was excellent

  7. Preparation of titanium oxide and metal titanates as powders, thin films, and microspheres by complex sol-gel process

    International Nuclear Information System (INIS)

    Deptula, A.; Olczak, T.; Lada, W.; Chmielewski, A.G.; Jakubaszek, U.; Sartowska, B.; Goretta, K.C.; Alvani, C.; Casadio, S.; Contini, V.

    2006-01-01

    Titanium oxide, for many years an important pigment, has recently been applied widely as a photocatalyst or as supports for metallic catalysts, gas sensors, photovoltaic solar cells, and water and air purification devices. Titanium oxide (TiO 2 ) and titanates based on Ba, Sr and Ca were prepared from commercial solutions of TiCl 4 and HNO 3 . The main preparation steps for the sols consisted of elimination of Cl - by distillation with HNO 3 and addition of metal hydroxides for the titanates. Resulting sols were gelled and used to: (a) prepare irregularly shaped powders by evaporation; (b) produce by a dipping technique thin films on glass, Ag or Ti supports; (c) produce spherical powders (diameters <100 μm) by solvent extraction. Results of thermal and X-ray-diffraction analyses indicated that the temperatures required to form the various compounds were lower than those necessary to form the compounds by conventional solid-state reactions and comparable to those required with use of organometallic based sol-gel methods. Temperatures of formation could be further reduced by addition of ascorbic acid (ASC) to the sols

  8. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  9. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  10. Titanium oxide fever

    International Nuclear Information System (INIS)

    De Jonge, D.; Visser, J.

    2012-01-01

    One measure to improve air quality is to apply photo-catalytic substances that capture NOx onto the road surface or onto baffle boards alongside the roads. The effect of titanium oxide containing clinkers with coating was discussed in the report 'Demonstration project of air-purifying pavement in Hengelo, The Netherlands' that was published in May 2011. This article examines the way in which the effectiveness of this study was determined. Can titanium oxide containing clinkers and coatings indeed capture NOx?. [nl

  11. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    Science.gov (United States)

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  12. Modification of oxide films by ion implantation: TiO2-films modified by Ti+ and O+ as example

    International Nuclear Information System (INIS)

    Schultze, J.W.; Elfenthal, L.; Leitner, K.; Meyer, O.

    1988-01-01

    Oxide films can be modified by ion implantation. Changes in the electrochemical properties of the films are due to the deposition profile of the implanted ion, ie doping and stoichiometric changes, as well as to the radiation damage. The latter is due to the formation of Frenkel defects and at high concentrations to a complete amorphization of the oxide film. TiOsub(x)-films with 1 + - and O + -ions into anodic oxide films on titanium. The electrode capacity shows always the behaviour of an n-type semiconductor with an almost constant flatband potential but a strong maximum donor concentration at about 3% Ti + concentration. Oxygen implantation, on the other hand, causes a small increase of donor concentration only at high concentration of O + . Electron transfer reactions show strong modifications of the electronic behaviour of the oxide film with a maximum again at 3% titanium. Photocurrent spectra prove the increasing amorphization and show interband states 2.6 eV above the VB or below the CB. During repassivation measurements at various potentials different defects formed by Ti + - and O + -implantation become mobile. A tentative model of the band structure is constructed which takes into account the interband states due to localised Ti + - and O + -ions. The modification of ion implanted oxide films is compared with the effects of other preparation techniques. (author)

  13. Oxygen Partial Pressure Impact on Characteristics of Indium Titanium Zinc Oxide Thin Film Transistor Fabricated via RF Sputtering.

    Science.gov (United States)

    Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Wu, Wei-Ting; Li, Jyun-Yi

    2017-06-26

    Indium titanium zinc oxide (InTiZnO) as the channel layer in thin film transistor (TFT) grown by RF sputtering system is proposed in this work. Optical and electrical properties were investigated. By changing the oxygen flow ratio, we can suppress excess and undesirable oxygen-related defects to some extent, making it possible to fabricate the optimized device. XPS patterns for O 1s of InTiZnO thin films indicated that the amount of oxygen vacancy was apparently declined with the increasing oxygen flow ratio. The fabricated TFTs showed a threshold voltage of -0.9 V, mobility of 0.884 cm²/Vs, on-off ratio of 5.5 × 10⁵, and subthreshold swing of 0.41 V/dec.

  14. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  15. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  16. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  17. Comparative study of the biodegradability of porous silicon films in simulated body fluid.

    Science.gov (United States)

    Peckham, J; Andrews, G T

    2015-01-01

    The biodegradability of oxidized microporous, mesoporous and macroporous silicon films in a simulated body fluid with ion concentrations similar to those found in human blood plasma were studied using gravimetry. Film dissolution rates were determined by periodically weighing the samples after removal from the fluid. The dissolution rates for microporous silicon were found to be higher than those for mesoporous silicon of comparable porosity. The dissolution rate of macroporous silicon was much lower than that for either microporous or mesoporous silicon. This is attributed to the fact that its specific surface area is much lower than that of microporous and mesoporous silicon. Using an equation adapted from [Surf. Sci. Lett. 306 (1994), L550-L554], the dissolution rate of porous silicon in simulated body fluid can be estimated if the film thickness and specific surface area are known.

  18. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  19. Influence of titanium and vanadium on the hydrogen transport through amorphous alumina films

    Energy Technology Data Exchange (ETDEWEB)

    Palsson, G.K. [Department of Physics, Uppsala University, Box 530, S-751 21 Uppsala (Sweden); Wang, Y.T. [Department of Physics, Uppsala University, Box 530, S-751 21 Uppsala (Sweden); Azofeifa, D. [Centro de Investigacion en Ciencia e Ingenieria de Materiales and Escuela de Fisica, Universidad de Costa Rica, San Jose (Costa Rica); Raanaei, H. [Department of Physics, Uppsala University, Box 530, S-751 21 Uppsala (Sweden); Department of Physics, Persian Gulf University, Bushehr 75168 (Iran, Islamic Republic of); Sahlberg, M. [Department of Materials Chemistry, Uppsala University, Box 538, S-751 21 Uppsala (Sweden); Hjoervarsson, B. [Department of Physics, Uppsala University, Box 530, S-751 21 Uppsala (Sweden)

    2010-04-02

    The influence of titanium and vanadium on the hydrogen transport rate through thin amorphous alumina films is addressed. Only small changes in the transport rate are observed when the Al{sub 2}O{sub 3} are covered with titanium or vanadium. This is in stark contrast to results with a Pd overlayer, which enhances the transport by an order of magnitude. Similarly, when titanium is embedded into the alumina the transport rate is faster than for the covered case but still slower than the undoped reference. Embedding vanadium in the alumina does not yield an increase in uptake rate compared to the vanadium covered oxide layers. These results add to the understanding of the hydrogen uptake of oxidized metals, especially the alanates, where the addition of titanium has been found to significantly enhance the rate of hydrogen uptake. The current findings eliminate two possible routes for the catalysis of alanates by Ti, namely dissociation and effective diffusion short-cuts formed by Ti. Finally, no photocatalytic enhancement was noticed on the titanium covered samples.

  20. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  1. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    Science.gov (United States)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  2. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  3. Transmission electron microscopy of coatings formed by plasma electrolytic oxidation of titanium.

    Science.gov (United States)

    Matykina, E; Arrabal, R; Skeldon, P; Thompson, G E

    2009-05-01

    Transmission electron microscopy and supporting film analyses are used to investigate the changes in composition, morphology and structure of coatings formed on titanium during DC plasma electrolytic oxidation in a calcium- and phosphorus-containing electrolyte. The coatings are of potential interest as bioactive surfaces. The initial barrier film, of mixed amorphous and nanocrystalline structure, formed below the sparking voltage of 180 V, incorporates small amounts of phosphorus and calcium species, with phosphorus confined to the outer approximately 63% of the coating thickness. On commencement of sparking, calcium- and phosphorus-rich amorphous material forms at the coating surface, with local heating promoting crystallization in underlying and adjacent anodic titania. The amorphous material thickens with increased treatment time, comprising almost the whole of the approximately 5.7-microm-thick coating formed at 340 V. At this stage, the coating is approximately 4.4 times thicker than the oxidized titanium, with a near-surface composition of about 12 at.% Ti, 58 at.% O, 19 at.% P and 11 at.% Ca. Further, the amount of titanium consumed in forming the coating is similar to that calculated from the anodizing charge, although there may be non-Faradaic contributions to the coating growth.

  4. Self-assembly silicon/porous reduced graphene oxide composite film as a binder-free and flexible anode for lithium-ion batteries

    International Nuclear Information System (INIS)

    Tang, H.; Zhang, Y.J.; Xiong, Q.Q.; Cheng, J.D.; Zhang, Q.; Wang, X.L.; Gu, C.D.; Tu, J.P.

    2015-01-01

    A Si/porous reduced graphene oxide (rGO) composite film synthesized by evaporation and leavening method are developed as a high-performance anode material for lithium ion batteries. The porous structure as buffer base can effectively release the volume expansion of the silicon particles, increase the electrical conductivity and reduce the transfer resistance of Li ions. The Si/porous rGO composite film presents high specific capacity and good cycling stability (1261 mA h g −1 at 50 mA g −1 up to 70 cycles), as well as enhanced rate capability. This approach to prepare such a unique structure is a low-cost and facile route for the silicon-based anode materials

  5. Laser-Induced, Local Oxidation of Copper Nanoparticle Films During Raman Measurements

    Science.gov (United States)

    Hight Walker, Angela R.; Cheng, Guangjun; Calizo, Irene

    2011-03-01

    The optical properties of gold and silver nanoparticles and their films have been thoroughly investigated as surface enhanced Raman scattering (SERS) substrates and chemical reaction promoters. Similar to gold and silver nanoparticles, copper nanoparticles exhibit distinct plasmon absorptions in the visible region. The work on copper nanoparticles and their films is limited due to their oxidization in air. However, their high reactivity actually provides an opportunity to exploit the laser-induced thermal effect and chemical reactions of these nanoparticles. Here, we present our investigation of the local oxidation of a copper nanoparticle film induced by a visible laser source during Raman spectroscopic measurements. The copper nanoparticle film is prepared by drop-casting chemically synthesized copper colloid onto silicon oxide/silicon substrate. The local oxidation induced by visible lasers in Raman spectroscopy is monitored with the distinct scattering peaks for copper oxides. Optical microscopy and scanning electron microscopy have been used to characterize the laser-induced morphological changes in the film. The results of this oxidation process with different excitation wavelengths and different laser powers will be presented.

  6. Nitrogen doping in atomic layer deposition grown titanium dioxide films by using ammonium hydroxide

    Energy Technology Data Exchange (ETDEWEB)

    Kaeaeriaeinen, M.-L., E-mail: marja-leena.kaariainen@lut.fi; Cameron, D.C.

    2012-12-30

    Titanium dioxide films have been created by atomic layer deposition using titanium chloride as the metal source and a solution of ammonium hydroxide in water as oxidant. Ammonium hydroxide has been used as a source of nitrogen for doping and three thickness series have been deposited at 350 Degree-Sign C. A 15 nm anatase dominated film was found to possess the highest photocatalytic activity in all film series. Furthermore almost three times better photocatalytic activity was discovered in the doped series compared to undoped films. The doped films also had lower resistivity. The results from X-ray photoemission spectroscopy showed evidence for interstitial nitrogen in the titanium dioxide structure. Besides, there was a minor red shift observable in the thickest samples. In addition the film conductivity was discovered to increase with the feeding pressure of ammonium hydroxide in the oxidant precursor. This may indicate that nitrogen doping has caused the decrease in the resistivity and therefore has an impact as an enhanced photocatalytic activity. The hot probe test showed that all the anatase or anatase dominant films were p-type and all the rutile dominant films were n-type. The best photocatalytic activity was shown by anatase-dominant films containing a small amount of rutile. It may be that p-n-junctions are formed between p-type anatase and n-type rutile which cause carrier separation and slow down the recombination rate. The combination of nitrogen doping and p-n junction formation results in superior photocatalytic performance. - Highlights: Black-Right-Pointing-Pointer We found all N-doped and undoped anatase dominating films p-type. Black-Right-Pointing-Pointer We found all N-doped and undoped rutile dominating films n-type. Black-Right-Pointing-Pointer We propose that p-n junctions are formed in anatase-rutile mixture films. Black-Right-Pointing-Pointer We found that low level N-doping has increased TiO{sub 2} conductivity. Black

  7. Oxidation of mullite-zirconia-alumina-silicon carbide composites

    International Nuclear Information System (INIS)

    Baudin, C.; Moya, J.S.

    1990-01-01

    This paper reports the isothermal oxidation of mullite-alumina-zirconia-silicon carbide composites obtained by reaction sintering studied in the temperature interval 800 degrees to 1400 degrees C. The kinetics of the oxidation process was related to the viscosity of the surface glassy layer as well as to the crystallization of the surface film. The oxidation kinetics was halted to T ≤ 1300 degrees C, presumably because of crystallization

  8. Guided self-assembly of nanostructured titanium oxide

    International Nuclear Information System (INIS)

    Wang Baoxiang; Rozynek, Zbigniew; Fossum, Jon Otto; Knudsen, Kenneth D; Yu Yingda

    2012-01-01

    A series of nanostructured titanium oxide particles were synthesized by a simple wet chemical method and characterized by means of small-angle x-ray scattering (SAXS)/wide-angle x-ray scattering (WAXS), atomic force microscope (AFM), scanning electron microscope (SEM), transmission electron microscope (TEM), thermal analysis, and rheometry. Tetrabutyl titanate (TBT) and ethylene glycol (EG) can be combined to form either TiO x nanowires or smooth nanorods, and the molar ratio of TBT:EG determines which of these is obtained. Therefore, TiO x nanorods with a highly rough surface can be obtained by hydrolysis of TBT with the addition of cetyl-trimethyl-ammonium bromide (CTAB) as surfactant in an EG solution. Furthermore, TiO x nanorods with two sharp ends can be obtained by hydrolysis of TBT with the addition of salt (LiCl) in an EG solution. The AFM results show that the TiO x nanorods with rough surfaces are formed by the self-assembly of TiO x nanospheres. The electrorheological (ER) effect was investigated using a suspension of titanium oxide nanowires or nanorods dispersed in silicone oil. Oil suspensions of titanium oxide nanowires or nanorods exhibit a dramatic reorganization when submitted to a strong DC electric field and the particles aggregate to form chain-like structures along the direction of applied electric field. Two-dimensional SAXS images from chains of anisotropically shaped particles exhibit a marked asymmetry in the SAXS patterns, reflecting the preferential self-assembly of the particles in the field. The suspension of rough TiO x nanorods shows stronger ER properties than that of the other nanostructured TiO x particles. We find that the particle surface roughness plays an important role in modification of the dielectric properties and in the enhancement of the ER effect. (paper)

  9. Guided self-assembly of nanostructured titanium oxide

    Science.gov (United States)

    Wang, Baoxiang; Rozynek, Zbigniew; Fossum, Jon Otto; Knudsen, Kenneth D.; Yu, Yingda

    2012-02-01

    A series of nanostructured titanium oxide particles were synthesized by a simple wet chemical method and characterized by means of small-angle x-ray scattering (SAXS)/wide-angle x-ray scattering (WAXS), atomic force microscope (AFM), scanning electron microscope (SEM), transmission electron microscope (TEM), thermal analysis, and rheometry. Tetrabutyl titanate (TBT) and ethylene glycol (EG) can be combined to form either TiOx nanowires or smooth nanorods, and the molar ratio of TBT:EG determines which of these is obtained. Therefore, TiOx nanorods with a highly rough surface can be obtained by hydrolysis of TBT with the addition of cetyl-trimethyl-ammonium bromide (CTAB) as surfactant in an EG solution. Furthermore, TiOx nanorods with two sharp ends can be obtained by hydrolysis of TBT with the addition of salt (LiCl) in an EG solution. The AFM results show that the TiOx nanorods with rough surfaces are formed by the self-assembly of TiOx nanospheres. The electrorheological (ER) effect was investigated using a suspension of titanium oxide nanowires or nanorods dispersed in silicone oil. Oil suspensions of titanium oxide nanowires or nanorods exhibit a dramatic reorganization when submitted to a strong DC electric field and the particles aggregate to form chain-like structures along the direction of applied electric field. Two-dimensional SAXS images from chains of anisotropically shaped particles exhibit a marked asymmetry in the SAXS patterns, reflecting the preferential self-assembly of the particles in the field. The suspension of rough TiOx nanorods shows stronger ER properties than that of the other nanostructured TiOx particles. We find that the particle surface roughness plays an important role in modification of the dielectric properties and in the enhancement of the ER effect.

  10. Amorphous silicon thin-film solar cells on glass fiber textiles

    Energy Technology Data Exchange (ETDEWEB)

    Plentz, Jonathan, E-mail: jonathan.plentz@leibniz-ipht.de; Andrä, Gudrun; Pliewischkies, Torsten; Brückner, Uwe; Eisenhawer, Björn; Falk, Fritz

    2016-02-15

    Graphical abstract: - Highlights: • Amorphous silicon solar cells on textile glass fiber fabrics are demonstrated. • Open circuit voltages of 883 mV show shunt-free contacting on non-planar fabrics. • Short-circuit current densities of 3.7 mA/cm{sup 2} are limited by transmission losses. • Fill factors of 43.1% and pseudo fill factors of 70.2% show high series resistance. • Efficiencies of 1.4% and pseudo efficiencies of 2.1% realized on textile fabrics. - Abstract: In this contribution, amorphous silicon thin-film solar cells on textile glass fiber fabrics for smart textiles are prepared and the photovoltaic performance is characterized. These solar cells on fabrics delivered open circuit voltages up to 883 mV. This shows that shunt-free contacting of the solar cells was successful, even in case of non-planar fabrics. The short-circuit current densities up to 3.7 mA/cm{sup 2} are limited by transmission losses in a 10 nm thin titanium layer, which was used as a semi-transparent contact. The low conductivity of this layer limits the fill factor to 43.1%. Pseudo fill factors, neglecting the series resistance, up to 70.2% were measured. Efficiencies up to 1.4% and pseudo efficiencies up to 2.1% were realized on textile fabrics. A transparent conductive oxide could further improve the efficiency to above 5%.

  11. Titanium nitride films for micro-supercapacitors: Effect of surface chemistry and film morphology on the capacitance

    Science.gov (United States)

    Achour, Amine; Porto, Raul Lucio; Soussou, Mohamed-Akram; Islam, Mohammad; Boujtita, Mohammed; Aissa, Kaltouma Ait; Le Brizoual, Laurent; Djouadi, Abdou; Brousse, Thierry

    2015-12-01

    Electrochemical capacitors (EC) in the form of packed films can be integrated in various electronic devices as power source. A fabrication process of EC electrodes, which is compatible with micro-fabrication, should be addressed for practical applications. Here, we show that titanium nitride films with controlled porosity can be deposited on flat silicon substrates by reactive DC-sputtering for use as high performance micro-supercapacitor electrodes. A superior volumetric capacitance as high as 146.4 F cm-3, with an outstanding cycling stability over 20,000 cycles, was measured in mild neutral electrolyte of potassium sulfate. The specific capacitance of the films as well as their capacitance retentions were found to depend on thickness, porosity and surface chemistry of electrodes. The one step process used to fabricate these TiN electrodes and the wide use of this material in the field of semiconductor technology make it promising for miniaturized energy storage systems.

  12. The role of oxide interlayers in back reflector configurations for amorphous silicon solar cells

    NARCIS (Netherlands)

    Demontis, V.; Sanna, C.; Melskens, J.; Santbergen, R.; Smets, A.H.M.; Damiano, A.; Zeman, M.

    2013-01-01

    Thin oxide interlayers are commonly added to the back reflector of thin-film silicon solar cells to increase their current. To gain more insight in the enhancement mechanism, we tested different back reflector designs consisting of aluminium-doped zinc oxide (ZnO:Al) and/or hydrogenated silicon

  13. Biomineralized diamond-like carbon films with incorporated titanium dioxide nanoparticles improved bioactivity properties and reduced biofilm formation.

    Science.gov (United States)

    Lopes, F S; Oliveira, J R; Milani, J; Oliveira, L D; Machado, J P B; Trava-Airoldi, V J; Lobo, A O; Marciano, F R

    2017-12-01

    Recently, the development of coatings to protect biomedical alloys from oxidation, passivation and to reduce the ability for a bacterial biofilm to form after implantation has emerged. Diamond-like carbon films are commonly used for implanted medical due to their physical and chemical characteristics, showing good interactions with the biological environment. However, these properties can be significantly improved when titanium dioxide nanoparticles are included, especially to enhance the bactericidal properties of the films. So far, the deposition of hydroxyapatite on the film surface has been studied in order to improve biocompatibility and bioactive behavior. Herein, we developed a new route to obtain a homogeneous and crystalline apatite coating on diamond-like carbon films grown on 304 biomedical stainless steel and evaluated its antibacterial effect. For this purpose, films containing two different concentrations of titanium dioxide (0.1 and 0.3g/L) were obtained by chemical vapor deposition. To obtain the apatite layer, the samples were soaked in simulated body fluid solution for up to 21days. The antibacterial activity of the films was evaluated by bacterial eradication tests using Staphylococcus aureus biofilm. Scanning electron microscopy, X-ray diffraction, Raman scattering spectroscopy, and goniometry showed that homogeneous, crystalline, and hydrophilic apatite films were formed independently of the titanium dioxide concentration. Interestingly, the diamond-like films containing titanium dioxide and hydroxyapatite reduced the biofilm formation compared to controls. A synergism between hydroxyapatite and titanium dioxide that provided an antimicrobial effect against opportunistic pathogens was clearly observed. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Silicone rubbers for dielectric elastomers with improved dielectric and mechanical properties as a result of substituting silica with titanium dioxide

    DEFF Research Database (Denmark)

    Yu, Liyun; Skov, Anne Ladegaard

    2016-01-01

    One prominent method of modifying the properties of dielectric elastomers (DEs) is by adding suitable metal oxide fillers. However, almost all commercially available silicone elastomers are already heavily filled with silica to reinforce the otherwise rather weak silicone network and the resulting...... and dynamic viscosity. Filled silicone elastomers with high loadings of nano-sized titanium dioxide (TiO2) particles were also studied. The best overall performing formulation had 35 wt.% TiO2 nanoparticles in the POWERSIL® XLR LSR, where the excellent ensemble of relative dielectric permittivity of 4.9 at 0...

  15. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films.

    Science.gov (United States)

    Sun, Ke; Saadi, Fadl H; Lichterman, Michael F; Hale, William G; Wang, Hsin-Ping; Zhou, Xinghao; Plymale, Noah T; Omelchenko, Stefan T; He, Jr-Hau; Papadantonakis, Kimberly M; Brunschwig, Bruce S; Lewis, Nathan S

    2015-03-24

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g).

  16. Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon

    NARCIS (Netherlands)

    Nguyen, Duc Minh

    2010-01-01

    In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser

  17. Self-cleaning glasses containing nanostructured titanium oxide; Vidros autolimpantes contendo oxido de titanio nanoestruturado

    Energy Technology Data Exchange (ETDEWEB)

    Araujo, A.; Alves, A.K.; Berutti, F.A.; Bergmann, C.P. [Universidade Federal do Rio Grande do Sul (DEMa/UFRGS), Porto Alegre, RS (Brazil). Dept. de Materiais

    2010-07-01

    Using the electrospinning technique nanofibers of titanium oxide were synthesized. As precursor materials, titanium propoxide and a solution of polyvinylpyrrolidone were used. After the electrospinning process, the non-tissue material obtained was heat treated and characterized by X-ray diffraction to determine the phase crystallinity, and SEM to analyze the microstructure of the fibers. After ultrasound dispersion of this material in isopropyl alcohol, the glass coatings were made by dip-coating methodology. The removal velocity was kept constant, but the solution composition was varied to obtain a transparent and photo active film. The film was characterized by the contact angle of a water droplet in its surface (hydrophilicity), the transparency was evaluated using a spectrophotometer and the photocatalytic activity of the film was also evaluated. (author)

  18. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Science.gov (United States)

    Yoo, Hana; Park, Soojin

    2010-06-01

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm × 5 cm.

  19. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    Energy Technology Data Exchange (ETDEWEB)

    Yoo, Hana; Park, Soojin, E-mail: spark@unist.ac.kr [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology, Banyeon-ri 100, Ulsan 689-798 (Korea, Republic of)

    2010-06-18

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm x 5 cm.

  20. Enhanced Electroluminescence from Silicon Quantum Dots Embedded in Silicon Nitride Thin Films Coupled with Gold Nanoparticles in Light Emitting Devices

    Directory of Open Access Journals (Sweden)

    Ana Luz Muñoz-Rosas

    2018-03-01

    Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.

  1. Water surface coverage effects on reactivity of plasma oxidized Ti films

    International Nuclear Information System (INIS)

    Pranevicius, L.; Pranevicius, L.L.; Vilkinis, P.; Baltaragis, S.; Gedvilas, K.

    2014-01-01

    Highlights: • The reactivity of Ti films immersed in water vapor plasma depends on the surface water coverage. • The adsorbed water monolayers are disintegrated into atomic constituents on the hydrophilic TiO 2 under plasma radiation. • The TiO 2 surface covered by water multilayer loses its ability to split adsorbed water molecules under plasma radiation. - Abstract: The behavior of the adsorbed water on the surface of thin sputter deposited Ti films maintained at room temperature was investigated in dependence on the thickness of the resulting adsorbed water layer, controllably injecting water vapor into plasma. The surface morphology and microstructure were used to characterize the surfaces of plasma treated titanium films. Presented experimental results showed that titanium films immersed in water vapor plasma at pressure of 10–100 Pa promoted the photocatalytic activity of overall water splitting. The surfaces of plasma oxidized titanium covered by an adsorbed hydroxyl-rich island structure water layer and activated by plasma radiation became highly chemically reactive. As water vapor pressure increased up to 300–500 Pa, the formed water multilayer diminished the water oxidation and, consequently, water splitting efficiency decreased. Analysis of the experimental results gave important insights into the role an adsorbed water layer on surface of titanium exposed to water vapor plasma on its chemical activity and plasma activated electrochemical processes, and elucidated the surface reactions that could lead to the split of water molecules

  2. Optical properties of titanium di-oxide thin films prepared by dip coating method

    Science.gov (United States)

    Biswas, Sayari; Rahman, Kazi Hasibur; Kar, Asit Kumar

    2018-05-01

    Titanium dioxide (TiO2) thin films were prepared by sol-gel dip coating method on ITO coated glass substrate. The sol was synthesized by hydrothermal method at 90°C. The sol was then used to make TiO2 films by dip coating. After dip coating the rest of the sol was dried at 100°C to make TiO2 powder. Thin films were made by varying the number of dipping cycles and were annealed at 500°C. XRD study was carried out for powder samples that confirms the formation of anatase phase. Transmission spectra of thin films show sharp rise in the violet-ultraviolet transition region and a maximum transmittance of ˜60%. Band gap of the prepared films varies from 3.15 eV to 3.22 eV.

  3. Fully CMOS-compatible titanium nitride nanoantennas

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, Justin A., E-mail: jabriggs@stanford.edu [Department of Applied Physics, Stanford University, 348 Via Pueblo Mall, Stanford, California 94305 (United States); Department of Materials Science and Engineering, Stanford University, 496 Lomita Mall, Stanford, California 94305 (United States); Naik, Gururaj V.; Baum, Brian K.; Dionne, Jennifer A. [Department of Materials Science and Engineering, Stanford University, 496 Lomita Mall, Stanford, California 94305 (United States); Petach, Trevor A.; Goldhaber-Gordon, David [Department of Physics, Stanford University, 382 Via Pueblo Mall, Stanford, California 94305 (United States)

    2016-02-01

    CMOS-compatible fabrication of plasmonic materials and devices will accelerate the development of integrated nanophotonics for information processing applications. Using low-temperature plasma-enhanced atomic layer deposition (PEALD), we develop a recipe for fully CMOS-compatible titanium nitride (TiN) that is plasmonic in the visible and near infrared. Films are grown on silicon, silicon dioxide, and epitaxially on magnesium oxide substrates. By optimizing the plasma exposure per growth cycle during PEALD, carbon and oxygen contamination are reduced, lowering undesirable loss. We use electron beam lithography to pattern TiN nanopillars with varying diameters on silicon in large-area arrays. In the first reported single-particle measurements on plasmonic TiN, we demonstrate size-tunable darkfield scattering spectroscopy in the visible and near infrared regimes. The optical properties of this CMOS-compatible material, combined with its high melting temperature and mechanical durability, comprise a step towards fully CMOS-integrated nanophotonic information processing.

  4. Behavior of ion-implanted cesium in silicon dioxide films

    International Nuclear Information System (INIS)

    Fishbein, B.J.

    1988-01-01

    Charged impurities in silicon dioxide can be used to controllably shift the flatband voltage of metal-oxide-semiconductor devices independently of the substrate doping, the gate oxide thickness and the gate-electrode work function. Cesium is particularly well suited for this purpose because it is immobile in SiO 2 at normal device operating temperatures, and because it can be controllably introduced into oxide films by ion implantation. Cesium is positively charged in silicon dioxide, resulting in a negative flatband voltage shift. Possible applications for cesium technology include solar cells, devices operated at liquid nitrogen temperature, and power devices. The goal of this work has been to characterize as many aspects of cesium behavior in silicon dioxide as are required for practical applications. Accordingly, cesium-ion implantation, cesium diffusion, and cesium electrical activation in SiO 2 were studied over a broad range of processing conditions. The electrical properties of cesium-containing oxides, including current-voltage characteristics, interface trap density, and inversion-layer carrier mobility were examined, and several potential applications for cesium technology have been experimentally demonstrated

  5. Optical and electrochromic properties of sol-gel deposited Ti- doped vanadium oxide films

    International Nuclear Information System (INIS)

    Oezer, N.; Sabuncu, S.

    1997-01-01

    Because of the yellowish color, vanadium oxide films in the as deposited state is not as favorable as transparent coatings for most elector chromic devices. an interesting possibility to alter the yellowish colours is the doping with other non-absorbing metal oxides. Ti doped vanadium oxide films with various amounts of titanium were synthesized and investigated as transparent counter electrodes for electrochromic transmissive device application. Electrochromic titanium doped vanadium pentoxide (V sub 2 O 5) coatings were prepared by the sol-gel dip coating technique. The coating solutions were synthesized from vanadium tri(isopropoxide) precursors. X-ray diffraction (XRD) studies showed that the sol-gel deposited doped films heat treated at temperatures below 350 degree centigrade, were amorphous, whereas hose heat treated at higher temperatures were slight y crystalline. The optical and electrochemical properties of the Ti doped vanadium oxide films has been investigated in 0.1 m LiClO sub 4 propylene carbonate solution color changes by dropping were noted for all investigated films exhibits good electrochemical cycling (CV) measurements also showed that Ti doped V sub 2 O sub 5 films exhibits good electrochemical cycling reversibility, 'in situ' optical measurement revealed that those films exhibits good electrochemical cycling the spectra range 300 < lambda < 800 nm and change color between yellow and light green. The change in visible transmittance was 25 % for 5% Ti doped film. (author)

  6. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  7. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    Energy Technology Data Exchange (ETDEWEB)

    Le Paven, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Lu, Y. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Nguyen, H.V. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); CEA LETI, Minatec Campus, 38054 Grenoble (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Rioual, S. [Laboratoire de Magnétisme de Brest (EA CNRS 4522), Université de Bretagne Occidentale, 29000 Brest (France); Benzegoutta, D. [Institut des Nanosciences de Paris (INSP, UMR CNRS 7588), Université Pierre et Marie Curie, 75005 Paris (France); Tessier, F.; Cheviré, F. [Institut des Sciences Chimiques de Rennes (ISCR, UMR-CNRS 6226), Equipe Verres et Céramiques, Université de Rennes 1, 35000 Rennes (France); and others

    2014-02-28

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO{sub 3} and Pt(111)/TiO{sub 2}/SiO{sub 2}/(001)Si substrates by RF magnetron sputtering, using a La{sub 2}Ti{sub 2}O{sub 7} homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La{sub 2}Ti{sub 2}O{sub 7} films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti{sup 4+} ions, with no trace of Ti{sup 3+}, and provides a La/Ti ratio of 1.02. The depositions being performed from a La{sub 2}Ti{sub 2}O{sub 7} target under oxygen rich plasma, the same composition (La{sub 2}Ti{sub 2}O{sub 7}) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2{sub 1} space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO{sub 3} substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La{sub 2}Ti{sub 2}O{sub 7} orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La{sub 2}Ti{sub 2}O{sub 7} films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La{sub 2}Ti{sub 2}O{sub 7} chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing.

  8. Size modulation of nanocrystalline silicon embedded in amorphous silicon oxide by Cat-CVD

    International Nuclear Information System (INIS)

    Matsumoto, Y.; Godavarthi, S.; Ortega, M.; Sanchez, V.; Velumani, S.; Mallick, P.S.

    2011-01-01

    Different issues related to controlling size of nanocrystalline silicon (nc-Si) embedded in hydrogenated amorphous silicon oxide (a-SiO x :H) deposited by catalytic chemical vapor deposition (Cat-CVD) have been reported. Films were deposited using tantalum (Ta) and tungsten (W) filaments and it is observed that films deposited using tantalum filament resulted in good control on the properties. The parameters which can affect the size of nc-Si domains have been studied which include hydrogen flow rate, catalyst and substrate temperatures. The deposited samples are characterized by X-ray diffraction, HRTEM and micro-Raman spectroscopy, for determining the size of the deposited nc-Si. The crystallite formation starts for Ta-catalyst around the temperature of 1700 o C.

  9. Formation and characterization of titanium nitride and titanium carbide films prepared by reactive sputtering

    International Nuclear Information System (INIS)

    Sundgren, J.-E.

    1982-01-01

    Titanium has been reactively r.f. sputtered in mixed Ar-N 2 and Ar-CH 4 discharges on to substrates held at 775 K. The films obtained have been characterized by scanning electron microscopy, X-ray diffraction and by measurements of hardness and electrical resistivity. The compositions of the films have been determined using Auger electron spectroscopy. The processes occurring both on substrates and target surfaces have been studied and it is shown that the latter is of great importance for the composition and structure of deposited films. Titanium nitride films of full density and with electrical resistivity and hardness values close to those of bulk TiN were only obtained in a narrow range close to the stoichiometric composition. Titanium carbide films grown on non-biased substrates were found to have an open structure and thus a low density. A bias applied to the substrate, however, improved the quality of the films. It is also shown that the heat of formation of the compounds plays an important role in the formation of carbides and nitrides. A large value promotes the development of large grains and dense structures. (Auth.)

  10. Compact chromium oxide thin film resistors for use in nanoscale quantum circuits

    Energy Technology Data Exchange (ETDEWEB)

    Nash, C. R.; Fenton, J. C.; Constantino, N. G. N.; Warburton, P. A. [London Centre for Nanotechnology, UCL, 17–19 Gordon Street, London WC1H 0AH (United Kingdom)

    2014-12-14

    We report on the electrical characterisation of a series of thin amorphous chromium oxide (CrO{sub x}) films, grown by dc sputtering, to evaluate their suitability for use as on-chip resistors in nanoelectronics. By increasing the level of oxygen doping, the room-temperature sheet resistance of the CrO{sub x} films was varied from 28 Ω/◻ to 32.6 kΩ/◻. The variation in resistance with cooling to 4.2 K in liquid helium was investigated; the sheet resistance at 4.2 K varied with composition from 65 Ω/◻ to above 20 GΩ/◻. All of the films measured displayed linear current–voltage characteristics at all measured temperatures. For on-chip devices for quantum phase-slip measurements using niobium–silicon nanowires, interfaces between niobium–silicon and chromium oxide are required. We also characterised the contact resistance for one CrO{sub x} composition at an interface with niobium–silicon. We found that a gold intermediate layer is favourable: the specific contact resistivity of chromium-oxide-to-gold interfaces was 0.14 mΩcm{sup 2}, much lower than the value for direct CrO{sub x} to niobium–silicon contact. We conclude that these chromium oxide films are suitable for use in nanoscale circuits as high-value resistors, with resistivity tunable by oxygen content.

  11. Stable solar-driven oxidation of water by semiconducting photoanodes protected by transparent catalytic nickel oxide films

    KAUST Repository

    Sun, Ke

    2015-03-11

    Reactively sputtered nickel oxide (NiOx) films provide transparent, antireflective, electrically conductive, chemically stable coatings that also are highly active electrocatalysts for the oxidation of water to O2(g). These NiOx coatings provide protective layers on a variety of technologically important semiconducting photoanodes, including textured crystalline Si passivated by amorphous silicon, crystalline n-type cadmium telluride, and hydrogenated amorphous silicon. Under anodic operation in 1.0 M aqueous potassium hydroxide (pH 14) in the presence of simulated sunlight, the NiOx films stabilized all of these self-passivating, high-efficiency semiconducting photoelectrodes for >100 h of sustained, quantitative solar-driven oxidation of water to O2(g). © 2015, National Academy of Sciences. All rights reserved.

  12. Fabrication of band gap engineered nanostructured tri-metallic (Mn-Co-Ti) oxide thin films

    Science.gov (United States)

    Mansoor, Muhammad Adil; Yusof, Farazila Binti; Nay-Ming, Huang

    2018-04-01

    In continuation of our previous studies on photoelectrochemical (PEC) properties of titanium based composite oxide thin films, an effort is made to develop thin films of 1:1:2 manganese-cobalt-titanium oxide composite, Mn2O3-Co2O3-4TiO2 (MCT), using Co(OAc)2 and a bimetallic manganese-titanium complex, [Mn2Ti4(TFA)8(THF)6(OH)4(O)2].0.4THF (1), where OAc = acetato, TFA = trifluoroacetato and THF = tetrahydrofuran, via aerosol-assisted chemical vapour deposition (AACVD) technique. The X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) and energy dispersive X-ray (EDX) spectroscopic analyses confirmed formation of thin film of Mn2O3-Co2O3-4TiO2 composite material with uniformly distributed agglomerated particles. The average size of 39.5 nm, of the particles embedded inside agglomerates, was estimated by Scherer's equation. Further, UV-Vis spectroscopy was used to estimate the band gap of 2.62 eV for MCT composite thin film.

  13. Synthesis and characterization of nano silicon and titanium nitride ...

    Indian Academy of Sciences (India)

    The characterization techniques indicated ... Scalable synthesis; microwave plasma; chemical synthesis; nanoparticles. 1. Introduction ... used but very few methods are available to produce silicon and titanium ... current (A). (m3/h). 1. Si. 2.1.

  14. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    Science.gov (United States)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  15. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  16. Fabrication of disposable topographic silicon oxide from sawtoothed patterns: control of arrays of gold nanoparticles.

    Science.gov (United States)

    Cho, Heesook; Yoo, Hana; Park, Soojin

    2010-05-18

    Disposable topographic silicon oxide patterns were fabricated from polymeric replicas of sawtoothed glass surfaces, spin-coating of poly(dimethylsiloxane) (PDMS) thin films, and thermal annealing at certain temperature and followed by oxygen plasma treatment of the thin PDMS layer. A simple imprinting process was used to fabricate the replicated PDMS and PS patterns from sawtoothed glass surfaces. Next, thin layers of PDMS films having different thicknesses were spin-coated onto the sawtoothed PS surfaces and annealed at 60 degrees C to be drawn the PDMS into the valley of the sawtoothed PS surfaces, followed by oxygen plasma treatment to fabricate topographic silicon oxide patterns. By control of the thickness of PDMS layers, silicon oxide patterns having various line widths were fabricated. The silicon oxide topographic patterns were used to direct the self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer thin films via solvent annealing process. A highly ordered PS-b-P2VP micellar structure was used to let gold precursor complex with P2VP chains, and followed by oxygen plasma treatment. When the PS-b-P2VP thin films containing gold salts were exposed to oxygen plasma environments, gold salts were reduced to pure gold nanoparticles without changing high degree of lateral order, while polymers were completely degraded. As the width of trough and crest in topographic patterns increases, the number of gold arrays and size of gold nanoparticles are tuned. In the final step, the silicon oxide topographic patterns were selectively removed by wet etching process without changing the arrays of gold nanoparticles.

  17. Characterization of Transition Metal Oxide/Silicon Heterojunctions for Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Luis G. Gerling

    2015-10-01

    Full Text Available During the last decade, transition metal oxides have been actively investigated as hole- and electron-selective materials in organic electronics due to their low-cost processing. In this study, four transition metal oxides (V2O5, MoO3, WO3, and ReO3 with high work functions (>5 eV were thermally evaporated as front p-type contacts in planar n-type crystalline silicon heterojunction solar cells. The concentration of oxygen vacancies in MoO3−x was found to be dependent on film thickness and redox conditions, as determined by X-ray Photoelectron Spectroscopy. Transfer length method measurements of oxide films deposited on glass yielded high sheet resistances (~109 Ω/sq, although lower values (~104 Ω/sq were measured for oxides deposited on silicon, indicating the presence of an inversion (hole rich layer. Of the four oxide/silicon solar cells, ReO3 was found to be unstable upon air exposure, while V2O5 achieved the highest open-circuit voltage (593 mV and conversion efficiency (12.7%, followed by MoO3 (581 mV, 12.6% and WO3 (570 mV, 11.8%. A short-circuit current gain of ~0.5 mA/cm2 was obtained when compared to a reference amorphous silicon contact, as expected from a wider energy bandgap. Overall, these results support the viability of a simplified solar cell design, processed at low temperature and without dopants.

  18. Antibacterial effects of silver-doped hydroxyapatite thin films sputter deposited on titanium

    International Nuclear Information System (INIS)

    Trujillo, Nathan A.; Oldinski, Rachael A.; Ma, Hongyan; Bryers, James D.; Williams, John D.; Popat, Ketul C.

    2012-01-01

    Since many orthopedic implants fail as a result of loosening, wear, and inflammation caused by repeated loading on the joints, coatings such as hydroxyapatite (HAp) on titanium with a unique topography have been shown to improve the interface between the implant and the natural tissue. Another serious problem with long-term or ideally permanent implants is infection. It is important to prevent initial bacterial colonization as existing colonies have the potential to become encased in an extracellular matrix polymer (biofilm) that is resistant to antibacterial agents. In this study, plasma-based ion implantation was used to examine the effects of pre-etching on plain titanium. Topographical changes to the titanium samples were examined and compared via scanning electron microscopy. Hydroxyapatite and silver-doped hydroxyapatite thin films were then sputter deposited on titanium substrates etched at − 700 eV. For silver-doped films, two concentrations of silver (∼ 0.5 wt.% and ∼ 1.5 wt.%) were used. Silver concentrations in the film were determined using energy dispersive X-ray spectroscopy. Hydroxyapatite film thicknesses were determined by measuring the surface profile using contact profilometry. Staphylococcus epidermidis and Pseudomonas aeruginosa adhesion studies were performed on plain titanium, titanium coated with hydroxyapatite, titanium coated with ∼ 0.5 wt.% silver-doped hydroxyapatite, and titanium coated with ∼ 1.5 wt.% silver-doped hydroxyapatite. Results indicate that less bacteria adhered to surfaces containing hydroxyapatite and silver; further, as the hydroxyapatite films delaminated, silver ions were released which killed bacteria in suspension. - Highlights: ► We have developed a combination of plasma-based ion implantation and ion beam sputter deposition technique. ► Silver-doped hydroxyapatite thin films on titanium were developed. ► The thin films showed the ability to control the concentration of silver that is doped within the

  19. Probing anodic oxidation kinetics and nanoscale heterogeneity within TiO2 films by Conductive Atomic Force Microscopy and combined techniques

    International Nuclear Information System (INIS)

    Diamanti, M.V.; Souier, T.; Stefancich, M.; Chiesa, M.; Pedeferri, M.P.

    2014-01-01

    Graphical abstract: - Highlights: • Nanoscale anodic titanium oxides were investigated with multidisciplinary approach. • Oxide thickness was estimated via spectrophotometry and coulometry. • C-AFM identified nanometric conductivity heterogeneities, ascribed to oxide structure. • High conductivity areas exhibited local memristive behavior. - Abstract: Anodic oxidation of titanium in acid electrolytes allows to obtain a thin, compact oxide layer with thickness, structure, color, and electrical properties that vary with process parameters imposed, among which cell voltage has a key effect. Although oxidation kinetics have been investigated in several research works, a broader vision of oxide properties–including thickness and structure–still has to be achieved, especially in the case of very thin oxide films, few tens of nanometers thick. This is vital for engineered applications of nanostructured TiO 2 films, as in the field of memristive devices, where a precise control of oxide thickness, composition and structure is required to tune its electrical response. In this work, oxide films were produced on titanium with thickness ranging from few nanometers to 200 nm. Oxide thickness was estimated by coulometry and spectrophotometry. These techniques were then combined with C-AFM, which provided a deeper understanding of oxide thickness and uniformity of the metal surface and probed the presence of crystalline nano-domains within the amorphous oxide phase affecting the overall film electrical and optical properties

  20. Transparent conducting oxide layers for thin film silicon solar cells

    NARCIS (Netherlands)

    Rath, J.K.; Liu, Y.; de Jong, M.M.; de Wild, J.; Schuttauf, J.A.; Brinza, M.; Schropp, R.E.I.

    2009-01-01

    Texture etching of ZnO:1%Al layers using diluted HCl solution provides excellent TCOs with crater type surface features for the front contact of superstrate type of thin film silicon solar cells. The texture etched ZnO:Al definitely gives superior performance than Asahi SnO2:F TCO in case of

  1. Effect of Silicon Addition on Microstructure and Mechanical Properties of Chromium and Titanium Based Coatings

    Directory of Open Access Journals (Sweden)

    Luis Carlos Ardila-Téllez

    2014-07-01

    Full Text Available The changes in the microstructure, mechanical properties and residual stresses of AlTiN, AlTiSiN, AlCrN and AlCrSiN coatings, has been studied before and after annealing at 900 ºC and 1100 ºC, using scanning and transmission electron microscopy, along with nano-indentation and X-ray diffraction techniques. The As-deposited coatings show a columnar structure, with a crystallite size between 18 nm and 28 nm. Despite the silicon addition, no effect on the crystallite size refinement was observed.However, the addition of silicon increases hardness, elastic modulus and compressive residual stresses. After annealing at 900 ºC, the crystallite size growth and the residual stress relaxes; therefore, the coating hardness decreases. At 1100 ºC, the oxide layers formed in AlTiN and AlTiSiN, which act as protective layers enhancing oxidation resistance; meanwhile, a complete oxidation of AlCrN and AlCrSiN coatings take place. The Titanium based coatings present some superior mechanical properties and oxidation resistance than the chromium based coatings at 900 ºC and 1100 ºC.

  2. A photoemission study of the effectiveness of nickel, manganese, and cobalt based corrosion barriers for silicon photo-anodes during water oxidation

    Energy Technology Data Exchange (ETDEWEB)

    O' Connor, Robert; Bogan, Justin; McCoy, Anthony; Byrne, Conor; Hughes, Greg [School of Physical Sciences, Dublin City University, Dublin 9 (Ireland)

    2016-05-21

    Silicon is an attractive material for solar water splitting applications due to its abundance and its capacity to absorb a large fraction of incident solar radiation. However, it has not received as much attention as other materials due to its tendency to oxidize very quickly in aqueous environments, particularly when it is employed as the anode where it drives the oxygen evolution reaction. In recent years, several works have appeared in the literature examining the suitability of thin transition metal oxide films grown on top of the silicon to act as a corrosion barrier. The film should be transparent to solar radiation, allow hole transport from the silicon surface to the electrolyte, and stop the diffusion of oxygen from the electrolyte back to the silicon. In this work, we compare Mn-oxide, Co-oxide, and Ni-oxide thin films grown using physical vapor deposition in order to evaluate which material offers the best combination of photocurrent and corrosion protection. In addition to the electrochemical data, we also present a detailed before-and-after study of the surface chemistry of the films using x-ray photoelectron spectroscopy. This approach allows for a comprehensive analysis of the mechanisms by which the corrosion barriers protect the underlying silicon, and how they degrade during the water oxidation reaction.

  3. Characterization of titanium silicide thin films by X-ray diffraction techniques

    International Nuclear Information System (INIS)

    Morimoto, N.J.

    1987-01-01

    This thesis deals with characterization techniques of thin films by means of X-ray diffraction. This includes phase identification and residual stress, microstress and crystallite size calculations. The techniques developed were applied on the study of the titanium silicide formation obtained by means of Rapidy Thermal Processing (RTP) pf Ti films deposited on silicon substratum. The different phases were studied in relation with processing temperature and time in one and two anneling steps. The low resistivity TiSi 2 phase was observed for temperature of 700 0 C and higher. The experimental results indicate that the residual stress of TiSi 2 films doesn't vary significantly with the annealing conditions. On the other hand, the microstress is reduced with annealing time at 800 0 C, while the crystallite size is almost not affected. For the microstress and the crystallite size determination technique, two methods were implemented and compared. The Riella's method appeared to be very efficient, while the Gangulle's method seemed to be inadequate, because the results oscillate too much [pt

  4. Mesoporous silicon oxide films and their uses as templates in obtaining nanostructured conductive polymers

    Science.gov (United States)

    Salgado, R.; Arteaga, G. C.; Arias, J. M.

    2018-04-01

    Obtaining conductive polymers (CPs) for the manufacture of OLEDs, solar cells, electrochromic devices, sensors, etc., has been possible through the use of electrochemical techniques that allow obtaining films of controlled thickness with positive results in different applications. Current trends point towards the manufacture of nanomaterials, and therefore it is necessary to develop methods that allow obtaining CPs with nanostructured morphology. This is possible by using a porous template to allow the growth of the polymeric materials. However, prior and subsequent treatments are required to separate the material from the template so that it can be evaluated in the applications mentioned above. This is why mesoporous silicon oxide films (template) are essential for the synthesis of nanostructured polymers since both the template and the polymer are obtained on the electrode surface, and therefore it is not necessary to separate the material from the template. Thus, the material can be evaluated directly in the applications mentioned above. The dimensions of the resulting nanostructures will depend on the power, time and technique used for electropolymerization as well as the monomer and the surfactant of the mesoporous film.

  5. Facile synthesis of silicon carbide-titanium dioxide semiconducting nanocomposite using pulsed laser ablation technique and its performance in photovoltaic dye sensitized solar cell and photocatalytic water purification

    Energy Technology Data Exchange (ETDEWEB)

    Gondal, M.A., E-mail: magondal@kfupm.edu.sa [Laser Research Group, Physics Department & Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Ilyas, A.M. [Laser Research Group, Physics Department & Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Baig, Umair [Laser Research Group, Physics Department & Center of Excellence in Nanotechnology, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Center of Excellence for Scientific Research Collaboration with MIT, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia)

    2016-08-15

    Highlights: • SiC–TiO{sub 2} semiconducting nanocomposites synthesized by nanosecond PLAL technique. • Synthesized nanocomposites were morphologically and optically characterized. • Nanocomposites were applied for the photocatalytic degradation of toxic organic dye. • Photovoltaic performance was investigated in dye sensitized solar cell. - Abstract: Separation of photo-generated charge carriers (electron and holes) is a major approach to improve the photovoltaic and photocatalytic performance of metal oxide semiconductors. For harsh environment like high temperature applications, ceramic like silicon carbide is very prominent. In this work, 10%, 20% and 40% by weight of pre-oxidized silicon carbide was coupled with titanium dioxide (TiO{sub 2}) to form nanocomposite semiconductor via elegant pulsed laser ablation in liquid technique using second harmonic 532 nm wavelength of neodymium-doped yttrium aluminium garnet (Nd-YAG) laser. In addition, the effect of silicon carbide concentration on the performance of silicon carbide-titanium dioxide nanocomposite as photo-anode in dye sensitized solar cell and as photocatalyst in photodegradation of methyl orange dye in water was also studied. The result obtained shows that photo-conversion efficiency of the dye sensitized solar cell was improved from 0.6% to 1.65% and the percentage of methyl orange dye removed was enhanced from 22% to 77% at 24 min under ultraviolet–visible solar spectrum in the nanocomposite with 10% weight of silicon carbide. This remarkable performance enhancement could be due to the improvement in electron transfer phenomenon by the presence of silicon carbide on titanium dioxide.

  6. Simple Methods for Production of Nanoscale Metal Oxide Films from Household Sources

    Science.gov (United States)

    Campbell, Dean J.; Baliss, Michelle S.; Hinman, Jordan J.; Ziegenhorn, John W.; Andrews, Mark J.; Stevenson, Keith J.

    2013-01-01

    Production of thin metal oxide films was recently explored as part of an outreach program with a goal of producing nanoscale structures with household items. Household items coated with various metals or titanium compounds can be heated to produce colorful films with nanoscale thicknesses. As part of a materials chemistry laboratory experiment…

  7. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  8. Inverted bulk-heterojunction organic solar cell using chemical bath deposited titanium oxide as electron collection layer

    OpenAIRE

    Kuwabara, Takayuki; Sugiyama, Hirokazu; Kuzuba, Mitsuhiro  ; Yamaguchi, Takahiro; Takahashi, Kohshin

    2010-01-01

    Chemical bath deposited titanium oxide (TiOx ) as an electron collection layer is introduced between the organic layer and the indium tin oxide (ITO) electrode for improving the performance of inverted bulk-heterojunction organic thin film solar cells with 1 cm2 active area, where regioregular poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl C61 butyric acid methyl ester (PCBM) were mainly used as the photo-active layer. The uniform and thin TiOx film was easily prepared onto the ITO electrode ...

  9. Structural and optical studies of Au doped titanium oxide films

    International Nuclear Information System (INIS)

    Alves, E.; Franco, N.; Barradas, N.P.; Nunes, B.; Lopes, J.; Cavaleiro, A.; Torrell, M.; Cunha, L.; Vaz, F.

    2012-01-01

    Thin films of TiO 2 were doped with Au by ion implantation and in situ during the deposition. The films were grown by reactive magnetron sputtering and deposited in silicon and glass substrates at a temperature around 150 °C. The undoped films were implanted with Au fluences in the range of 5 × 10 15 Au/cm 2 –1 × 10 17 Au/cm 2 with a energy of 150 keV. At a fluence of 5 × 10 16 Au/cm 2 the formation of Au nanoclusters in the films is observed during the implantation at room temperature. The clustering process starts to occur during the implantation where XRD estimates the presence of 3–5 nm precipitates. After annealing in a reducing atmosphere, the small precipitates coalesce into larger ones following an Ostwald ripening mechanism. In situ XRD studies reveal that Au atoms start to coalesce at 350 °C, reaching the precipitates dimensions larger than 40 nm at 600 °C. Annealing above 700 °C promotes drastic changes in the Au profile of in situ doped films with the formation of two Au rich regions at the interface and surface respectively. The optical properties reveal the presence of a broad band centered at 550 nm related to the plasmon resonance of gold particles visible in AFM maps.

  10. Progress on sputter-deposited thermotractive titanium-nickel films

    International Nuclear Information System (INIS)

    Grummon, D.S.; Hou Li; Zhao, Z.; Pence, T.J.

    1995-01-01

    It is now well established that titanium-nickel alloys fabricated as thin films by physical vapor deposition can display the same transformation and shape-memory effects as their ingot-metallurgy counterparts. As such they may find important application to microelectromechanical and biomechanical systems. Furthermore, we show here that titanium-nickel films may be directly processed so as to possess extremely fine austenite grain size and very high strength. These films display classical transformational superelasticity, including high elastic energy storage capacity, the expected dependence of martensite-start temperature on transformation enthalpy, and large, fully recoverable anelastic strains at temperatures above A f . Processing depends on elevated substrate temperatures during deposition, which may be manipulated within a certain range to control both grain size and crystallographic texture. It is also possible to deposit crystalline titanium-nickel films onto polymeric substrates, making them amenable to lithographic patterning into actuator elements that are well-suited to electrical excitation of the martensite reversion transformation. Finally, isothermal annealing of nickel-rich films, under conditions of controlled extrinsic residual stress, leads to topotaxial orientation of Ni 4 Ti 3 -type precipitates, and the associated possibility of two-way memory effects. Much work remains to be done, especially with respect to precise control of composition. (orig.)

  11. Structural, Electrical and Optical Properties of TiO2 Thin Film Deposited on the Nano Porous Silicon Template

    Science.gov (United States)

    Bahar, Mahmood; Dermani, Ensieh Khalili

    The porous silicon (PSi), which is produced by the electrochemical etching, has been used as a substrate for the growth of the titanium oxide (TiO2) thin films. By using the EBPVD method, TiO2 thin films have been deposited on the surface of the PSi substrate. TiO2/PSi layers were annealed at the temperature of 400∘C, 500∘C and 600∘C for different tests. The morphology and structures of layers were investigated by the scanning electron microscopy (SEM) and X-ray diffraction (XRD). The current-voltage characteristic curves of samples and the ideality factor of heterojunction were studied. The results showed that the electrical properties of the samples change with increase in the annealing temperature. The optical properties of the prepared samples were investigated by using UV-Vis and photoluminescence (PL) spectroscopy. Green light emission of the PSi combined with the blue light and violet-blue emission obtained from the TiO2/PSi PL spectra. The results showed that the optical band gap energy of the PSi has increased from 1.86eV to 2.93eV due to the deposition of TiO2 thin film.

  12. Structural and optical properties of silicon rich oxide films in graded-stoichiometric multilayers for optoelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Palacios-Huerta, L.; Aceves-Mijares, M. [Electronics Department, INAOE, Apdo. 51, Puebla, Pue. 72000, México (Mexico); Cabañas-Tay, S. A.; Cardona-Castro, M. A.; Morales-Sánchez, A., E-mail: alfredo.morales@cimav.edu.mx [Centro de Investigación en Materiales Avanzados S.C., Unidad Monterrey-PIIT, Apodaca, NL 66628, México (Mexico); Domínguez-Horna, C. [Instituto de Microelectrónica de Barcelona, IMB-CNM (CSIC), Bellaterra 08193, Barcelona (Spain)

    2016-07-18

    Silicon nanocrystals (Si-ncs) are excellent candidates for the development of optoelectronic devices. Nevertheless, different strategies are still necessary to enhance their photo and electroluminescent properties by controlling their structural and compositional properties. In this work, the effect of the stoichiometry and structure on the optical properties of silicon rich oxide (SRO) films in a multilayered (ML) structure is studied. SRO MLs with silicon excess gradually increased towards the top and bottom and towards the center of the ML produced through the variation of the stoichiometry in each SRO layer were fabricated and confirmed by X-ray photoelectron spectroscopy. Si-ncs with three main sizes were observed by a transmission electron microscope, in agreement with the stoichiometric profile of each SRO layer. The presence of the three sized Si-ncs and some oxygen related defects enhances intense violet/blue and red photoluminescence (PL) bands. The SRO MLs were super-enriched with additional excess silicon by Si{sup +} implantation, which enhanced the PL intensity. Oxygen-related defects and small Si-ncs (<2 nm) are mostly generated during ion implantation enhancing the violet/blue band to become comparable to the red band. The structural, compositional, and luminescent characteristics of the multilayers are the result of the contribution of the individual characteristics of each layer.

  13. Surface chemistry and cytotoxicity of reactively sputtered tantalum oxide films on NiTi plates

    Energy Technology Data Exchange (ETDEWEB)

    McNamara, K. [Materials and Surface Science Institute, University of Limerick, Limerick (Ireland); Department of Physics & Energy, University of Limerick, Limerick (Ireland); Kolaj-Robin, O.; Belochapkine, S.; Laffir, F. [Materials and Surface Science Institute, University of Limerick, Limerick (Ireland); Gandhi, A.A. [Materials and Surface Science Institute, University of Limerick, Limerick (Ireland); Department of Physics & Energy, University of Limerick, Limerick (Ireland); Tofail, S.A.M., E-mail: tofail.syed@ul.ie [Materials and Surface Science Institute, University of Limerick, Limerick (Ireland); Department of Physics & Energy, University of Limerick, Limerick (Ireland)

    2015-08-31

    NiTi, an equiatomic alloy containing nickel and titanium, exhibits unique properties such as shape memory effect and superelasticity. NiTi also forms a spontaneous protective titanium dioxide (TiO{sub 2}) layer that allows its use in biomedical applications. Despite the widely perceived biocompatibility there remain some concerns about the sustainability of the alloy's biocompatibility due to the defects in the TiO{sub 2} protective layer and the presence of high amount of sub-surface Ni, which can give allergic reactions. Many surface treatments have been investigated to try to improve both the corrosion resistance and biocompatibility of this layer. For such purposes, we have sputter deposited tantalum (Ta) oxide thin films onto the surface of the NiTi alloy. Despite being one of the promising metals for biomedical applications, Ta, and its various oxides and their interactions with cells have received relatively less attention. The oxidation chemistry, crystal structure, morphology and biocompatibility of these films have been investigated. In general, reactive sputtering especially in the presence of a low oxygen mixture yields a thicker film with better control of the film quality. The sputtering power influenced the surface oxidation states of Ta. Both microscopic and quantitative cytotoxicity measurements show that Ta films on NiTi are biocompatible with little to no variation in cytotoxic response when the surface oxidation state of Ta changes. - Highlights: • Reactive sputtering in low oxygen mixture yields thicker better quality films. • Sputtering power influenced surface oxidation states of Ta. • Cytotoxicity measurements show Ta films on NiTi are biocompatible. • Little to no variation in cytotoxic response when oxidation state changes.

  14. Modulated surface textures for enhanced scattering in thin-film silicon solar cells

    NARCIS (Netherlands)

    Isabella, O.; Battaglia, C.; Ballif, C.; Zeman, M.

    2012-01-01

    Nano-scale randomly textured front transparent oxides are superposed on micro-scale etched glass substrates to form modulated surface textures. The resulting enhanced light scattering is implemented in single and double junction thin-film silicon solar cells.

  15. Deuteriding of thin titanium films: the effect of carbon monoxide surface contamination

    International Nuclear Information System (INIS)

    Malinowski, M.W.

    1976-02-01

    The effect of adsorbed CO on the deuteriding of thin titanium films at room temperature was measured at D 2 pressures between 10 to 25 mtorr on films contaminated with CO exposures ranging between approximately 10 -8 torr-seconds (''clean'') to 10 -4 torr-seconds. In all measurements, for deuterium/titanium atom ratios greater than .2, the deuteriding appeared to be initally limited by the sticking of D 2 on the clean or contaminated titanium deuteride surface; the effective sticking coefficient on a clean titanium deuteride surface was approximately 3 x 10 -3 , while on a surface contaminated with 10 -4 torr-seconds of CO, the coefficient was reduced to approximately, 2 x 10 -4 . The pumping speeds of Ti films were dramatically different when the films were evaporated over TiD 2 . These changes were attributed to the presence of deuterium which diffused from the substrate film into the overlayer film

  16. Microstructural control of thin-film diffusion-brazed titanium

    International Nuclear Information System (INIS)

    Wells, R.R.

    1976-01-01

    This study was designed to determine what parameters should be controlled to achieve quality joints of good toughness and high strength in titanium alloys. Emphasis was placed upon studying those parameters which provided tough joints compatible with the titanium base metal being joined. This paper is concerned with thin-film diffusion brazing based upon the eutectic system formed between copper and titanium. In order to control the joint microstructure, the copper diffusion rates and the beta-phase decomposition kinetics were studied. This information was used to produce various types of microstructures in test specimens. These were then evaluated to select the best microstructures for toughness and strength which were compatible with the titanium alloys. Results show that it is possible to accurately control properties of joints produced by thin-film diffusion brazing. This is done by controlling the initial copper content and the time-temperature parameters used in processing. Alloys studied were Ti--8Al--1Mo--1V and Ti--6Al--4V

  17. Accelerated life test of an ONO stacked insulator film for a silicon micro-strip detector

    International Nuclear Information System (INIS)

    Okuno, Shoji; Ikeda, Hirokazu; Saitoh, Yutaka

    1996-01-01

    We have used to acquire the signal through an integrated capacitor for a silicon micro-strip detector. When we have been using a double-sided silicon micro-strip detector, we have required a long-term stability and a high feasibility for the integrated capacitor. An oxide-nitride-oxide (ONO) insulator film was theoretically expected to have a superior nature in terms of long term reliability. In order to test long term reliability for integrated capacitor of a silicon micro-strip detector, we made a multi-channel measuring system for capacitors

  18. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  19. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Piezoresistive pressure sensor using low-temperature aluminium induced crystallization of sputter-deposited amorphous silicon film

    International Nuclear Information System (INIS)

    Tiwari, Ruchi; Chandra, Sudhir

    2013-01-01

    In the present work, we have investigated the piezoresistive properties of silicon films prepared by the radio frequency magnetron sputtering technique, followed by the aluminium induced crystallization (AIC) process. Orientation and grain size of the polysilicon films were studied by x-ray diffraction analysis and found to be in the range 30–50 nm. Annealing of the Al–Si stack on an oxidized silicon substrate was performed in air ambient at 300–550 °C, resulting in layer exchange and transformation from amorphous to polysilicon phase. Van der Pauw and Hall measurement techniques were used to investigate the sheet resistance and carrier mobility of the resulting polycrystalline silicon film. The effect of Al thickness on the sheet resistance and mobility was also studied in the present work. A piezoresistive pressure sensor was fabricated on an oxidized silicon substrate in a Wheatstone bridge configuration, comprising of four piezoresistors made of polysilicon film obtained by the AIC process. The diaphragm was formed by the bulk-micromachining of silicon substrate. The response of the pressure sensor with applied negative pressure in 10–95 kPa range was studied. The gauge factor was estimated to be 5 and 18 for differently located piezoresistors on the diaphragm. The sensitivity of the pressure sensor was measured to be ∼ 30 mV MPa −1 , when the Wheatstone bridge was biased at 1 V input voltage. (paper)

  1. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  2. Structural and optical studies of Au doped titanium oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Alves, E., E-mail: ealves@itn.pt [Instituto Tecnologico e Nuclear (ITN), 2686-953 Sacavem (Portugal); Centro de Fisica Nuclear da Universidade de Lisboa, Av. Gama Pinto, 21649-003 Lisboa (Portugal); Franco, N.; Barradas, N.P. [Instituto Tecnologico e Nuclear (ITN), 2686-953 Sacavem (Portugal); Centro de Fisica Nuclear da Universidade de Lisboa, Av. Gama Pinto, 21649-003 Lisboa (Portugal); Nunes, B. [Instituto Tecnologico e Nuclear (ITN), 2686-953 Sacavem (Portugal); Lopes, J. [Instituto Superior de Engenharia de Lisboa (Portugal); Cavaleiro, A. [SEC-CEMUC - Universidade de Coimbra, Dept. Eng. Mecanica, Polo II, 3030-788 Coimbra (Portugal); Torrell, M.; Cunha, L.; Vaz, F. [Centro de Fisica, Universidade do Minho, 4800-058 Guimaraes (Portugal)

    2012-02-01

    Thin films of TiO{sub 2} were doped with Au by ion implantation and in situ during the deposition. The films were grown by reactive magnetron sputtering and deposited in silicon and glass substrates at a temperature around 150 Degree-Sign C. The undoped films were implanted with Au fluences in the range of 5 Multiplication-Sign 10{sup 15} Au/cm{sup 2}-1 Multiplication-Sign 10{sup 17} Au/cm{sup 2} with a energy of 150 keV. At a fluence of 5 Multiplication-Sign 10{sup 16} Au/cm{sup 2} the formation of Au nanoclusters in the films is observed during the implantation at room temperature. The clustering process starts to occur during the implantation where XRD estimates the presence of 3-5 nm precipitates. After annealing in a reducing atmosphere, the small precipitates coalesce into larger ones following an Ostwald ripening mechanism. In situ XRD studies reveal that Au atoms start to coalesce at 350 Degree-Sign C, reaching the precipitates dimensions larger than 40 nm at 600 Degree-Sign C. Annealing above 700 Degree-Sign C promotes drastic changes in the Au profile of in situ doped films with the formation of two Au rich regions at the interface and surface respectively. The optical properties reveal the presence of a broad band centered at 550 nm related to the plasmon resonance of gold particles visible in AFM maps.

  3. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Riahi, R., E-mail: riahirim01@gmail.com [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Faculty of Sciences Tunis–El Manar University (Tunisia); Derbali, L. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Ouertani, B. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia); Higher Institute of Environment Science and Technology of Borj-Cedria (Tunisia); Ezzaouia, H. [Laboratory of Semiconductors, Nanostructures and Advanced Technology (LSNTA), Research and Technology Center of Energy, Tourist Road Soliman, BP 95, 2050 Hammam-Lif (Tunisia)

    2017-05-15

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  4. Temperature dependence of nickel oxide effect on the optoelectronic properties of porous silicon

    International Nuclear Information System (INIS)

    Riahi, R.; Derbali, L.; Ouertani, B.; Ezzaouia, H.

    2017-01-01

    Highlights: • The treatment of porous silicon (PS) with nickel oxide (NiO) decreases the reflectivity significantly. • FTIR analysis showed a substitution of Si−H bonds to Si−O−Si and Si−O−Ni after the thermal annealing. • Annealing the treated NiO/PS at 400 °C leads to a noticeable improvement of the photoluminescence (PL) intensity. • A blueshift was obtained in the PL spectra due to the decrease of silicon nanocrystallites size after exceeding 400 °C. - Abstract: This paper investigates the effect of Nickel oxide (NiO) on the structural and optical properties of porous silicon (PS). Our investigations showed an obvious improvement of porous silicon optoelectronique properties after coating the PS with NiO thin film as a passivating process. The as-prepared NiO/PS thin film was subjected to a thermal annealing to study the effect of temperature on the efficiency of this treatment. The deposition of NiO onto the porous silicon layer was performed using the spray pyrolysis method. The surface modification of the as-prepared NiO/PS samples was investigated after annealing at various temperatures, using an infrared furnace, ranging between 300 °C and 600 °C. The X-ray Diffraction results showed that obtained films show cubic structure with preferred (200) plane orientation. We found an obvious dependence of the PS nanocrystallites size (nc-Si) to the annealing temperature. Photoluminescence (PL) is directly related to the electronic structure and transitions. The characteristic change of the band gap with decrease in size of the nanostructures can be pointed out by the observed blue shift in the photoluminescence spectra. Nickel oxide treatment of Porous silicon led to a significant increase of photoluminescence with a resulting blue-shift at higher annealing temperature. The surface morphology was examined by scanning electron microscope (SEM), and FTIR spectroscopy was used to study the chemical composition of the films. Moreover, the total

  5. Tuning the cathodoluminescence of porous silicon films

    International Nuclear Information System (INIS)

    Biaggi-Labiosa, A.; Fonseca, L.F.; Resto, O.; Balberg, I.

    2008-01-01

    We have obtained intense cathodoluminescence (CL) emission from electron beam modified porous silicon films by excitation with electrons with kinetic energies below 2 keV. Two types of CL emissions were observed, a stable one and a non-stable one. The first type is obtained in well-oxidized samples and is characterized by a spectral peak that is red shifted with respect to the photoluminescence (PL) peak. The physically interesting and technologically promising CL is however the CL that correlates closely with the PL. Tuning of this CL emission was achieved by controlling the average size of the nanostructure thus showing that the origin of this CL emission is associated with the quantum confinement and the surface chemistry effects that are known to exist in the porous silicon system. We also found that the electron bombardment causes microscale morphological modifications of the films, but the nanoscale features appear to be unchanged. The structural changes are manifested by the increase in the density of the nanoparticles which explains the significant enhancement of the PL that follows the electron irradiation

  6. Structural properties of perovskite films on zinc oxide nanoparticles-reduced graphene oxide (ZnO-NPs/rGO) prepared by electrophoretic deposition technique

    Science.gov (United States)

    Bahtiar, Ayi; Nurazizah, Euis Siti; Latiffah, Efa; Risdiana, Furukawa, Yukio

    2018-02-01

    Perovskite solar cells highly believed as next generation solar cells to replace currently available inorganic silicon solar cells due to their high power conversion efficiency and easy processing to thin films using solution processing techniques. Performance and stability, however still need to be improved for mass production and widely used for public electricity generation. Perovskite solar cells are commonly deposited on Titanium Dioxide (TiO2) film as an effective electron transport layer (ETL). We used Zinc Oxide nanoparticles (ZnO-NPs) as ETL in perovskite solar cells due to the low temperature required for crystallization and can be formed into different shapes of nanostructures. However, perovskite film can easily degrade into insulating lead iodide due to deprotonation of the methylammoniumcation at the surface of ZnO-NPs, in particular when it stored in ambient air with high relative humidity. The degradation of perovskite layer is therefore needed to be overcome. Here, we capped ZnO-NPs with reduced graphene oxide (rGO) to overcome the degradation of perovskite film where ZnO-NPs is synthesized by sol-gel method. The average nanoparticle size of ZnO is 15 nm. ZnO-NPs and ZnO-NPs-rGO films are prepared using electrophoretic deposition technique, which can produce large area with good homogeneity and high reproducibility. The stability of perovskite layer can significantly be improved by capping ZnO with rGO, which is indicated by absence of color change of perovskite after storage for 5 (five) days in ambient air with relative humidity above 95%. Moreover, the X-Ray Diffaction peaks of perovskite film are more preserved when deposited on ZnO/rGO film than using only ZnO film. We strongly believe, by capping ZnO film with rGO, both the performance and stability of perovskite solar cells can be improved significantly.

  7. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  8. Comparison of various methods of measuring thin oxide layers formed on molybdenum and titanium

    International Nuclear Information System (INIS)

    Lepage, F.; Bardolle, J.; Boulben, J.M.

    1975-01-01

    The problem of the growth of thin layers is very interesting from both the fundamental and technological viewpoints. This work deals with oxide films produced on two metals, molybdenum and titanium. The thicknesses obtained by various methods (microgravimetry, nuclear reactions and spectrophotometry) are compared and the advantages and disadvantages of each method are shown [fr

  9. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio; Gao, Xu; Lin, Meng-Fang; Tsukagoshi, Kazuhito, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp [International Center for Materials Nanoarchitectronics (WPI-MANA), National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Nabatame, Toshihide [MANA Foundry and MANA Advanced Device Materials Group, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizing controllable high-performance stable transistors.

  10. Optimization of synthesis protocols to control the nanostructure and the morphology of metal oxide thin films for memristive applications

    Energy Technology Data Exchange (ETDEWEB)

    Baldi, G., E-mail: giacomo.baldi@cnr.it; Bosi, M.; Attolini, G.; Berzina, T.; Mosca, R.; Ponraj, J. S.; Iannotta, S. [IMEM-CNR Institute, Parco Area delle Scienze 37/A, I-43124 Parma (Italy); Giusti, G.; Nozar, P.; Toccoli, T.; Verucchi, R. [IMEM-CNR Institute, Via alla Cascata 56/C, Povo – I-38123 Trento (Italy); Collini, C.; Lorenzelli, L. [FBK Bruno Kessler Foundation, Via Sommarive 18, I-38123 Trento (Italy)

    2015-03-10

    We propose a multi-technique approach based on in-vacuum synthesis of metal oxides to optimize the memristive properties of devices that use a metal oxide thin film as insulating layer. Pulsed Microplasma Cluster Source (PMCS) is based on supersonic beams seeded by clusters of the metal oxide. Nanocrystalline TiO{sub 2} thin films can be grown at room temperature, controlling the oxide stoichiometry from titanium metal up to a significant oxygen excess. Pulsed Electron beam Deposition (PED) is suitable to grow crystalline thin films on large areas, a step towards producing device arrays with controlled morphology and stoichiometry. Atomic Layer Deposition (ALD) is a powerful technique to grow materials layer-by-layer, finely controlling the chemical and structural properties of the film up to thickness of 50-80 nm. We will present a few examples of metal-insulator-metal structures showing a pinched hysteresis loop in their current-voltage characteristic. The structure, stoichiometry and morphology of the metal oxide layer, either aluminum oxide or titanium dioxide, is investigated by means of scanning electron microscopy (SEM) and by Raman scattering.

  11. Very high-cycle fatigue failure in micron-scale polycrystalline silicon films : Effects of environment and surface oxide thickness

    NARCIS (Netherlands)

    Alsem, D. H.; Boyce, B. L.; Stach, E. A.; De Hosson, J. Th. M.; Ritchie, R. O.

    2007-01-01

    Fatigue failure in micron-scale polycrystalline silicon structural films, a phenomenon that is not observed in bulk silicon, can severely impact the durability and reliability of microelectromechanical system devices. Despite several studies on the very high-cycle fatigue behavior of these films (up

  12. High resolution medium energy ion scattering study of silicon oxidation and oxy nitridation

    International Nuclear Information System (INIS)

    Gusev, E.P.; Lu, H.C.; Garfunkel, E.; Gustafsson, T.

    1998-01-01

    Full text: Silicon oxide is likely to remain the material of choice for gate oxides in microelectronics for the foreseeable future. As device become ever smaller and faster, the thickness of these layers in commercial products is predicted to be less than 50 Angstroms in just a few years. An understanding of such devices will therefore likely to be based on microscopic concepts and should now be investigated by atomistic techniques. With medium energy ion scattering (MEIS) using an electrostatic energy analyzer, depth profiling of thin (<60 Angstroms) silicon oxide films on Si(100) with 3 - 5 Angstroms depth resolution in the near region has been done. The growth mechanism of thin oxide films on Si(100) has been studied, using sequential oxygen isotope exposures. It is found that the oxide films are stoichiometric to within approx. 10 Angstroms of the interface. It is also found that the oxidation reactions occur at the surface, in the transition region and at interface, with only the third region being included in the conventional (Deal-Grove) model for oxide formation. Nitrogen is sometimes added to gate oxides, as it has been found empirically that his improves some of the electrical properties. The role, location and even the amount of nitrogen that exists in such films are poorly understood, and represent interesting analytical challenges. MEIS data will be presented that address these questions, measured for a number of different processing conditions. We have recently demonstrated how to perform nitrogen nano-engineering in such ultrathin gate dielectrics, and these results will also be discussed

  13. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  14. Simulations about self-absorption of tritium in titanium tritide and the energy deposition in a silicon Schottky barrier diode

    International Nuclear Information System (INIS)

    Li, Hao; Liu, Yebing; Hu, Rui; Yang, Yuqing; Wang, Guanquan; Zhong, Zhengkun; Luo, Shunzhong

    2012-01-01

    Simulations on the self-absorption of tritium electrons in titanium tritide films and the energy deposition in a silicon Schottky barrier diode are carried out using the Geant4 radiation transport toolkit. Energy consumed in each part of the Schottky radiovoltaic battery is simulated to give a clue about how to make the battery work better. The power and energy-conversion efficiency of the tritium silicon Schottky radiovoltaic battery in an optimized design are simulated. Good consistency with experiments is obtained. - Highlights: ► Simulation of the energy conversion inside the radiovoltaic battery is carried out. ► Energy-conversion efficiency in the simulation shows good consistency with experimental result. ► Inadequacy of the present configuration is studied in this work and improvements are proposed.

  15. Green oxidations: Titanium dioxide induced tandem oxidation coupling reactions

    OpenAIRE

    Jeena, Vineet; Robinson, Ross S

    2009-01-01

    Summary The application of titanium dioxide as an oxidant in tandem oxidation type processes is described. Under microwave irradiation, quinoxalines have been synthesized in good yields from the corresponding ?-hydroxyketones.

  16. Permeation mechanisms of pulsed microwave plasma deposited silicon oxide films for food packaging applications

    International Nuclear Information System (INIS)

    Deilmann, Michael; Grabowski, Mirko; Theiss, Sebastian; Bibinov, Nikita; Awakowicz, Peter

    2008-01-01

    Silicon oxide barrier layers are deposited on polyethylene terephthalate as permeation barriers for food packaging applications by means of a low pressure microwave plasma. Hexamethyldisiloxane (HMDSO) and oxygen are used as process gases to deposit SiO x coatings via pulsed low pressure plasmas. The layer composition of the coating is investigated by Fourier transform infrared spectroscopy and energy dispersive x-ray spectroscopy to show correlations with barrier properties of the films. The oxygen permeation barrier is determined by the carrier gas method using an electrochemical detector. The transition from low to high barrier films is mapped by the transition from organic SiO x C y H z layers to quartz-like SiO 1.7 films containing silanol bound hydrogen. A residual permeation as low as J = 1 ± 0.3 cm 3 m -2 day -1 bar -1 is achieved, which is a good value for food packaging applications. Additionally, the activation energy E p of oxygen permeation is analysed and a strong increase from E p = 31.5 kJ mol -1 for SiO x C y H z -like coatings to E p = 53.7 kJ mol -1 for SiO 1.7 films is observed by increasing the oxygen dilution of HMDSO:O 2 plasma. The reason for the residual permeation of high barrier films is discussed and coating defects are visualized by capacitively coupled atomic oxygen plasma etching of coated substrates. A defect density of 3000 mm -2 is revealed

  17. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    Science.gov (United States)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  18. Multifunctional hybrid coating on titanium towards hydroxyapatite growth: Electrodeposition of tantalum and its molecular functionalization with organophosphonic acids films

    International Nuclear Information System (INIS)

    Arnould, Christelle; Delhalle, Joseph; Mekhalif, Zineb

    2008-01-01

    Titanium and its alloys are base materials used in the dental and orthopaedic fields owing to suitable intrinsic properties: good biocompatibility, high corrosion resistance and excellent mechanical properties. However, the bonding between titanium and bone tissue is not always strong enough and can become a critical problem. In this context, the two main objectives of this paper are the increase of the corrosion resistance and the improvement of the hydroxyapatite (HAp) growth. The surface modification considered here is achieved in three main steps and consists in the elaboration of different inorganic and organic coatings. The first step is the elaboration of electrodeposition of tantalum on the titanium oxide film of a titanium substrate. The second step is the modification of the tantalum oxide coating with organophosphonic acids. The last step is the nucleation and growth of HAP on the outermost layer of the system by immersion in a simulated body fluid. The hybrid coating tantalum oxide/organophosphonic acids/molecular layer is shown to be promising for orthopaedic implants

  19. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  20. Vibrational Spectroscopy of Chemical Species in Silicon and Silicon-Rich Nitride Thin Films

    Directory of Open Access Journals (Sweden)

    Kirill O. Bugaev

    2012-01-01

    Full Text Available Vibrational properties of hydrogenated silicon-rich nitride (SiN:H of various stoichiometry (0.6≤≤1.3 and hydrogenated amorphous silicon (a-Si:H films were studied using Raman spectroscopy and Fourier transform infrared spectroscopy. Furnace annealing during 5 hours in Ar ambient at 1130∘C and pulse laser annealing were applied to modify the structure of films. Surprisingly, after annealing with such high-thermal budget, according to the FTIR data, the nearly stoichiometric silicon nitride film contains hydrogen in the form of Si–H bonds. From analysis of the FTIR data of the Si–N bond vibrations, one can conclude that silicon nitride is partly crystallized. According to the Raman data a-Si:H films with hydrogen concentration 15% and lower contain mainly Si–H chemical species, and films with hydrogen concentration 30–35% contain mainly Si–H2 chemical species. Nanosecond pulse laser treatments lead to crystallization of the films and its dehydrogenization.

  1. Electrical conductivity of free-standing mesoporous silicon thin films

    International Nuclear Information System (INIS)

    Khardani, M.; Bouaicha, M.; Dimassi, W.; Zribi, M.; Aouida, S.; Bessais, B.

    2006-01-01

    The effective electrical conductivity of free-standing p + -type porous silicon layers having porosities ranging from 30% to 80% was studied at both experimental and theoretical sides. An Effective Medium Approximation (EMA) model was used as a theoretical support. The porous silicon (PS) films were prepared by the electrochemical etching method for different values of the anodic current density. In order to model the PS electrical conductivity, the free-standing porous layer was assumed to be formed of three phases; vacuum, oxide and Si nanocrystallites. The analytical expression of the electrical conductivity of the Si nanocrystallites was established using the quantum confinement theory. This enables us to correlate the electrical conductivity of the mesoporous film to the value of the effective band gap energy estimated from the absorption coefficient. A perfect agreement between the theoretical and the experimental electrical conductivity values was obtained for all prospected PS porosities

  2. Surface Corrosion Resistance in Turning of Titanium Alloy

    Directory of Open Access Journals (Sweden)

    Rui Zhang

    2015-01-01

    Full Text Available This work addresses the issues associated with implant surface modification. We propose a method to form the oxide film on implant surfaces by dry turning to generate heat and injecting oxygen-rich gas at the turning-tool flank. The morphology, roughness, composition, and thickness of the oxide films in an oxygen-rich atmosphere were characterized using scanning electron microscopy, optical profiling, and Auger electron spectroscopy. Electrochemical methods were used to study the corrosion resistance of the modified surfaces. The corrosion resistance trends, analyzed relative to the oxide film thickness, indicate that the oxide film thickness is the major factor affecting the corrosion resistance of titanium alloys in a simulated body fluid (SBF. Turning in an oxygen-rich atmosphere can form a thick oxide film on the implant surface. The thickness of surface oxide films processed at an oxygen concentration of 80% was improved to 4.6 times that of films processed at an oxygen concentration of 21%; the free corrosion potential shifted positively by 0.357 V, which significantly improved the corrosion resistance of titanium alloys in the SBF. Therefore, the proposed method may (partially replace the subsequent surface oxidation. This method is significant for biomedical development because it shortens the process flow, improves the efficiency, and lowers the cost.

  3. Effects of palladium coatings on oxygen sensors of titanium dioxide thin films

    International Nuclear Information System (INIS)

    Castaneda, L.

    2007-01-01

    Titanium dioxide (TiO 2 -anatase phase) thin films were deposited by the ultrasonic spray pyrolysis technique employing titanium (IV) oxide acetylacetonate (TiO(acac) 2 ) dissolved in pure methanol as a source material. In order to prepare oxygen sensors, TiO 2 thin films were deposited on interdigitated gold electrodes with contacted alumina substrates. Palladium (Pd) coatings were carried out by vacuum thermal evaporation through a metallic mask. The effect of the surface additive (Pd) on the response of the thin film TiO 2 oxygen sensors was monitored in a mixture with zero-grade air. The electrical characterization (monitoring of the electrical surface resistance with the operation temperature) of the sensors in an atmosphere of oxygen (diluted in zero-grade air) was performed in a vacuum chamber (10 -6 Torr), where the gas pressure can be controlled. The films sensitivity was estimated by the following relation: s=R gas -R 0 /R 0 . The response time of the sensor is defined to be the time needed to reach a 0.9R 0 value when the oxygen excess is removed. The gas-sensing properties of TiO 2 sensors in an atmosphere of 10 4 ppm of oxygen were measured between 100 and 450 deg. C. Experimental results obtained using palladium as a surface additive show that the sensitivity reaches a stationary value of 1.18 for O 2 concentration of 100ppm in zero-grade air at 300 deg. C, which is as high as those reported for oxygen sensors prepared with more expensive and complex techniques. The role and activity of palladium coatings incorporated on solid-state oxygen sensors are determined by their chemical state, aggregation form and interaction with the metal-oxide semiconductor

  4. Simple solution-processed titanium oxide electron transport layer for efficient inverted polymer solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Liang [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, Qingdao 266101 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Shen, Wenfei [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, Qingdao 266101 (China); Institute of Hybrid Materials, Laboratory of New Fiber Materials and Modern Textile—The Growing Base for State Key Laboratory, Qingdao University, Qingdao 266071 (China); Chen, Weichao [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, Qingdao 266101 (China); Bao, Xichang, E-mail: baoxc@qibebt.ac.cn [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, Qingdao 266101 (China); Wang, Ning; Dou, Xiaowei; Han, Liangliang; Wen, Shuguang [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, Qingdao 266101 (China)

    2014-12-31

    Titanium oxide (TiO{sub X}) is an effective electron transport layer (ETL) in polymer solar cells (PSCs). We report efficient inverted PSCs with a simple solution-processed amorphous TiO{sub X} (s-TiO{sub X}) film as an ETL. The s-TiO{sub X} film with high light transmittance was prepared by spin-coating titanium (IV) isopropoxide isopropanol solution on indium tin oxide coated glass in inert and then placed in air under room temperature for 60 min. The introduction of s-TiO{sub X} ETL greatly improved the short circuit current density of the devices. PSCs based on poly(3-hexylthiophene):[6,6]-phenyl-C61-butyric acid methyl ester and poly(4,8-bis-alkyloxy-benzo[1,2-b:4,5-b′]dithiophene-alt-alkylcarbonyl -thieno[3,4-b]thiophene):[6,6]-phenyl- C71-butyric acid methyl ester using s-TiO{sub X} film as ETL shows high power conversion efficiency of 4.29% and 6.7% under the illumination of AM 1.5G, 100 mW/cm{sup 2}, which shows enhancements compared to the conventional PSCs with poly(styrenesulfonate)-doped poly(ethylenedioxythiophene) as anode buffer layer. In addition, the device exhibits good stability in a humid ambient atmosphere without capsulation. The results indicate that the annealing-free, simple solution processed s-TiO{sub X} film is an efficient ETL for high-performance PSCs. - Highlights: • High quality s-TiO{sub X} films were prepared by a simple, solution method without thermal treatment. • The s-TiO{sub X} films with high transmittance are very smooth. • The organic photovoltaic performance with s-TiO{sub X} film improved greatly and exhibited good stability. • The annealing-free, simple prepared s-TiO{sub X} film will be much compatible with flexible substrates.

  5. On the origin of the changes in the opto-electrical properties of boron-doped zinc oxide films after plasma surface treatment for thin-film silicon solar cell applications

    Science.gov (United States)

    Le, Anh Huy Tuan; Kim, Youngkuk; Lee, Youn-Jung; Hussain, Shahzada Qamar; Nguyen, Cam Phu Thi; Lee, Jaehyung; Yi, Junsin

    2018-03-01

    The modification of the steep and sharp valleys on the surface of the boron-doped zinc oxide (BZO) front electrodes by plasma surface treatment is a critical process for avoiding a significant reduction in the electrical performance of thin-film silicon solar cells. In this work, we report the origin of the changes in the electrical and optical properties of the BZO films that occur after this process. On the basis of an analysis of the chemical states, we found an improvement of the carrier concentration along with the treatment time that was mainly due to an increase of the oxygen vacancy. This indicated a deficiency of the oxygen in the BZO films under argon-ion bombardment. The red-shift of the A1 longitudinal optical mode frequency in the Raman spectra that was attributed to the existence of vacancy point defects within the films also strengthened this argument. The significant reduction of the haze ratio as well as the appearance of interference peaks on the transmittance spectra as the treatment time was increased were mainly due to the smoothing of the film surface, which indicated a degradation of the light-scattering capability of the BZO films. We also observed a gain of the visible-region transmittance that was attributed to the decrease of the thickness of the BZO films after the plasma surface treatment, instead of the crystallinity improvement. On the basis of our findings, we have proposed a further design rule of the BZO front electrodes for thin-film silicon solar cell applications.

  6. Effect of deposition temperature on the bonding configurations and properties of fluorine doped silicon oxide film

    International Nuclear Information System (INIS)

    Lu, Wei-Lun; Kuo, Ting-Wei; Huang, Chun-Hsien; Wang, Na-Fu; Tsai, Yu-Zen; Wang, Ming-Wei; Hung, Chen-I.; Houng, Mau-Phon

    2011-01-01

    In our study, fluorine-doped silicon oxide (SiOF) films were prepared using a mixture of SiH 4 , N 2 O, and CF 4 in a conventional plasma enhanced chemical vapor deposition system at various deposition temperatures. Deposition behaviors are determined by the deposition temperature. Our results show that for temperatures below 300 deg. C the process is surface-reaction-limited controlled, but becomes diffusion-limited when the deposition temperature exceeds 300 deg. C. The surface topography images obtained using an atomic force microscope show that a large amount of free volume space was created in the film with a low temperature deposition. The optical microscope and secondary ion mass spectrometer analyses show that precipitates were produced at the near-surface at the deposition temperature of 150 deg. C with a higher fluorine concentration of 2.97 at.%. Our results show that the properties of the SiOF film are controlled not only by the free volume space but also by the fluorine concentration. An optimal SiOF film prepared at a temperature of 200 deg. C shows a low dielectric constant of 3.55, a leakage current of 1.21 x 10 -8 A/cm 2 at 1 MV/cm, and a fluorine concentration of 2.5 at.%.

  7. Characterization and corrosion resistance of anodic electrodeposited titanium oxide/phosphate films on Ti-20Nb-10Zr-5Ta bioalloy

    Energy Technology Data Exchange (ETDEWEB)

    Popa, Monica; Vasilescu, Cora; Drob, Silviu I.; Osiceanu, Petre; Anastasescu, Mihai; Calderon-Moreno, Jose M., E-mail: josecalderonmoreno@yahoo.com [Institute of Physical Chemistry ' Ilie Murgulescu' of the Romanian Academy, Bucharest (Romania)

    2013-07-15

    In this work, the anodic galvanostatic electrodeposition of an oxidation film containing phosphates on Ti-20Nb-10Zr-5Ta alloy from orthophosphoric acid solution is presented. Its composition was determined by X-ray diffractometry (XRD), Fourier transform infrared spectroscopy (FTIR) and Raman micro-spectroscopy, and its topography by atomic force microscopy (AFM). The corrosion resistance of the coated alloy in simulated human fluid (by linear polarization method and monitoring of open circuit potentials, corresponding open circuit potential gradients) as well as the characterization of the coating (by Raman spectroscopy and depth profile X-ray photoelectron spectroscopy (XPS)) deposited in a period of 300 h soaking in simulated human body fluid were studied. The electrodeposited film was composed of amorphous titanium dioxide and contained phosphate groups. The corrosion resistance of the coated Ti-20Nb-10Zr-5Ta alloy in neutral and alkaline Ringer's solutions was higher than that of the bare alloy due to the protective properties of the electrodeposited film. The corrosion parameters improved over time as result of the thickening of the surface film by the deposition from the physiological solution. The deposited coating presented a variable composition in depth: at the deeper layer nucleated nanocrystalline hydroxyapatite and at the outer layer amorphous calcium phosphate. (author)

  8. Characterization and corrosion resistance of anodic electrodeposited titanium oxide/phosphate films on Ti-20Nb-10Zr-5Ta bioalloy

    International Nuclear Information System (INIS)

    Popa, Monica; Vasilescu, Cora; Drob, Silviu I.; Osiceanu, Petre; Anastasescu, Mihai; Calderon-Moreno, Jose M.

    2013-01-01

    In this work, the anodic galvanostatic electrodeposition of an oxidation film containing phosphates on Ti-20Nb-10Zr-5Ta alloy from orthophosphoric acid solution is presented. Its composition was determined by X-ray diffractometry (XRD), Fourier transform infrared spectroscopy (FTIR) and Raman micro-spectroscopy, and its topography by atomic force microscopy (AFM). The corrosion resistance of the coated alloy in simulated human fluid (by linear polarization method and monitoring of open circuit potentials, corresponding open circuit potential gradients) as well as the characterization of the coating (by Raman spectroscopy and depth profile X-ray photoelectron spectroscopy (XPS)) deposited in a period of 300 h soaking in simulated human body fluid were studied. The electrodeposited film was composed of amorphous titanium dioxide and contained phosphate groups. The corrosion resistance of the coated Ti-20Nb-10Zr-5Ta alloy in neutral and alkaline Ringer's solutions was higher than that of the bare alloy due to the protective properties of the electrodeposited film. The corrosion parameters improved over time as result of the thickening of the surface film by the deposition from the physiological solution. The deposited coating presented a variable composition in depth: at the deeper layer nucleated nanocrystalline hydroxyapatite and at the outer layer amorphous calcium phosphate. (author)

  9. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Science.gov (United States)

    Youroukov, S.; Kitova, S.; Danev, G.

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO2 together with concurrent bombardment with low energy N2+ ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N2+ ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV).

  10. Research and development of photovoltaic power system. Development of novel technologies for fabrication of high quality silicon thin films for solar cells; Taiyoko hatsuden system no kenkyu kaihatsu. Kohinshitsu silicon usumaku sakusei gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Shimizu, T [Kanazawa University, Ishikawa (Japan). Faculty of Engineering

    1994-12-01

    Described herein are the results of the FY1994 research program for development of novel technologies for fabrication of high quality thin films of silicon for solar cells. The study on the mechanisms and effects of chemical annealing reveals that the film structure greatly varies depending on substrate temperature during the hydrotreatment process, based on the tests with substrate temperature, deposition of superthin film (T1) and hydrotreatment (T2) as the variable parameters. Chemical annealing at low temperature produces a high-quality a-Si:H film of low defect content. The study on fabrication of thin polycrystalline silicon films at low temperature observes on real time the process of deposition of the thin films on polycrystalline silicon substrates, where a natural oxide film is removed beforehand from the substrate. The results indicate that a thin polycrystalline silicon film of 100% crystallinity can be formed even on a polycrystalline silicon substrate by controlling starting gas composition and substrate temperature. The layer-by-layer method is used as the means for forming the seed crystals on a glass substrate, where deposition and hydrotreatment are repeated alternately, to produce the thin crystalline silicon films of high crystallinity. 3 figs.

  11. Density gradient in SiO 2 films on silicon as revealed by positron annihilation spectroscopy

    Science.gov (United States)

    Revesz, A. G.; Anwand, W.; Brauer, G.; Hughes, H. L.; Skorupa, W.

    2002-06-01

    Positron annihilation spectroscopy of thermally grown and deposited SiO 2 films on silicon shows in a non-destructive manner that these films have a gradient in their density. The gradient is most pronounced for the oxide grown in dry oxygen. Oxidation in water-containing ambient results in an oxide with reduced gradient, similarly to the gradient in the deposited oxide. These observations are in accordance with earlier optical and other studies using stepwise etching or a set of samples of varying thickness. The effective oxygen charge, which is very likely one of the reasons for the difference in the W parameters of silica glass and quartz crystal, could be even higher at some localized configurations in the SiO 2 films resulting in increased positron trapping.

  12. High-density oxidized porous silicon

    International Nuclear Information System (INIS)

    Gharbi, Ahmed; Souifi, Abdelkader; Remaki, Boudjemaa; Halimaoui, Aomar; Bensahel, Daniel

    2012-01-01

    We have studied oxidized porous silicon (OPS) properties using Fourier transform infraRed (FTIR) spectroscopy and capacitance–voltage C–V measurements. We report the first experimental determination of the optimum porosity allowing the elaboration of high-density OPS insulators. This is an important contribution to the research of thick integrated electrical insulators on porous silicon based on an optimized process ensuring dielectric quality (complete oxidation) and mechanical and chemical reliability (no residual pores or silicon crystallites). Through the measurement of the refractive indexes of the porous silicon (PS) layer before and after oxidation, one can determine the structural composition of the OPS material in silicon, air and silica. We have experimentally demonstrated that a porosity approaching 56% of the as-prepared PS layer is required to ensure a complete oxidation of PS without residual silicon crystallites and with minimum porosity. The effective dielectric constant values of OPS materials determined from capacitance–voltage C–V measurements are discussed and compared to FTIR results predictions. (paper)

  13. Synthesis and Characterization of Titanium Dioxide Thin Film for Sensor Applications

    Science.gov (United States)

    Latha, H. K. E.; Lalithamba, H. S.

    2018-03-01

    Titanium oxide (TiO2) nanoparticles (metal oxide semiconductor) are successfully synthesized using hydrothermal method for sensor application. Titanium dioxide and Sodium hydroxide are used as precursors. These reactants are mixed and calcinated at 400 °C to produce TiO2 nanoparticles. The crystalline structure, morphology of synthesized TiO2 nanoparticles are studied using x-ray diffraction (XRD), Fourier Transform Infrared (FTIR) analysis and scanning electron microscopy (SEM). XRD results revealed that the prepared TiO2 sample is highly crystalline, having Anatase crystal structure. FT-IR spectra peak at 475 cm‑1 indicated characteristic absorption bands of TiO2 nanoparticles. The XRD and FTIR result confirmed the formation of high purity of TiO2 nanoparticles. The SEM image shows that TiO2 nanoparticles prepared in this study are spherical in shape. Synthesized TiO2 nanoparticles are deposited on glass substrate at room temperature using E beam evaporation method to determine gauge factor and found to be 4.7. The deposited TiO2 thin films offer tremendous potential in the applications of electronic and magneto–electric devices.

  14. Antibacterial Properties of Titanate Nano fiber Thin Films Formed on a Titanium Plate

    International Nuclear Information System (INIS)

    Yada, M.; Inoue, Y.; Morita, T.; Torikai, T.; Watari, T.; Noda, I.; Hotokebuchi, T.

    2013-01-01

    A sodium titanate nano fiber thin film and a silver nanoparticle/silver titanate nano fiber thin film formed on the surface of a titanium plate exhibited strong antibacterial activities against methicillin-resistant Staphylococcus aureus, which is one of the major bacteria causing in-hospital infections. Exposure of the sodium titanate nano fiber thin film to ultraviolet rays generated a high antibacterial activity due to photo catalysis and the sodium titanate nano fiber thin film immediately after its synthesis possessed a high antibacterial activity even without exposure to ultraviolet rays. Elution of silver from the silver nanoparticle/silver titanate nano fiber thin film caused by the silver ion exchange reaction was considered to contribute substantially to the strong antibacterial activity. The titanate nano fiber thin films adhered firmly to titanium. Therefore, these titanate nano fiber thin film/titanium composites will be extremely useful as implant materials that have excellent antibacterial activities.

  15. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  16. Photocatalysis of zinc oxide nanotip array/titanium oxide film heterojunction prepared by aqueous solution deposition

    Science.gov (United States)

    Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu

    2017-05-01

    A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.

  17. Sol-gel derived antireflective coatings for silicon

    Energy Technology Data Exchange (ETDEWEB)

    Brinker, C J; Harrington, M S

    1981-08-01

    The preparation of TiO2-SiO2 AR coatings, containing from 30 to 95 mol % TiO2, from alkoxide precursor solutions (titanium tetraethoxide and silicon tetraethoxide) by a sol-gel process is presented. The preparation of the solutions is described, which involves the separate partial hydrolysis of one or both alkoxides prior to their mixing (Yoldas, 1980). The solutions are applied to polished, circular (1 and 2 in. diameter) silicon wafers by a spinning process. The coated wafers are successively heated in air at each of the following temperatures: 200, 300, 350, 400, and 450 C, and optical measurements are performed on them after each heat treatment. The durability of 90 and 95% TiO2 coatings is evaluated in both acidic and basic environments, and reflectivity, film thickness, and refractive index are measured as a function of exposure time. It is shown that sol-gel films applied at 400 C reveal broad regions of antireflectance compared to other titanium-based films.

  18. Effect of thermal processing on silver thin films of varying thickness deposited on zinc oxide and indium tin oxide

    International Nuclear Information System (INIS)

    Sivaramakrishnan, K.; Ngo, A. T.; Alford, T. L.; Iyer, S.

    2009-01-01

    Silver films of varying thicknesses (25, 45, and 60 nm) were deposited on indium tin oxide (ITO) on silicon and zinc oxide (ZnO) on silicon. The films were annealed in vacuum for 1 h at different temperatures (300-650 deg. C). Four-point-probe measurements were used to determine the resistivity of the films. All films showed an abrupt change in resistivity beyond an onset temperature that varied with thickness. Rutherford backscattering spectrometry measurements revealed agglomeration of the Ag films upon annealing as being responsible for the resistivity change. X-ray pole figure analysis determined that the annealed films took on a preferential texturing; however, the degree of texturing was significantly higher in Ag/ZnO/Si than in Ag/ITO/Si samples. This observation was accounted for by interface energy minimization. Atomic force microscopy (AFM) measurements revealed an increasing surface roughness of the annealed films with temperature. The resistivity behavior was explained by the counterbalancing effects of increasing crystallinity and surface roughness. Average surface roughness obtained from the AFM measurements were also used to model the agglomeration of Ag based on Ostwald ripening theory

  19. Deposition of silicon oxynitride films by low energy ion beam assisted nitridation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Youroukov, S; Kitova, S; Danev, G [Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 113 Sofia (Bulgaria)], E-mail: skitova@clf.bas.bg

    2008-05-01

    The possibility is studied of growing thin silicon oxynitride films by e-gun evaporation of SiO and SiO{sub 2} together with concurrent bombardment with low energy N{sub 2}{sup +} ions from a cyclotron resonance (ECR) source at room temperature of substrates. The degree of nitridation and oxidation of the films is investigated by means of X-ray spectroscopy. The optical characteristics of the films, their environmental stability and adhesion to different substrates are examined. The results obtained show than the films deposited are transparent. It is found that in the case of SiO evaporation with concurrent N{sub 2}{sup +} ion bombardment, reactive implantation of nitrogen within the films takes place at room temperature of the substrate with the formation of a new silicon oxynitride compound even at low ion energy (150-200 eV)

  20. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  1. Synchrotron-radiation XPS analysis of ultra-thin silane films: Specifying the organic silicon

    Energy Technology Data Exchange (ETDEWEB)

    Dietrich, Paul M., E-mail: paul.dietrich@yahoo.de [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Glamsch, Stephan [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Ehlert, Christopher [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Institut für Chemie, Universität Potsdam, Karl-Liebknecht-Straße 24-25, 14476 Potsdam (Germany); Lippitz, Andreas [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany); Kulak, Nora [Freie Universität Berlin, Institut für Chemie und Biochemie, Fabeckstr. 34/36, 14195 Berlin (Germany); Unger, Wolfgang E.S. [Bundesanstalt für Materialforschung und – prüfung (BAM), Unter den Eichen 87, 12205 Berlin (Germany)

    2016-02-15

    Graphical abstract: - Highlights: • A synchrotron-based XPS method to analyze ultra-thin silane films is presented. • Specification and quantification of organic next to inorganic silicon is demonstrated. • Non-destructive chemical depth profiles of the silane monolayers were obtained. - Abstract: The analysis of chemical and elemental in-depth variations in ultra-thin organic layers with thicknesses below 5 nm is very challenging. Energy- and angle-resolved XPS (ER/AR-XPS) opens up the possibility for non-destructive chemical ultra-shallow depth profiling of the outermost surface layer of ultra-thin organic films due to its exceptional surface sensitivity. For common organic materials a reliable chemical in-depth analysis with a lower limit of the XPS information depth z{sub 95} of about 1 nm can be performed. As a proof-of-principle example with relevance for industrial applications the ER/AR-XPS analysis of different organic monolayers made of amino- or benzamidosilane molecules on silicon oxide surfaces is presented. It is demonstrated how to use the Si 2p core-level region to non-destructively depth-profile the organic (silane monolayer) – inorganic (SiO{sub 2}/Si) interface and how to quantify Si species, ranging from elemental silicon over native silicon oxide to the silane itself. The main advantage of the applied ER/AR-XPS method is the improved specification of organic from inorganic silicon components in Si 2p core-level spectra with exceptional low uncertainties compared to conventional laboratory XPS.

  2. Spectro-ellipsometric studies of sputtered amorphous Titanium dioxide thin films: simultaneous determination of refractive index, extinction coefficient, and void distribution

    CERN Document Server

    Lee, S I; Oh, S G

    1999-01-01

    Amorphous titanium dioxide thin films were deposited onto silicon substrates by using RF magnetron sputtering, and the index of refraction, the extinction coefficient, and the void distribution of these films were simultaneously determined from the analyses of there ellipsometric spectra. In particular, our novel strategy, which combines the merits of multi-sample fitting, the dual dispersion function, and grid search, was proven successful in determining optical constants over a wide energy range, including the energy region where the extinction coefficient was large. Moreover, we found that the void distribution was dependent on the deposition conditions, such as the sputtering power, the substrate temperature, and the substrate surface.

  3. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. In addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.

  4. Electronic structure of indium-tungsten-oxide alloys and their energy band alignment at the heterojunction to crystalline silicon

    Science.gov (United States)

    Menzel, Dorothee; Mews, Mathias; Rech, Bernd; Korte, Lars

    2018-01-01

    The electronic structure of thermally co-evaporated indium-tungsten-oxide films is investigated. The stoichiometry is varied from pure tungsten oxide to pure indium oxide, and the band alignment at the indium-tungsten-oxide/crystalline silicon heterointerface is monitored. Using in-system photoelectron spectroscopy, optical spectroscopy, and surface photovoltage measurements, we show that the work function of indium-tungsten-oxide continuously decreases from 6.3 eV for tungsten oxide to 4.3 eV for indium oxide, with a concomitant decrease in the band bending at the hetero interface to crystalline silicon than indium oxide.

  5. Thermal reactions in mixtures of micron-sized silicon monoxide and titanium monoxide: redox paths overcoming passivation shells

    Czech Academy of Sciences Publication Activity Database

    Jandová, V.; Pokorná, D.; Kupčík, Jaroslav; Bezdička, Petr; Křenek, T.; Netrvalová, M.; Cuřínová, P.; Pola, J.

    2018-01-01

    Roč. 44, č. 1 (2018), s. 503-516 ISSN 0922-6168 Institutional support: RVO:61388980 Keywords : Silicon monoxide * Titanium monoxide * High-temperature * Oxygen-transfer reactions * Titanium suboxides * Titanium silicide * Methylene blue depletion Subject RIV: CA - Inorganic Chemistry OBOR OECD: Inorganic and nuclear chemistry Impact factor: 1.369, year: 2016

  6. "Silicon millefeuille": From a silicon wafer to multiple thin crystalline films in a single step

    Science.gov (United States)

    Hernández, David; Trifonov, Trifon; Garín, Moisés; Alcubilla, Ramon

    2013-04-01

    During the last years, many techniques have been developed to obtain thin crystalline films from commercial silicon ingots. Large market applications are foreseen in the photovoltaic field, where important cost reductions are predicted, and also in advanced microelectronics technologies as three-dimensional integration, system on foil, or silicon interposers [Dross et al., Prog. Photovoltaics 20, 770-784 (2012); R. Brendel, Thin Film Crystalline Silicon Solar Cells (Wiley-VCH, Weinheim, Germany 2003); J. N. Burghartz, Ultra-Thin Chip Technology and Applications (Springer Science + Business Media, NY, USA, 2010)]. Existing methods produce "one at a time" silicon layers, once one thin film is obtained, the complete process is repeated to obtain the next layer. Here, we describe a technology that, from a single crystalline silicon wafer, produces a large number of crystalline films with controlled thickness in a single technological step.

  7. The Effect of Annealing on the Structural and Optical Properties of Titanium Dioxide Films Deposited by Electron Beam Assisted PVD

    Directory of Open Access Journals (Sweden)

    Yaser M. Abdulraheem

    2013-01-01

    Full Text Available Titanium dioxide thin films were deposited on crystalline silicon substrates by electron beam physical vapor deposition. The deposition was performed under vacuum ranging from 10−5 to 10−6 Torr without process gases, resulting in homogeneous TiO2-x layers with a thickness of around 100 nm. Samples were then annealed at high temperatures ranging from 500°C to 800°C for 4 hours under nitrogen, and their structural and optical properties along with their chemical structure were characterized before and after annealing. The chemical and structural characterization revealed a substoichiometric TiO2-x film with oxygen vacancies, voids, and an interface oxide layer. It was found from X-ray diffraction that the deposited films were amorphous and crystallization to anatase phase occurred for annealed samples and was more pronounced for annealing temperatures above 700°C. The refractive index obtained through spectroscopic ellipsometry ranged between 2.09 and 2.37 in the wavelength range, 900 nm to 400 nm for the as-deposited sample, and jumped to the range between 2.23 and 2.65 for samples annealed at 800°C. The minimum surface reflectance changed from around 0.6% for the as-deposited samples to 2.5% for the samples annealed at 800°C.

  8. Application of titanium oxide nanotube films containing gold nanoparticles for the electroanalytical determination of ascorbic acid

    Energy Technology Data Exchange (ETDEWEB)

    Hosseini, Mir Ghasem, E-mail: mg-hosseini@tabrizu.ac.ir; Faraji, Masoud; Momeni, Mohamad Mohsen

    2011-03-31

    Au/TiO{sub 2}/Ti electrodes have been prepared by galvanic deposition of gold particles on TiO{sub 2} nanotube substrates. Titanium oxide nanotubes are fabricated by anodizing titanium foil in a Dimethyl Sulfoxide electrolyte containing fluoride. The scanning electron microscopy results indicated that gold particles are homogeneously deposited on the surface of TiO{sub 2} nanotubes. The TiO{sub 2} layers consist of individual tubes of about 40-80 nm diameters. The electro-catalytic behavior of Au/TiO{sub 2}/Ti and flat gold electrodes for the ascorbic acid electro-oxidation was studied by cyclic voltammetry. The results showed that the flat gold electrode is not suitable for the oxidation of ascorbic acid. However, the Au/TiO{sub 2}/Ti electrodes are shown to possess catalytic activity toward the oxidation reaction. Catalytic oxidation peak current showed a linear dependence on the ascorbic acid concentration and a linear calibration curve is obtained in the concentration range of 1-5 mM of ascorbic acid. Also, determination of ascorbic acid in real samples was evaluated. The obtained results were found to be satisfactory. Finally the effects of interference on the detection of ascorbic acid were investigated.

  9. Polycystalline silicon thin films for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Jaeger, Christian Claus

    2012-01-15

    For the thin polycrystalline Si films fabricated with the aluminium-induced-layer-exchange (ALILE) process a good structural quality up to a layer-thickness value of 10 nm was determined. For 5 nm thick layers however after the layer exchange no closes poly-silicon film was present. In this case the substrate was covered with spherically arranged semiconductor material. Furthermore amorphous contributions in the layer could be determined. The electrical characterization of the samples at room temperature proved a high hole concentration in the range 10{sup 18} cm{sup -3} up to 9.10{sup 19} cm{sup -3}, which is influenced by the process temperature and the layer thickness. Hereby higher hole concentrations at higher process temperatures and thinner films were observed. Furthermore above 150-200 K a thermically activated behaviour of the electrical conductivity was observed. At lower temperatures a deviation of the measured characteristic from the exponential Arrhenius behaviour was determined. For low temperatures (below 20 K) the conductivity follows the behaviour {sigma}{proportional_to}[-(T{sub 0}/T){sup 1/4}]. The hole mobility in the layers was lowered by a passivation step, which can be explained by defect states at the grain boundaries. The for these very thin layers present situation was simulated in the framework of the model of Seto, whereby both the defect states at the grain boundaries (with an area density Q{sub t}) and the defect states at the interfaces (with an area density Q{sub it}) were regarded. By this the values Q{sub t}{approx}(3-4).10{sup 12} cm{sup -2} and Q{sub it}{approx}(2-5).10{sup 12} cm{sup -2} could be determined for these thin ALILE layers on quartz substrates. Additionally th R-ALILE process was studied, which uses the reverse precursor-layer sequence substrate/amorphous silicon/oxide/aluminium. Hereby two steps in the crystallization process of the R-ALILE process were found. First a substrate/Al-Si mixture/poly-Si layer structure

  10. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  11. Electrical properties improvement of multicrystalline silicon solar cells using a combination of porous silicon and vanadium oxide treatment

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2013-01-01

    In this paper, we will report the enhancement of the conversion efficiency of multicrystalline silicon solar cells after coating the front surface with a porous silicon layer treated with vanadium oxide. The incorporation of vanadium oxide into the porous silicon (PS) structure, followed by a thermal treatment under oxygen ambient, leads to an important decrease of the surface reflectivity, a significant enhancement of the effective minority carrier lifetime (τ eff ) and a significant enhancement of the photoluminescence (PL) of the PS structure. We Obtained a noticeable increase of (τ eff ) from 3.11 μs to 134.74 μs and the surface recombination velocity (S eff ) have decreased from 8441 cm s −1 to 195 cm s −1 . The reflectivity spectra of obtained films, performed in the 300–1200 nm wavelength range, show an important decrease of the average reflectivity from 40% to 5%. We notice a significant improvement of the internal quantum efficiency (IQE) in the used multicrystalline silicon substrates. Results are analyzed and compared to those carried out on a reference (untreated) sample. The electrical properties of the treated silicon solar cells were improved noticeably as regard to the reference (untreated) sample.

  12. Mechanochemistry of titanium oxides

    Directory of Open Access Journals (Sweden)

    Veljković Ivana

    2009-01-01

    Full Text Available Mechanochemistry represents an alternative route in synthesis of nanomaterials. Mechanochemical routes are attractive because of their simplicity, flexibility, and ability to prepare materials by solid state reactions at room temperature. The aim of this work is the mechanochemical synthesis of nanostructured titanium oxides of different composition starting from mixtures of Ti and TiO2, TiO and TiO2 or Ti2O3 and TiO2. Emphasis is on the Magneli phases Ti4O7 and Ti5O9 because their mixture is commercially known as EBONEX material. The materials prepared were characterized by XRPD, TG/DTA analysis, SEM and optical microscopy. Titanium monoxide and several Magneli oxides, Ti4O7, Ti5O9 and Ti6O11, are successfully prepared. The results are very interesting because the EBONEX materials were prepared at lower than usual temperature, which would decrease the effective cost of production.

  13. TiO2 thin and thick films grown on Si/glass by sputtering of titanium targets in an RF inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2015-01-01

    TiO 2 thin and thick films were deposited on silicon/glass substrates using RF inductive plasma in continuous wave. The films thickness, as well as phases control, is achieved with a gradual increase in temperature substrates varying supplied RF power or working gas pressure besides deposition time as well. The deposition conditions were: argon 80%/oxygen 20% carefully calibrated mixture of 2 to 7×10 −2 mbar as working gas pressure range. Deposition time 0.5 to 5 hours, 500 or 600 W RF power at 13.56 MHz frequency and 242-345 °C substrates temperature range. The titanium dioxide deposited on the substrates is grown by sputtering of a titanium target negatively polarized at 3-5 kV DC situated 14 mm in front of such substrates. The plasma reactor is a simple Pyrex-like glass cylindrical vessel of 50 cm long and 20 cm in diameter. Using the before describe plasma parameters we obtained films only anatase and both anatase/rutile phases with stoichiometric different. The films were characterized by X-ray photoelectron spectroscopy (XPS), stylus profilometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and Raman spectroscopy. (paper)

  14. Iodine-labelling of albumin and fibrinogen and application in selecting implantable material-titanium oxide

    International Nuclear Information System (INIS)

    Liu Fangyan; Zhou Meiying; Zhang Feng

    1998-01-01

    Human serum albumin and fibrinogen were successfully labelled with 125 I. The labelled proteins were further applied to carry out a background study on the selection of the blood-compatible materials. The protein adsorption of four kinds of titanium oxide film was determined and compared. It was found that Sample B can adsorb more albumin and less fibrinogen than other three samples and hold the adsorbed albumin most stably

  15. The titanium oxide phi system

    Science.gov (United States)

    Galehouse, D. C.; Davis, S. P.

    1980-01-01

    The phy system of titanium oxide has been studied in emission in the near-infrared, with the Fourier transform spectrometer at a resolution of 8000,000. Approximately 3000 lines from 25 bands of this system have been identified, including all five 0-0 and 0-1 bands corresponding to the five natural titanium isotopes. Eleven vibrational levels have been observed, and all bands have been rotationally analyzed. Band intensities are agreement with known isotopic abundances and calculated Franck-Condon factors.

  16. Laser process for extended silicon thin film solar cells

    International Nuclear Information System (INIS)

    Hessmann, M.T.; Kunz, T.; Burkert, I.; Gawehns, N.; Schaefer, L.; Frick, T.; Schmidt, M.; Meidel, B.; Auer, R.; Brabec, C.J.

    2011-01-01

    We present a large area thin film base substrate for the epitaxy of crystalline silicon. The concept of epitaxial growth of silicon on large area thin film substrates overcomes the area restrictions of an ingot based monocrystalline silicon process. Further it opens the possibility for a roll to roll process for crystalline silicon production. This concept suggests a technical pathway to overcome the limitations of silicon ingot production in terms of costs, throughput and completely prevents any sawing losses. The core idea behind these thin film substrates is a laser welding process of individual, thin silicon wafers. In this manuscript we investigate the properties of laser welded monocrystalline silicon foils (100) by micro-Raman mapping and spectroscopy. It is shown that the laser beam changes the crystalline structure of float zone grown silicon along the welding seam. This is illustrated by Raman mapping which visualizes compressive stress as well as tensile stress in a range of - 147.5 to 32.5 MPa along the welding area.

  17. Graphene Oxide-TiO2 Nanocomposite Films for Electron Transport Applications

    Science.gov (United States)

    Saleem, Abida; Ullah, Naveed; Khursheed, Kamran; Iqbal, Tahir; Shah, Saqlain A.; Asjad, Muhammad; Sarwar, Nazim; Saleem, Murtaza; Arshad, Muhammad

    2018-03-01

    Graphene oxide-titanium dioxide (GO-TiO2) nanocomposite thin films were prepared for application as the window layer of perovskite solar cells. Graphene oxide (GO) was prepared by a modified Hummer's method, and titanium dioxide (TiO2) nanoparticles were synthesized by hydrothermal solution method. Thin films of GO-TiO2 nanocomposite were prepared with different wt.% of GO by spin coating on indium tin oxide (ITO) substrate followed by annealing at 150°C. X-ray diffraction analysis revealed rutile phase of TiO2 nanostructures. The bandgap of the pure TiO2 thin film was found to be 3.5 eV, reducing to 2.9 eV for the GO-TiO2 nanocomposites with a red-shift towards higher wavelength. Furthermore, thermal postannealing at 400°C improved the transparency in the visible region and decreased the sheet resistance. Morphological and elemental analysis was performed by scanning electron microscopy and energy-dispersive x-ray spectroscopy, respectively. The current-voltage characteristic of the GO-TiO2 nanocomposites indicated Ohmic contact with the ITO substrate. The chemical composition of the as-synthesized GO-TiO2 nanocomposites was investigated by x-ray photoelectron spectroscopy (XPS). The results presented herein demonstrate a new, low-temperature solution-processing approach to obtain rGO-TiO2 composite material for use as the electron transport layer of perovskite solar cells.

  18. Oxidation of nitride films in aqueous solution: Correlation between surface analysis and electrochemical studies

    International Nuclear Information System (INIS)

    Brown, R.; Alias, M.N.

    1994-01-01

    Ac impedance and dc polarization tests of 304 stainless steels coated by cathodic arc plasma deposition (CAPD) titanium nitride and zirconium nitride were conducted in aqueous chloride solution. Cyclic polarization data suggested passive films were formed over the nitride coatings which are most likely hydrated titanium oxide and zirconium oxides. ESCA analysis of fresh samples and samples exposed during impedance tests indicated a layer rich in oxygen over the ZrN coating after exposure but not over TiN coating. Chemical shifts in the Zr 3d 5/2 core electrons indicate transformation from ZrN to its oxide; the shifts in Ti 2P 3/2 did not support the change from TiN to its oxide. The influence of these shifts on corrosion protection is documented

  19. Atomic layer deposition of calcium oxide and calcium hafnium oxide films using calcium cyclopentadienyl precursor

    International Nuclear Information System (INIS)

    Kukli, Kaupo; Ritala, Mikko; Sajavaara, Timo; Haenninen, Timo; Leskelae, Markku

    2006-01-01

    Calcium oxide and calcium hafnium oxide thin films were grown by atomic layer deposition on borosilicate glass and silicon substrates in the temperature range of 205-300 o C. The calcium oxide films were grown from novel calcium cyclopentadienyl precursor and water. Calcium oxide films possessed refractive index 1.75-1.80. Calcium oxide films grown without Al 2 O 3 capping layer occurred hygroscopic and converted to Ca(OH) 2 after exposure to air. As-deposited CaO films were (200)-oriented. CaO covered with Al 2 O 3 capping layers contained relatively low amounts of hydrogen and re-oriented into (111) direction upon annealing at 900 o C. In order to examine the application of CaO in high-permittivity dielectric layers, mixtures of Ca and Hf oxides were grown by alternate CaO and HfO 2 growth cycles at 230 and 300 o C. HfCl 4 was used as a hafnium precursor. When grown at 230 o C, the films were amorphous with equal amounts of Ca and Hf constituents (15 at.%). These films crystallized upon annealing at 750 o C, showing X-ray diffraction peaks characteristic of hafnium-rich phases such as Ca 2 Hf 7 O 16 or Ca 6 Hf 19 O 44 . At 300 o C, the relative Ca content remained below 8 at.%. The crystallized phase well matched with rhombohedral Ca 2 Hf 7 O 16 . The dielectric films grown on Si(100) substrates possessed effective permittivity values in the range of 12.8-14.2

  20. Silicon-doped hafnium oxide anti-ferroelectric thin films for energy storage

    Science.gov (United States)

    Ali, Faizan; Liu, Xiaohua; Zhou, Dayu; Yang, Xirui; Xu, Jin; Schenk, Tony; Müller, Johannes; Schroeder, Uwe; Cao, Fei; Dong, Xianlin

    2017-10-01

    Motivated by the development of ultracompact electronic devices as miniaturized energy autonomous systems, great research efforts have been expended in recent years to develop various types of nano-structural energy storage components. The electrostatic capacitors characterized by high power density are competitive; however, their implementation in practical devices is limited by the low intrinsic energy storage density (ESD) of linear dielectrics like Al2O3. In this work, a detailed experimental investigation of energy storage properties is presented for 10 nm thick silicon-doped hafnium oxide anti-ferroelectric thin films. Owing to high field induced polarization and slim double hysteresis, an extremely large ESD value of 61.2 J/cm3 is achieved at 4.5 MV/cm with a high efficiency of ˜65%. In addition, the ESD and the efficiency exhibit robust thermal stability in 210-400 K temperature range and an excellent endurance up to 109 times of charge/discharge cycling at a very high electric field of 4.0 MV/cm. The superior energy storage performance together with mature technology of integration into 3-D arrays suggests great promise for this recently discovered anti-ferroelectric material to replace the currently adopted Al2O3 in fabrication of nano-structural supercapacitors.

  1. Transparent conducting oxide contacts and textured metal back reflectors for thin film silicon solar cells

    Science.gov (United States)

    Franken, R. H.-J.

    2006-09-01

    With the growing population and the increasing environmental problems of the 'common' fossil and nuclear energy production, the need for clean and sustainable energy sources is evident. Solar energy conversion, such as in photovoltaic (PV) systems, can play a major role in the urgently needed energy transition in electricity production. At the present time PV module production is dominated by the crystalline wafer technology. Thin film silicon technology is an alternative solar energy technology that operates at lower efficiencies, however, it has several significant advantages, such as the possibility of deposition on cheap (flexible) substrates and the much smaller silicon material consumption. Because of the small thickness of the solar cells, light trapping schemes are needed in order to obtain enough light absorption and current generation. This thesis describes the research on thin film silicon solar cells with the focus on the optimization of the transparent conducting oxide (TCO) layers and textured metal Ag substrate layers for the use as enhanced light scattering back reflectors in n-i-p type of solar cells. First we analyzed ZnO:Al (TCO) layers deposited in an radio frequent (rf) magnetron deposition system equipped with a 7 inch target. We have focused on the improvement of the electrical properties without sacrificing the optical properties by increasing the mobility and decreasing the grain boundary density. Furthermore, we described some of the effects on light trapping of ZnO:Al enhanced back reflectors. The described effects are able to explain the observed experimental data. Furthermore, we present a relation between the surface morphology of the Ag back contact and the current enhancement in microcrystalline (muc-Si:H) solar cells. We show the importance of the lateral feature sizes of the Ag surface on the light scattering and introduce a method to characterize the quality of the back reflector by combining the vertical and lateral feature sizes

  2. Titanium dioxide (TIO2) thin film and plasma properties in RF magnetron sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2013-01-01

    Lately, titanium dioxide (TiO 2 ) films with anatase crystalline property received numerous attentions as unique material properties. There are wide applications of TiO 2 thin film such as for photocatalytic application in solar cell. In the present study, radio frequency (RF) magnetron sputtering technique has been used to produce high dense, homogeneously controllable film layer at low deposition temperature using titanium (Ti) target. The diameter of the Ti target is 3 inch with fixed discharge power of 400W. Magnetron sputtering plasma has been produced in high purity 99.99% Argon (Ar) and 99.99% Oxygen (O 2 ) environment pressure ranging from 5 to 20 mTorr. The TiO2 were growth on silicon and glass substrates. Substrate temperature during deposition was kept constant at 400°C. The distance between target and substrate holder was maintain at 14 cm with rotation of 10 rotation-per-minutes. Our X-ray diffraction result, shows anatase crystalline successfully formed with characterization peaks of plane (101) at 2θ = 25.28°, plane (202) at 2θ = 48.05° and plane (211) at 2θ = 55.06°. In addition, it is our interest to study the plasma properties and optical spectrum of Ti, Ti+ , O- , ArM and Ar+ in the chamber during the deposition process. Result of emission line intensities, electron density and temperature from optical spectroscope and Langmuir probe will be discuss further during the workshop. This works were supported by Graduate Incentive Scheme of Universiti Tun Hussein Onn Malaysia (UTHM) and Fundamental Research Grant Scheme of Ministry of Higher Education, Malaysia. (author)

  3. Study of the oxidation resistance of ZrxNand ZrxSi1-xN thin films deposited by reactive magnetron sputtering; Estudo da resistencia a oxidacao de filmes finos de ZrxN e ZrxSi1-xN depositados por magnetron sputtering reativo

    Energy Technology Data Exchange (ETDEWEB)

    Fernandez, D.R.; Freitas, F.G.R.; Felix, L.C.; Carvalho, R.G.; Fontes Junior, A.S.; Tentardini, E.K., E-mail: daniel.angel0275@gmail.com [Universidade Federal de Sergipe (UFS), Sao Cristovao, SE (Brazil). Departamento de Ciencia e Engenharia de Materiais; Silva Junior, H. da [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, RS (Brazil)

    2016-07-01

    The objective of this work is to evaluate the oxidation resistance on pure zirconium nitride thin films and with silicon addition (ZrN and ZrSiN respectively). The thin films deposition were performed using reactive magnetron sputtering. The coatings were characterized by Rutherford Backscattering Spectroscopy (RBS), grazing angle X ray diffraction (GAXRD), scanning electronic microscopy (SEM-FEG) and oxidation tests starting from 500°C to 700°C. This study evaluated thin films with silicon content up to 14,9 at.%. GAXRD results showed only ZrN characteristics peaks, which allow the inference that Si3N4 has an amorphous structure. Oxidation tests demonstrate that the film with highest silicon content shows an increase of 200°C in oxidation temperature when compared with ZrN pure thin film. (author)

  4. Synthesis of titanium oxide nanoparticles using DNA-complex as template for solution-processable hybrid dielectric composites

    Energy Technology Data Exchange (ETDEWEB)

    Ramos, J.C. [Center for Sustainable Materials Chemistry, 153 Gilbert Hall, Oregon State University, Corvallis, OR (United States); Mejia, I.; Murphy, J.; Quevedo, M. [Department of Materials Science and Engineering, University of Texas at Dallas, Dallas, TX (United States); Garcia, P.; Martinez, C.A. [Engineering and Technology Institute, Autonomous University of Ciudad Juarez, Ciudad Juarez, Chihuahua (Mexico)

    2015-09-15

    Highlights: • We developed a synthesis method to produce TiO{sub 2} nanoparticles using a DNA complex. • The nanoparticles were anatase phase (~6 nm diameter), and stable in alcohols. • Composites showed a k of 13.4, 4.6 times larger than the k of polycarbonate. • Maximum processing temperature was 90 °C. • Low temperature enables their use in low-voltage, low-cost, flexible electronics. - Abstract: We report the synthesis of TiO{sub 2} nanoparticles prepared by the hydrolysis of titanium isopropoxide (TTIP) in the presence of a DNA complex for solution processable dielectric composites. The nanoparticles were incorporated as fillers in polycarbonate at low concentrations (1.5, 5 and 7 wt%) to produce hybrid dielectric films with dielectric constant higher than thermally grown silicon oxide. It was found that the DNA complex plays an important role as capping agent in the formation and suspension stability of nanocrystalline anatase phase TiO{sub 2} at room temperature with uniform size (∼6 nm) and narrow distribution. The effective dielectric constant of spin-cast polycarbonate thin-films increased from 2.84 to 13.43 with the incorporation of TiO{sub 2} nanoparticles into the polymer host. These composites can be solution processed with a maximum temperature of 90 °C and could be potential candidates for its application in low-cost macro-electronics.

  5. Evaluation of the properties of TiO2 films on titanium

    International Nuclear Information System (INIS)

    Panizza, C.

    2009-01-01

    We report the results of laboratory tests concerning the characterization of photo catalytic properties of titanium dioxide films obtained on titanium substrates by using three different techniques for anodizing. Been investigated in scanning electron microscopy, X-ray analysis cyclic voltammetry. [it

  6. Growth of (100)-highly textured BaBiO{sub 3} thin films on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Ferreyra, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); Departamento de Física, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 1, Ciudad Universitaria, Buenos Aires (Argentina); Marchini, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); Departamento de Química Inorgánica, Analítica y Química-Física, INQUIMAE-CONICET, Facultad Ciencias Exactas y Naturales, Universidad de Buenos Aires, Pabellón 2, Ciudad Universitaria, Buenos Aires (Argentina); Granell, P. [INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Golmar, F. [Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET) (Argentina); INTI, CMNB, Av. Gral Paz 5445, B1650KNA San Martín, Buenos Aires (Argentina); Escuela de Ciencia y Tecnología, UNSAM, Campus Miguelete, 1650 San Martín, Buenos Aires (Argentina); Albornoz, C. [GIyA and INN, CNEA, Av. Gral Paz 1499, 1650 San Martín, Buenos Aires (Argentina); and others

    2016-08-01

    We report on the growth and characterization of non-epitaxial but (100)-highly textured BaBiO{sub 3} thin films on silicon substrates. We have found the deposition conditions that optimize the texture, and show that the textured growth is favoured by the formation of a BaO layer at the first growth stages. X-ray diffraction Φ-scans, together with the observation that the same textured growth is found on films grown on Pt and SiO{sub 2} buffered Si, demonstrate the absence of epitaxy. Finally, we have shown that our (100)-oriented BaBiO{sub 3} films can be used as suitable buffers for the growth of textured heterostructures on silicon, which could facilitate the integration of potential devices with standard electronics. - Highlights: • BaBiO{sub 3} thin films were grown on Si substrates and characterized. • Films prepared using optimized conditions are highly textured in the (100) direction. • The absence of in-plane texture was demonstrated by X-ray diffraction. • Our films are suitable buffers for the growth of (100)-textured oxide heterostructures.

  7. Process for making a titanium diboride-chromium diboride-yttrium titanium oxide ceramic composition

    Science.gov (United States)

    Holcombe, C.E.; Dykes, N.L.

    1992-04-28

    A ceramic composition is described. The ceramic composition consists essentially of from about 84 to 96 w/o titanium diboride, from about 1 to 9 w/o chromium diboride, and from about 3 to about 15 w/o yttrium-titanium-oxide. A method of making the ceramic composition is also described. The method of making the ceramic composition comprises the following steps: Step 1--A consolidated body containing stoichiometric quantities of titanium diboride and chromium diboride is provided. Step 2--The consolidated body is enclosed in and in contact with a thermally insulated package of yttria granules having a thickness of at least 0.5 inches. Step 3--The consolidated body enclosed in the thermally insulated package of yttria granules is heated in a microwave oven with microwave energy to a temperature equal to or greater than 1,900 degrees centigrade to sinter and uniformly disperse yttria particles having a size range from about 1 to about 12 microns throughout the consolidated body forming a densified body consisting essentially of titanium diboride, chromium diboride, and yttrium-titanium-oxide. The resulting densified body has enhanced fracture toughness and hardness. No Drawings

  8. Molecular geometries and relative stabilities of titanium oxide and gold-titanium oxide clusters

    Energy Technology Data Exchange (ETDEWEB)

    Hudson, Rohan J.; Falcinella, Alexander; Metha, Gregory F., E-mail: greg.metha@adelaide.edu.au

    2016-09-30

    Titanium oxide and gold-titanium oxide clusters of stoichiometry M{sub x}O{sub y} (M{sub x} = Ti{sub 3}, Ti{sub 4} & AuTi{sub 3}; y = 0 − (2x + 2)) have been investigated using density functional theory. Geometries of determined global energy minimum structures are reported and other isomers predicted up to 0.5 eV higher in energy. The Ti{sub 3}O{sub n} geometries build upon a triangular Ti{sub 3} motif, while Ti{sub 4}O{sub n} stoichiometries template upon a pseudo-tetrahedral Ti{sub 4} structure. Addition of a gold atom to the Ti{sub 3}O{sub n} series does not significantly alter the cluster geometry, with the gold atom preferentially binding to titanium atoms over oxygen atoms. Adiabatic ionization energies, electron affinities and HOMO/LUMO energies increase in magnitude with increasing oxygenation. The HOMO-LUMO energy gaps reach the bulk anatase band gap energy at stoichiometry (Au)Ti{sub m}O{sub 2m−1}, and increase above this upon further oxygen addition. The most stable structural moieties are found to be a cage-like, C{sub 3v} symmetric Ti{sub 4}O{sub 6/7} geometry and a Ti{sub 3}O{sub 6} structure with an η{sup 3}-bound oxygen atom.

  9. Adsorbate-modified growth of ultrathin rare-earth oxide films on silicon and complementary studies of cerium oxide on ruthenium; Adsorbat-modifiziertes Wachstum ultraduenner Seltenerdoxid-Filme auf Silizium und komplementaere Studien von Ceroxid auf Ruthenium

    Energy Technology Data Exchange (ETDEWEB)

    Kaemena, Bjoern

    2013-11-27

    Rare-earth oxides (REOx) are extensively investigated due to their extraordinary physical and chemical properties, which essentially arise from the unfilled 4f electron shell, in order to reveal the nature of these exceptional properties and ultimately to utilize them for multiple technological applications. To maintain the exponential increase in integration density in CMOS technology, which is also known as Moore s law, there is a strong desire for ultrathin, well-ordered, epitaxial REOx layers with a precisely engineered interface, which is essential for reliable, ultrahigh-performance devices. So far this has been considerably impeded by RE-promoted silicon oxidation, leading to amorphous silicon oxide and RE silicon formation. By using complementary synchrotron radiation methods such as X-ray standing waves (XSW), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD), structural and spectroscopic information are inferred simultaneously from ultrathin ceria and lanthana films grown on chlorine, silver and gallium passivated silicon(111). In general, it is revealed that the chemical and structural composition of the interface and the crystallinity of ultrathin REOx layers on silicon can be precisely controlled by adsorbate-mediated growth. This might represent a crucial step towards a perfectly engineered interface, eventually allowing for the integration of REOx as high-k gate oxides in microelectronics. In catalysis inverse model catalysts are studied with the aim of getting an in-depth understanding of the basic principles of catalysis. These model systems are employed to study, e. g., the nature of active sites and the reaction pathways in complex catalytic converters. However, a lot remains unknown about the chemical activity and selectivity as a function of the growth mechanism, structure and morphology of these model systems. The powerful spectroscopic photoemission and low-energy electron microscope, which is able to reveal the surface

  10. Damp heat stability and annealing behavior of aluminum doped zinc oxide films prepared by magnetron sputtering

    International Nuclear Information System (INIS)

    Tohsophon, T.; Huepkes, J.; Calnan, S.; Reetz, W.; Rech, B.; Beyer, W.; Sirikulrat, N.

    2006-01-01

    The damp heat stability and subsequent vacuum annealing behavior of aluminum doped zinc oxide (AZO) films was investigated using Hall effect measurements, X-ray diffraction (XRD) and optical spectrometry techniques. The AZO films were deposited on glass or silicon wafers using reactive and non-reactive magnetron sputtering from metallic and ceramic targets, respectively. Additionally, we characterized surface textured AZO films, which are used as light scattering transparent conductive oxide (TCO) in silicon thin film solar cells. For all films a degradation of the electrical film properties was found after the damp heat treatment. For thick compact films, with large grain size, only a small increase in the electrical resistivity was observed, whereas less compact films prepared at high deposition pressures or very thin films (< 300 nm) showed an increase in resistivity by up to a factor of three already after 300 h. The conductivity degradation during damp heat treatment could be largely reversed by annealing in vacuum. However, annealing temperatures of at least 150 deg. C were required. Possible mechanisms explaining the experimental results are discussed

  11. Silicon-Doped Titanium Dioxide Nanotubes Promoted Bone Formation on Titanium Implants.

    Science.gov (United States)

    Zhao, Xijiang; Wang, Tao; Qian, Shi; Liu, Xuanyong; Sun, Junying; Li, Bin

    2016-02-26

    While titanium (Ti) implants have been extensively used in orthopaedic and dental applications, the intrinsic bioinertness of untreated Ti surface usually results in insufficient osseointegration irrespective of the excellent biocompatibility and mechanical properties of it. In this study, we prepared surface modified Ti substrates in which silicon (Si) was doped into the titanium dioxide (TiO₂) nanotubes on Ti surface using plasma immersion ion implantation (PIII) technology. Compared to TiO₂ nanotubes and Ti alone, Si-doped TiO₂ nanotubes significantly enhanced the expression of genes related to osteogenic differentiation, including Col-I, ALP, Runx2, OCN, and OPN, in mouse pre-osteoblastic MC3T3-E1 cells and deposition of mineral matrix. In vivo, the pull-out mechanical tests after two weeks of implantation in rat femur showed that Si-doped TiO₂ nanotubes improved implant fixation strength by 18% and 54% compared to TiO₂-NT and Ti implants, respectively. Together, findings from this study indicate that Si-doped TiO₂ nanotubes promoted the osteogenic differentiation of osteoblastic cells and improved bone-Ti integration. Therefore, they may have considerable potential for the bioactive surface modification of Ti implants.

  12. Characterization polyethylene terephthalate nanocomposites mixing with nano-silica and titanium oxide

    Directory of Open Access Journals (Sweden)

    Rusu Mircea A.

    2017-01-01

    Full Text Available Polyethylene terephthalate (PET based nanocomposites containing nano-silica (Aerosil (Degusa and titanium oxide (TiO2 (Merk were prepared by melt compounding. Influence of nano-silica and titanium oxide on properties of the resulting nanocomposites was investigated by scanning electron microscopy (SEM, Fourier transform infrared spectroscopy (FTIR and atomic force microscopy (AFM. The possible interaction between nano-silica and titanium oxide particles with PET functional groups at bulk and surface was elucidated by transmission of FTIR-ATR spectroscopy. AFM studies of the resulting nanocomposites showed an increased surface roughness compared to pure PET. SEM images illustrated that nano-silica particles have tendency to migrate to the surface of the PET matrix much more than titanium oxide powder.

  13. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  14. Low temperature self-assembled growth of rutile TiO2/manganese oxide nanocrystalline films

    Science.gov (United States)

    Sun, Zhenya; Zhou, Daokun; Du, Jianhua; Xie, Yuxing

    2017-10-01

    We report formation of rutile TiO2 nanocrystal at low temperature range in the presence of α-MnO2 which self-assembled onto sulfanyl radical activated silicon oxide substrate. SEM, HRTEM, XPS and Raman spectroscopy were used to study the morphology and oxidation state of synthesised crystals. The results showed that when the α-MnO2 was reduced to Mn3O4, it induced the formation of rutile instead of anatase phase in the TiCl4-HCl aqueous system. The finding will promote the understanding of phase transformation mechanism when manganese oxide and titanium oxide co-exist in soil and water environment.

  15. Directed dewetting of amorphous silicon film by a donut-shaped laser pulse

    International Nuclear Information System (INIS)

    Yoo, Jae-Hyuck; Zheng, Cheng; Grigoropoulos, Costas P; In, Jung Bin; Sakellari, Ioanna; Raman, Rajesh N; Matthews, Manyalibo J; Elhadj, Selim

    2015-01-01

    Irradiation of a thin film with a beam-shaped laser is proposed to achieve site-selectively controlled dewetting of the film into nanoscale structures. As a proof of concept, the laser-directed dewetting of an amorphous silicon thin film on a glass substrate is demonstrated using a donut-shaped laser beam. Upon irradiation of a single laser pulse, the silicon film melts and dewets on the substrate surface. The irradiation with the donut beam induces an unconventional lateral temperature profile in the film, leading to thermocapillary-induced transport of the molten silicon to the center of the beam spot. Upon solidification, the ultrathin amorphous silicon film is transformed to a crystalline silicon nanodome of increased height. This morphological change enables further dimensional reduction of the nanodome as well as removal of the surrounding film material by isotropic silicon etching. These results suggest that laser-based dewetting of thin films can be an effective way for scalable manufacturing of patterned nanostructures. (paper)

  16. Directed dewetting of amorphous silicon film by a donut-shaped laser pulse.

    Science.gov (United States)

    Yoo, Jae-Hyuck; In, Jung Bin; Zheng, Cheng; Sakellari, Ioanna; Raman, Rajesh N; Matthews, Manyalibo J; Elhadj, Selim; Grigoropoulos, Costas P

    2015-04-24

    Irradiation of a thin film with a beam-shaped laser is proposed to achieve site-selectively controlled dewetting of the film into nanoscale structures. As a proof of concept, the laser-directed dewetting of an amorphous silicon thin film on a glass substrate is demonstrated using a donut-shaped laser beam. Upon irradiation of a single laser pulse, the silicon film melts and dewets on the substrate surface. The irradiation with the donut beam induces an unconventional lateral temperature profile in the film, leading to thermocapillary-induced transport of the molten silicon to the center of the beam spot. Upon solidification, the ultrathin amorphous silicon film is transformed to a crystalline silicon nanodome of increased height. This morphological change enables further dimensional reduction of the nanodome as well as removal of the surrounding film material by isotropic silicon etching. These results suggest that laser-based dewetting of thin films can be an effective way for scalable manufacturing of patterned nanostructures.

  17. Thermochemically active iron titanium oxide materials

    Energy Technology Data Exchange (ETDEWEB)

    Coker, Eric Nicholas; Miller, James E.

    2018-01-16

    A thermal oxidation-reduction cycle is disclosed that uses iron titanium oxide as the reactive material. The cycle may be used for the thermal splitting of water and/or carbon dioxide to form hydrogen and/or carbon monoxide. The formed compounds may be used as syngas precursors to form fuels.

  18. Carrier mobilities in microcrystalline silicon films

    International Nuclear Information System (INIS)

    Bronger, T.; Carius, R.

    2007-01-01

    For a better understanding of electronic transport mechanisms in thin-film silicon solar cell quality films, we have investigated the Hall mobility for electrons in microcrystalline/amorphous silicon over a range of crystallinities and doping concentrations. We find that Hall mobility increases with increasing doping concentration in accordance with earlier measurements. With increasing amorphous fraction, the measured mobility decreases suggesting a negative influence of the additional disorder. The results suggest a differential mobility model in which mobility depends on the energy level of the carriers that contribute to the electrical current

  19. Electrochemical heterogeneity and chemical stability of anodic oxide films of barrier type on certain valve metals and alloys

    International Nuclear Information System (INIS)

    Isaev, N.I.; Yakovlev, V.B.

    1986-01-01

    Direct current and alternating current electrochemical methods are used to study kinetic regularities and mechanism of titanium films dissolution in NaOH and H 2 SO 4 concentrated solutions. Piece-line dependence of oxidized electrode specific reverse capacitance on the time of C c -1 =α i -β i τ type is stated. Effective activation energy and dissolution reaction apparent order are determined by agressive ions. For amorphous alloys films interrelation of structure heterogeneity, film composition and resistance to pitting corrosion is shown. Decrease of oxide protecting properties is due to crystallization of originally amorphous films

  20. Effects of titanium on a ferritic steel oxidation at 950 C

    Energy Technology Data Exchange (ETDEWEB)

    Issartel, C.; Buscail, H.; Caudron, E.; Cueff, R.; Riffard, F.; El Messki, S.; Karimi, N. [Lab. Vellave sur l' Elaboration et l' Etude des Materiaux (LVEEM), IUT de Clermont-Fd1 - Dept. de Chimie - Science des materiaux, Le Puy en Velay (France); Antoni, L. [CEA Grenoble, DTEN/SCSE/LHPAC (France)

    2004-07-01

    This work presents the titanium effect on the oxidation behaviour of chromia-forming alloys at 950 C. When the amount of titanium is high enough in the substrate, in situ XRD permit to show that this element reacts with oxygen to form Cr{sub 2}TiO{sub 5}. This oxide is quickly transformed into TiO{sub 2} during the first hours of oxidation. These oxides contribute to an increase of the mass gain registered. Titanium leads to a doping effect of the chromia layer inducing an increase of the cationic vacancies concentration and chromium diffusion. (orig.)

  1. Galvanic corrosion of structural non-stoichiometric silicon nitride thin films and its implications on reliability of microelectromechanical devices

    Energy Technology Data Exchange (ETDEWEB)

    Broas, M., E-mail: mikael.broas@aalto.fi; Mattila, T. T.; Paulasto-Kröckel, M. [Department of Electrical Engineering and Automation, Aalto University, Espoo, P.O. Box 13500, FIN-00076 Aalto (Finland); Liu, X.; Ge, Y. [Department of Materials Science and Engineering, Aalto University, Espoo, P.O. Box 16200, FIN-00076 Aalto (Finland)

    2015-06-28

    This paper describes a reliability assessment and failure analysis of a poly-Si/non-stoichiometric silicon nitride thin film composite structure. A set of poly-Si/SiN{sub x} thin film structures were exposed to a mixed flowing gas (MFG) environment, which simulates outdoor environments, for 90 days, and an elevated temperature and humidity (85 °C/95% R.H.) test for 140 days. The mechanical integrity of the thin films was observed to degrade during exposure to the chemically reactive atmospheres. The degree of degradation was analyzed with nanoindentation tests. Statistical analysis of the forces required to initiate a fracture in the thin films indicated degradation due to the exposure to the MFG environment in the SiN{sub x} part of the films. Scanning electron microscopy revealed a porous-like reaction layer on top of SiN{sub x}. The morphology of the reaction layer resembled that of galvanically corroded poly-Si. Transmission electron microscopy further clarified the microstructure of the reaction layer which had a complex multi-phase structure extending to depths of ∼100 nm. Furthermore, the layer was oxidized two times deeper in a 90 days MFG-tested sample compared to an untested reference. The formation of the layer is proposed to be caused by galvanic corrosion of elemental silicon in non-stoichiometric silicon nitride during hydrofluoric acid etching. The degradation is proposed to be due uncontrolled oxidation of the films during the stress tests.

  2. Titanium and zirconium alloys

    International Nuclear Information System (INIS)

    Pinard Legry, G.

    1994-01-01

    Titanium and zirconium pure and base alloys are protected by an oxide film with anionic vacancies which gives a very good resistance to corrosion in oxidizing medium, in some ph ranges. Results of pitting and crevice corrosion are given for Cl - , Br - , I - ions concentration with temperature and ph dependence, also with oxygenated ions effect. (A.B.). 32 refs., 6 figs., 3 tabs

  3. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Derbali, L., E-mail: rayan.slat@yahoo.fr [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia); Ezzaouia, H. [Photovoltaiec Laboratory, Research and Technology Center of Energy, Technopole de Borj-Cedria, BP 95, Hammam-Lif 2050 (Tunisia)

    2012-08-01

    Highlights: Black-Right-Pointing-Pointer Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. Black-Right-Pointing-Pointer An efficient surface passivation can be obtained after thermal treatment of obtained films. Black-Right-Pointing-Pointer Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 Degree-Sign C. Vanadium pentoxide (V{sub 2}O{sub 5}) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 Degree-Sign C and 800 Degree-Sign C, under O{sub 2} atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  4. Efficiency improvement of multicrystalline silicon solar cells after surface and grain boundaries passivation using vanadium oxide

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2012-01-01

    Highlights: ► Evaporation of vanadium pentoxide onto the front surface leads to reduce the surface reflectivity considerably. ► An efficient surface passivation can be obtained after thermal treatment of obtained films. ► Efficiency of the obtained solar cells has been improved noticeably after thermal treatment of deposited thin films. - Abstract: The aim of this work is to investigate the effect of vanadium oxide deposition onto the front surface of multicrystalline silicon (mc-Si) substrat, without any additional cost in the fabrication process and leading to an efficient surface and grain boundaries (GBs) passivation that have not been reported before. The lowest reflectance of mc-Si coated with vanadium oxide film of 9% was achieved by annealing the deposited film at 600 °C. Vanadium pentoxide (V 2 O 5 ) were thermally evaporated onto the surface of mc-Si substrates, followed by a short annealing duration at a temperature ranging between 600 °C and 800 °C, under O 2 atmosphere. The chemical composition of the films was analyzed by means of Fourier transform infrared spectroscopy (FTIR). Surface and cross-section morphology were determined by atomic force microscope (AFM) and a scanning electron microscope (SEM), respectively. The deposited vanadium oxide thin films make the possibility of combining in one processing step an antireflection coating deposition along with efficient surface state passivation, as compared to a reference wafer. Silicon solar cells based on untreated and treated mc-Si wafers were achieved. We showed that mc-silicon solar cells, subjected to the above treatment, have better short circuit currents and open-circuit voltages than those made from untreated wafers. Thus, the efficiency of obtained solar cells has been improved.

  5. Thermal oxidation effect on structural and optical properties of heavily doped phosphorus polycrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Birouk, B.; Madi, D. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Cite Ouled Aissa, BP 98, Jijel (Algeria)

    2011-08-15

    The study reported in this paper contributes to better understanding the thermal oxidation effect on structural and optical properties of polycrystalline silicon heavily in situ P-LPCVD films. The deposits, doped at levels 3 x 10{sup 19} and 1.6 x 10{sup 20} cm{sup -3}, have been elaborated from silane decomposition (400 mTorrs, 605 C) on monosilicon substrate oriented left angle 111 right angle. The thermal oxidation was performed at temperatures: 850 C during 1 hour, 1000, 1050, and 1100 C during 15 minutes. The XRD spectra analysis pointed out significant left angle 111 right angle texture evolution, while in the case of left angle 220 right angle and left angle 311 right angle textures, the intensities are practically invariant (variations fall in the uncertainty intervals). The optical characterizations showed that refractive index and absorption coefficient are very sensitive to the oxidation treatment, mainly when the doping level is not very high. We think that atomic oxygen acts as defects passivating agent leading to carriers' concentration increasing. Besides, the optical behavior is modeled in visible and near infrared, by a seven-term polynomial function n {sup 2}=f({lambda} {sup 2}), with alternate signs, instead of theoretically unlimited terms number from Drude's model. It has been shown that fitting parameters fall on Gaussian curves like they do in the theoretical model. (orig.)

  6. Narrow titanium oxide nanowires induced by femtosecond laser pulses on a titanium surface

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hui; Li, Xian-Feng [Laboratory of Nanophotonic Functional Materials and Devices, School of Information and Optoelectronic Science and Engineering, South China Normal University, Guangzhou 510006 (China); Zhang, Cheng-Yun [School of Physics and Electronic Engineering, Guangzhou University, Guangzhou 510006 (China); Tie, Shao-Long [School of Chemistry and Environment, South China Normal University, Guangzhou 510006 (China); Lan, Sheng, E-mail: slan@scnu.edu.cn [Laboratory of Nanophotonic Functional Materials and Devices, School of Information and Optoelectronic Science and Engineering, South China Normal University, Guangzhou 510006 (China)

    2017-02-28

    Highlights: • Titanium oxide nanowires with a feature width as narrow as ∼20 nm were induced on a titanium surface by using femtosecond laser pulses at 400 nm. • An evolution of the surface structure from a high spatial frequency laser-induced periodic structure parallel to the laser polarization to a low spatial frequency one perpendicular to the laser polarization was observed with increasing irradiation pulse number. • The formation of the titanium oxide nanowires was confirmed by the energy dispersive spectroscopy measurements and the evolution of the surface structure was successfully interpreted by using the efficacy factor theory. - Abstract: The evolution of the nanostructure induced on a titanium (Ti) surface with increasing irradiation pulse number by using a 400-nm femtosecond laser was examined by using scanning electron microscopy. High spatial frequency periodic structures of TiO{sub 2} parallel to the laser polarization were initially observed because of the laser-induced oxidation of the Ti surface and the larger efficacy factor of TiO{sub 2} in this direction. Periodically aligned TiO{sub 2} nanowires with featured width as small as 20 nm were obtained. With increasing pulse number, however, low spatial frequency periodic structures of Ti perpendicular to the laser polarization became dominant because Ti possesses a larger efficacy factor in this direction. The competition between the high- and low-spatial frequency periodic structures is in good agreement with the prediction of the efficacy factor theory and it should also be observed in the femtosecond laser ablation of other metals which are easily oxidized in air.

  7. Energy levels distribution in supersaturated silicon with titanium for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Pérez, E., E-mail: eduper@ele.uva.es; Castán, H.; García, H.; Dueñas, S.; Bailón, L. [Dept. de Electricidad y Electrónica, Universidad de Valladolid, ETSI Telecomunicación, Paseo de Belén 15, 47011 Valladolid (Spain); Montero, D.; García-Hernansanz, R.; García-Hemme, E.; González-Díaz, G. [Dept. de Física Aplicada III (Electricidad y Electrónica), Univ. Complutense de Madrid, 28040 Madrid (Spain); CEI Campus Moncloa, UCM-UPM, 28040 Madrid (Spain); Olea, J. [CEI Campus Moncloa, UCM-UPM, 28040 Madrid (Spain); Instituto de Energía Solar, E.T.S.I. de Telecomunicación, Univ. Politécnica de Madrid, 28040 Madrid (Spain)

    2015-01-12

    In the attempt to form an intermediate band in the bandgap of silicon substrates to give it the capability to absorb infrared radiation, we studied the deep levels in supersaturated silicon with titanium. The technique used to characterize the energy levels was the thermal admittance spectroscopy. Our experimental results showed that in samples with titanium concentration just under Mott limit there was a relationship among the activation energy value and the capture cross section value. This relationship obeys to the well known Meyer-Neldel rule, which typically appears in processes involving multiple excitations, like carrier capture/emission in deep levels, and it is generally observed in disordered systems. The obtained characteristic Meyer-Neldel parameters were Tmn = 176 K and kTmn = 15 meV. The energy value could be associated to the typical energy of the phonons in the substrate. The almost perfect adjust of all experimental data to the same straight line provides further evidence of the validity of the Meyer Neldel rule, and may contribute to obtain a deeper insight on the ultimate meaning of this phenomenon.

  8. Energy levels distribution in supersaturated silicon with titanium for photovoltaic applications

    International Nuclear Information System (INIS)

    Pérez, E.; Castán, H.; García, H.; Dueñas, S.; Bailón, L.; Montero, D.; García-Hernansanz, R.; García-Hemme, E.; González-Díaz, G.; Olea, J.

    2015-01-01

    In the attempt to form an intermediate band in the bandgap of silicon substrates to give it the capability to absorb infrared radiation, we studied the deep levels in supersaturated silicon with titanium. The technique used to characterize the energy levels was the thermal admittance spectroscopy. Our experimental results showed that in samples with titanium concentration just under Mott limit there was a relationship among the activation energy value and the capture cross section value. This relationship obeys to the well known Meyer-Neldel rule, which typically appears in processes involving multiple excitations, like carrier capture/emission in deep levels, and it is generally observed in disordered systems. The obtained characteristic Meyer-Neldel parameters were Tmn = 176 K and kTmn = 15 meV. The energy value could be associated to the typical energy of the phonons in the substrate. The almost perfect adjust of all experimental data to the same straight line provides further evidence of the validity of the Meyer Neldel rule, and may contribute to obtain a deeper insight on the ultimate meaning of this phenomenon

  9. Dispersion toughened silicon carbon ceramics

    Science.gov (United States)

    Wei, G.C.

    1984-01-01

    Fracture resistant silicon carbide ceramics are provided by incorporating therein a particulate dispersoid selected from the group consisting of (a) a mixture of boron, carbon and tungsten, (b) a mixture of boron, carbon and molybdenum, (c) a mixture of boron, carbon and titanium carbide, (d) a mixture of aluminum oxide and zirconium oxide, and (e) boron nitride. 4 figures.

  10. Complex dewetting scenarios of ultrathin silicon films for large-scale nanoarchitectures.

    Science.gov (United States)

    Naffouti, Meher; Backofen, Rainer; Salvalaglio, Marco; Bottein, Thomas; Lodari, Mario; Voigt, Axel; David, Thomas; Benkouider, Abdelmalek; Fraj, Ibtissem; Favre, Luc; Ronda, Antoine; Berbezier, Isabelle; Grosso, David; Abbarchi, Marco; Bollani, Monica

    2017-11-01

    Dewetting is a ubiquitous phenomenon in nature; many different thin films of organic and inorganic substances (such as liquids, polymers, metals, and semiconductors) share this shape instability driven by surface tension and mass transport. Via templated solid-state dewetting, we frame complex nanoarchitectures of monocrystalline silicon on insulator with unprecedented precision and reproducibility over large scales. Phase-field simulations reveal the dominant role of surface diffusion as a driving force for dewetting and provide a predictive tool to further engineer this hybrid top-down/bottom-up self-assembly method. Our results demonstrate that patches of thin monocrystalline films of metals and semiconductors share the same dewetting dynamics. We also prove the potential of our method by fabricating nanotransfer molding of metal oxide xerogels on silicon and glass substrates. This method allows the novel possibility of transferring these Si-based patterns on different materials, which do not usually undergo dewetting, offering great potential also for microfluidic or sensing applications.

  11. Low-temperature atmospheric oxidation of mixtures of titanium and carbon black or brown

    International Nuclear Information System (INIS)

    Elizarova, V.A.; Babaitsev, I.V.; Barzykin, V.V.; Gerusova, V.P.; Rozenband, V.I.

    1984-01-01

    This article reports on the thermogravimetric investigation of mixtures of titanium no. 2 and carbon black with various mass carbon contents. Adding carbon black (as opposed to boron) to titanium leads to an increase in the rate of heat release of the oxidation reaction. An attempt is made to clarify the low-temperature oxidation mechanism of titanium mixtures in air. An x-ray phase and chemical (for bound carbon) analysis of specimens of a stoichiometric Ti + C mixture after heating in air to a temperature of 650 0 C at the rate of 10 0 /min was conducted. The results indicate that the oxidation of the titanium-carbon mixture probably proceeds according to a more complex mechanism associated with the transport of the gaseous carbon oxidation products and their participation in the titanium oxidation

  12. Thin films by metal-organic precursor plasma spray

    International Nuclear Information System (INIS)

    Schulz, Douglas L.; Sailer, Robert A.; Payne, Scott; Leach, James; Molz, Ronald J.

    2009-01-01

    While most plasma spray routes to coatings utilize solids as the precursor feedstock, metal-organic precursor plasma spray (MOPPS) is an area that the authors have investigated recently as a novel route to thin film materials. Very thin films are possible via MOPPS and the technology offers the possibility of forming graded structures by metering the liquid feed. The current work employs metal-organic compounds that are liquids at standard temperature-pressure conditions. In addition, these complexes contain chemical functionality that allows straightforward thermolytic transformation to targeted phases of interest. Toward that end, aluminum 3,5-heptanedionate (Al(hd) 3 ), triethylsilane (HSi(C 2 H 5 ) 3 or HSiEt 3 ), and titanium tetrakisdiethylamide (Ti(N(C 2 H 5 ) 2 ) 4 or Ti(NEt 2 ) 4 ) were employed as precursors to aluminum oxide, silicon carbide, and titanium nitride, respectively. In all instances, the liquids contain metal-heteroatom bonds envisioned to provide atomic concentrations of the appropriate reagents at the film growth surface, thus promoting phase formation (e.g., Si-C bond in triethylsilane, Ti-N bond in titanium amide, etc.). Films were deposited using a Sulzer Metco TriplexPro-200 plasma spray system under various experimental conditions using design of experiment principles. Film compositions were analyzed by glazing incidence x-ray diffraction and elemental determination by x-ray spectroscopy. MOPPS films from HSiEt 3 showed the formation of SiC phase but Al(hd) 3 -derived films were amorphous. The Ti(NEt 2 ) 4 precursor gave MOPPS films that appear to consist of nanosized splats of TiOCN with spheres of TiO 2 anatase. While all films in this study suffered from poor adhesion, it is anticipated that the use of heated substrates will aid in the formation of dense, adherent films.

  13. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    Energy Technology Data Exchange (ETDEWEB)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B

    2003-04-15

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T{sub S}=450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal {beta}-MoSi{sub 2} could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet.

  14. Crystalline silicon films sputtered on molybdenum A study of the silicon-molybdenum interface

    International Nuclear Information System (INIS)

    Reinig, P.; Fenske, F.; Fuhs, W.; Schoepke, A.; Selle, B.

    2003-01-01

    Polycrystalline silicon films were grown on molybdenum (Mo)-coated substrates at high deposition rate using the pulsed magnetron sputtering technique. Our study investigates the silicon-molybdenum interface of these films to elucidate stimulating mechanisms for an ordered crystalline silicon thin film growth. Both Auger electron spectroscopy and Rutherford backscattering reveal that at a substrate temperature as low as T S =450 deg. C during the deposition process intermixing of Si and Mo at the Si-Mo interface takes place leading to a compositional ratio Mo:Si of about 1:2. By Raman spectroscopy hexagonal β-MoSi 2 could be identified as the dominant phase in this intermixed region. The dependence of the resulting thickness of the reacted interface layer on the deposition conditions is not fully understood yet

  15. Facile hydrothermal preparation of titanium dioxide decorated reduced graphene oxide nanocomposite

    Science.gov (United States)

    Chang, Betty Yea Sze; Huang, Nay Ming; An’amt, Mohd Nor; Marlinda, Abdul Rahman; Norazriena, Yusoff; Muhamad, Muhamad Rasat; Harrison, Ian; Lim, Hong Ngee; Chia, Chin Hua

    2012-01-01

    A simple single-stage approach, based on the hydrothermal technique, has been introduced to synthesize reduced graphene oxide/titanium dioxide nanocomposites. The titanium dioxide nanoparticles are formed at the same time as the graphene oxide is reduced to graphene. The triethanolamine used in the process has two roles. It acts as a reducing agent for the graphene oxide as well as a capping agent, allowing the formation of titanium dioxide nanoparticles with a narrow size distribution (~20 nm). Transmission electron micrographs show that the nanoparticles are uniformly distributed on the reduced graphene oxide nanosheet. Thermogravimetric analysis shows the nanocomposites have an enhanced thermal stability over the original components. The potential applications for this technology were demonstrated by the use of a reduced graphene oxide/titanium dioxide nanocomposite-modified glassy carbon electrode, which enhanced the electrochemical performance compared to a conventional glassy carbon electrode when interacting with mercury(II) ions in potassium chloride electrolyte. PMID:22848166

  16. Corrosion behaviour of nanometre sized cerium oxide and titanium oxide incorporated aluminium in NaCl solution

    International Nuclear Information System (INIS)

    Ashraf, P. Muhamed; Edwin, Leela

    2013-01-01

    Highlights: ► Corrosion resistant aluminium incorporated with nano oxides of cerium and titanium. ► 0.2% nano CeO 2 and 0.05% nano TiO 2 showed increased corrosion resistance. ► Nano TiO 2 concentration influenced the optimum performance of the material. ► Comparison of Micro and nano CeO 2 and TiO 2 aluminium showed the latter is best. - Abstract: The study highlights the development of an aluminium matrix composite by incorporating mixture of nanometre sized cerium oxide and titanium oxide in pure aluminium and its corrosion resistance in marine environment. The mixed nanometre sized oxides incorporated aluminium exhibited improved microstructure and excellent corrosion resistance. Corrosion resistance depends on the concentration of nanometre sized titanium oxide. Electrochemical characteristics improved several folds in nanometre sized mixed oxides incorporated aluminium than micrometre sized oxides incorporated aluminium.

  17. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Science.gov (United States)

    Shibuya, Keisuke; Sawa, Akihito

    2015-10-01

    We systematically examined the effects of the substrate temperature (TS) and the oxygen pressure (PO2) on the structural and optical properties polycrystalline V O2 films grown directly on Si(100) substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal-insulator transition (MIT) temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  18. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Directory of Open Access Journals (Sweden)

    Keisuke Shibuya

    2015-10-01

    Full Text Available We systematically examined the effects of the substrate temperature (TS and the oxygen pressure (PO2 on the structural and optical properties polycrystalline V O2 films grown directly on Si(100 substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal–insulator transition (MIT temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  19. High-stability transparent amorphous oxide TFT with a silicon-doped back-channel layer

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyoung-Rae; Park, Jea-Gun [Hanyang University, Seoul (Korea, Republic of)

    2014-10-15

    We significantly reduced various electrical instabilities of amorphous indium gallium zinc oxide thin-film transistors (TFTs) by using the co-deposition of silicon on an a-IGZO back channel. This process showed improved stability of the threshold voltage (V{sub th}) under high temperature and humidity and negative gate-bias illumination stress (NBIS) without any reduction of IDS. The enhanced stability was achieved with silicon, which has higher metal-oxide bonding strengths than gallium does. Additionally, SiO{sub x} distributed on the a-IGZO surface reduced the adsorption and the desorption of H{sub 2}O and O{sub 2}. This process is applicable to the TFT manufacturing process with a variable sputtering target.

  20. Variable electron beam diameter achieved by a titanium oxide/carbon nanotube hetero-structure suitable for nanolithography

    International Nuclear Information System (INIS)

    Abdi, Yaser; Barati, Fatemeh

    2013-01-01

    We report the fabrication of a titanium oxide/carbon nanotube based field emission device suitable for nanolithography and fabrication of transistors. The growth of carbon nanotubes (CNTs) is performed on silicon substrates using a plasma-enhanced chemical vapor deposition method. The vertically grown CNTs are encapsulated by titanium oxide (TiO 2 ) using an atmospheric pressure chemical vapor deposition system. Field emission from the CNTs is realized by mechanical polishing of the prepared structure. Possible applications of such nanostructures as a lithography tool with variable electron beam diameter has been investigated. The obtained results show that a spot size of less than 30 nm can be obtained by applying the proper voltage on TiO 2 surrounding gate. Electrical measurements of the fabricated device confirm the capability of the structure for fabrication of field emission based field effect transistors. By a voltage applied between the gate and the cathode electrode, the emission current from CNTs shows a significant drop, indicating proper control of the gate on the emission current. (paper)

  1. Polypyrrole/titanium oxide nanotube arrays composites as an active material for supercapacitors.

    Science.gov (United States)

    Kim, Min Seok; Park, Jong Hyeok

    2011-05-01

    The authors present the first reported use of vertically oriented titanium oxide nanotube/polypyrrole (PPy) nanocomposites to increase the specific capacitance of TiO2 based energy storage devices. To increase their electrical storage capacity, titanium oxide nanotubes were coated with PPy and their morphologies were characterized. The incorporation of PPy increased the specific capacitance of the titanium oxide nanotube based supercapacitor system, due to their increased surface area and additional pseudo-capacitance.

  2. Formation of mixed and patterned self-assembled films of alkylphosphonates on commercially pure titanium surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Rudzka, Katarzyna; Sanchez Treviño, Alda Y.; Rodríguez-Valverde, Miguel A., E-mail: marodri@ugr.es; Cabrerizo-Vílchez, Miguel A.

    2016-12-15

    Highlights: • Chemically-tailored titanium surfaces were prepared by self-assembly of alkylphosphonates. • Mixed self-assembled films were prepared with aqueous mixtures of two alkylphosphonates. • Single self-assembled films were altered by laser abrasion. • Mixed and patterned self-assembled films on titanium may guide the bone-like formation. - Abstract: Titanium is extensively employed in biomedical devices, in particular as implant. The self-assembly of alkylphosphonates on titanium surfaces enable the specific adsorption of biomolecules to adapt the implant response against external stimuli. In this work, chemically-tailored cpTi surfaces were prepared by self-assembly of alkylphosphonate molecules. By bringing together attributes of two grafting molecules, aqueous mixtures of two alkylphosphonates were used to obtain mixed self-assembled films. Single self-assembled films were also altered by laser abrasion to produce chemically patterned cpTi surfaces. Both mixed and patterned self-assembled films were confirmed by AFM, ESEM and X-ray photoelectron spectroscopy. Water contact angle measurements also revealed the composition of the self-assembly films. Chemical functionalization with two grafting phosphonate molecules and laser surface engineering may be combined to guide the bone-like formation on cpTi, and the future biological response in the host.

  3. Preservation and release dose of helium implanted in nanocrystal titanium film

    International Nuclear Information System (INIS)

    Long Xinggui; Luo Shunzhong; Peng Shuming; Zheng Sixiao; Liu Zhongyang; Wang Peilu; Liao Xiaodong; Liu Ning

    2003-01-01

    Helium concentration profile, preservation dose and release rate from a nanocrystal titanium film implanted with helium at an energy of 100 keV and dose of 2.2 x 10 18 cm -2 are measured by proton Rutherford backscattering technique in a range from room temperature to 400 degree C. The implanted helium may be stably preserved up to the 68 percent after keeping a long time of 210 d in the nanocrystal titanium film at the room temperature environment, and the He-Ti atomic ratio reaches to 52.6%. When the temperature of specimen increases to 100 degree C, the helium concentration can be preserved to 89.6% of the keeping helium dose at room temperature and He-Ti atomic ratio reaches 44%. Even if the specimen temperature up to 400 degree C, the helium concentration still can be preserved to 32.6% of the keeping helium dose at room temperature and the He-Ti atomic ratio is 17.1%. Possible mechanism of helium effectively preserved in the nanocrystal titanium film is discussed based on the energy stability viewpoint

  4. Low-power bacteriorhodopsin-silicon n-channel metal-oxide field-effect transistor photoreceiver.

    Science.gov (United States)

    Shin, Jonghyun; Bhattacharya, Pallab; Yuan, Hao-Chih; Ma, Zhenqiang; Váró, György

    2007-03-01

    A bacteriorhodopsin (bR)-silicon n-channel metal-oxide field-effect transistor (NMOSFET) monolithically integrated photoreceiver is demonstrated. The bR film is selectively formed on an external gate electrode of the transistor by electrophoretic deposition. A modified biasing circuit is incorporated, which helps to match the resistance of the bR film to the input impedance of the NMOSFET and to shift the operating point of the transistor to coincide with the maximum gain. The photoreceiver exhibits a responsivity of 4.7 mA/W.

  5. Subsurface oxidation for micropatterning silicon (SOMS).

    Science.gov (United States)

    Zhang, Feng; Sautter, Ken; Davis, Robert C; Linford, Matthew R

    2009-02-03

    Here we present a straightforward patterning technique for silicon: subsurface oxidation for micropatterning silicon (SOMS). In this method, a stencil mask is placed above a silicon surface. Radio-frequency plasma oxidation of the substrate creates a pattern of thicker oxide in the exposed regions. Etching with HF or KOH produces very shallow or much higher aspect ratio features on silicon, respectively, where patterning is confirmed by atomic force microscopy, scanning electron microscopy, and optical microscopy. The oxidation process itself is studied under a variety of reaction conditions, including higher and lower oxygen pressures (2 and 0.5 Torr), a variety of powers (50-400 W), different times and as a function of reagent purity (99.5 or 99.994% oxygen). SOMS can be easily executed in any normal chemistry laboratory with a plasma generator. Because of its simplicity, it may have industrial viability.

  6. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  7. Laser-induced oxidation of titanium substrate: Analysis of the physicochemical structure of the surface and sub-surface layers

    Energy Technology Data Exchange (ETDEWEB)

    Antończak, Arkadiusz J., E-mail: arkadiusz.antonczak@pwr.edu.pl [Laser and Fiber Electronics Group, Faculty of Electrical Engineering, Wroclaw University of Technology, Wyb. Wyspianskiego 27, 50-370 Wroclaw (Poland); Skowroński, Łukasz; Trzcinski, Marek [Institute of Mathematics and Physics, University of Technology and Life Sciences, Kaliskiego 7, 85-789 Bydgoszcz (Poland); Kinzhybalo, Vasyl V. [Wroclaw Research Centre EIT+, Stabłowicka 147, 54-066 Wrocław (Poland); Institute of Low Temperature and Structure Research, Okólna 2, 50-422 Wrocław (Poland); Łazarek, Łukasz K.; Abramski, Krzysztof M. [Laser and Fiber Electronics Group, Faculty of Electrical Engineering, Wroclaw University of Technology, Wyb. Wyspianskiego 27, 50-370 Wroclaw (Poland)

    2015-01-15

    Highlights: • Chemical structure of the films induced by laser on titanium surface was analyzed. • It was shown that outer layer of this films consist of oxides doped with nitrogen. • The optical properties of the laser-induced oxynitride films were characterized. • We found that the films demonstrated significant absorption in the band of 300–580 nm. • The morphology of the layers as a function of the laser fluence was investigated. - Abstract: This paper presents the results of the analysis of the complex chemical structure of the layers made on titanium in the process of the heating of its surfaces in an atmospheric environment, by irradiating samples with a nanosecond-pulsed laser. The study was carried out for electroplated, high purity, polycrystalline titanium substrates using a Yb:glass fiber laser. All measurements were made for samples irradiated in a broad range of accumulated fluence, below the ablation threshold. It has been determined how the complex index of refraction of both the oxynitride layers and the substrate vary as a function of accumulated laser fluence. It was also shown that the top layer of the film produced on titanium, which is transparent, is not a pure TiO{sub 2} as had been supposed before. The XPS and XRD analyses confirmed the presence of nitrogen compounds and the existence of nonstoichiometric compounds. By sputtering of the sample's surface using an Ar{sup +} ion gun, the changes in the concentration of individual elements as a function of the layer's cross-section were determined. Lastly, an analysis of the surface morphology has also been carried out, explaining why the layers crack and exfoliate from their substrate.

  8. Titanium oxide fever; De titaniumoxidekoorts

    Energy Technology Data Exchange (ETDEWEB)

    De Jonge, D.; Visser, J. [Afdeling Luchtkwaliteit, GGD Amsterdam, Amsterdam (Netherlands)

    2012-02-15

    One measure to improve air quality is to apply photo-catalytic substances that capture NOx onto the road surface or onto baffle boards alongside the roads. The effect of titanium oxide containing clinkers with coating was discussed in the report 'Demonstration project of air-purifying pavement in Hengelo, The Netherlands' that was published in May 2011. This article examines the way in which the effectiveness of this study was determined. Can titanium oxide containing clinkers and coatings indeed capture NOx?. [Dutch] Een van de maatregelen om de luchtkwaliteit te verbeteren is het aanbrengen van fotokatalytische stoffen waarmee NOx kan worden afgevangen op bijvoorbeeld wegdek of op geluidsschermen langs wegen. Over het effect van titaniumoxidehoudende straatklinkers en hierop aangebrachte coatings verscheen in mei 2011 het rapport 'Demonstration project of air-purifying pavement in Hengelo, The Netherlands'. Dit artikel gaat over de manier waarop de effectiviteit in het hiervoor genoemde onderzoek is bepaald. Kunnen titaniumoxidehoudende klinkers en coatings inderdaad NOx afvangen?.

  9. Film thickness determining method of the silicon isotope superlattices by SIMS

    International Nuclear Information System (INIS)

    Takano, Akio; Shimizu, Yasuo; Itoh, Kohei M.

    2008-01-01

    It is becoming important to evaluate silicon self-diffusion with progress of a silicon semiconductor industry. In order to evaluate the self-diffusion of silicon, silicon isotope superlattices (SLs) is the only marker. For this reason, it is important to correctly evaluate a film thickness and a depth distribution of isotope SLs by secondary ion mass spectrometry (SIMS). As for film thickness, it is difficult to estimate the thicknesses correctly if the cycles of SLs are short. In this work, first, we report the determination of the film thickness for short-period SLs using mixing roughness-information (MRI) analysis to SIMS profile. Next, the uncertainty of the conventional method to determine the film thicknesses of SLs is determined. It was found that the conventional methods cannot correctly determine film thickness of short-period-isotope SLs where film thickness differs for every layer

  10. Preparation of porous titanium oxide films onto indium tin oxide for application in organic photovoltaic devices

    Energy Technology Data Exchange (ETDEWEB)

    Macedo, Andreia G. [Laboratorio de Dispositivos Nanoestruturados, Departamento de Fisica, Universidade Federal do Parana, Curitiba, Parana (Brazil); Mattos, Luana L.; Spada, Edna R.; Serpa, Rafael B.; Campos, Cristiani S. [Laboratorio de Sistemas Nanoestruturados, Departamento de Fisica, Universidade Federal de Santa Catarina, Florianopolis, Santa Catarina (Brazil); Grova, Isabel R.; Ackcelrud, Leni [Laboratorio de Polimeros Paulo Scarpa, Departamento de Quimica, Universidade Federal do Parana, Curitiba, Parana (Brazil); Reis, Francoise T.; Sartorelli, Maria L. [Laboratorio de Sistemas Nanoestruturados, Departamento de Fisica, Universidade Federal de Santa Catarina, Florianopolis, Santa Catarina (Brazil); Roman, Lucimara S., E-mail: lsroman@fisica.ufpr.br [Laboratorio de Dispositivos Nanoestruturados, Departamento de Fisica, Universidade Federal do Parana, Curitiba, Parana (Brazil)

    2012-05-01

    In this work, porous ordered TiO{sub 2} films were prepared through sol gel route by using a monolayer of polystyrene spheres as template on indium-tin oxide/glass substrate. These films were characterized by SEM, AFM, Raman spectroscopy, UV-vis absorbance and XRD. The UV-vis absorbance spectrum show a pseudo band gap (PBG) with maxima at 460 nm arising from the light scattering and partial or total suppression of the photon density of states, this PBG can be controlled by the size of the pore. We also propose the use of this porous film as electron acceptor electrode in organic photovoltaic cells; we show that devices prepared with porous titania displayed higher efficiencies than devices using compact titania films as electrode. Such behaviour was observed in both bilayer and bulk heterojunction devices.

  11. Preparation of porous titanium oxide films onto indium tin oxide for application in organic photovoltaic devices

    International Nuclear Information System (INIS)

    Macedo, Andreia G.; Mattos, Luana L.; Spada, Edna R.; Serpa, Rafael B.; Campos, Cristiani S.; Grova, Isabel R.; Ackcelrud, Leni; Reis, Françoise T.; Sartorelli, Maria L.; Roman, Lucimara S.

    2012-01-01

    In this work, porous ordered TiO 2 films were prepared through sol gel route by using a monolayer of polystyrene spheres as template on indium-tin oxide/glass substrate. These films were characterized by SEM, AFM, Raman spectroscopy, UV-vis absorbance and XRD. The UV-vis absorbance spectrum show a pseudo band gap (PBG) with maxima at 460 nm arising from the light scattering and partial or total suppression of the photon density of states, this PBG can be controlled by the size of the pore. We also propose the use of this porous film as electron acceptor electrode in organic photovoltaic cells; we show that devices prepared with porous titania displayed higher efficiencies than devices using compact titania films as electrode. Such behaviour was observed in both bilayer and bulk heterojunction devices.

  12. Analysis of nitrogen species in titanium oxynitride ALD films

    Energy Technology Data Exchange (ETDEWEB)

    Sowińska, Małgorzata, E-mail: Malgorzata.Sowinska@b-tu.de [Brandenburgische Technische Universität Cottbus-Senftenberg, Angewandte Physik-Sensorik, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany); Brizzi, Simone; Das, Chittaranjan [Brandenburgische Technische Universität Cottbus-Senftenberg, Angewandte Physik-Sensorik, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany); Kärkkänen, Irina; Schneidewind, Jessica; Naumann, Franziska; Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany); Henkel, Karsten; Schmeißer, Dieter [Brandenburgische Technische Universität Cottbus-Senftenberg, Angewandte Physik-Sensorik, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2016-09-15

    Titanium oxynitride films are prepared by plasma enhanced atomic layer deposition method using two different precursors and nitrogen sources. Synchrotron radiation-based X-ray photoelectron spectroscopy and X-ray absorption spectroscopy are used to characterize the nitrogen species incorporated within these films depending on the deposition parameters. It is found that nitrogen atoms in these films are differently bonded. In particular, it can be distinguished between Ti−ON and Ti−N bonding configurations and molecular nitrogen species caused by precursor fragments.

  13. Post-growth annealing of zinc oxide thin films pulsed laser deposited under enhanced oxygen pressure on quartz and silicon substrates

    International Nuclear Information System (INIS)

    Rusop, M.; Uma, K.; Soga, T.; Jimbo, T.

    2006-01-01

    Zinc oxide (ZnO) thin films have been prepared by pulsed laser deposition (PLD) technique at room temperature on quartz and single crystal silicon (1 0 0) substrates. The oxygen ambient gas pressure was attained at 6 Torr during the deposition. The deposited films were post-growth annealed in air at various annealing temperatures for 30 min. The X-ray diffraction (XRD), optical and electrical properties have been measured to study the properties of the films as a function of annealing temperatures. XRD has shown the strength of (0 0 2) peak increases and FWHM value decreases as the annealing temperatures increases from 200 to 600 deg. C. The post-growth annealed at 600 deg. C show dominant c-axis oriented hexagonal wurtize crystal structure and exhibit high average transmittance about 85% in the visible region and very sharp absorption edge at 376 nm with energy band gap of approximately 3.46 eV. Electrical measurement indicates the resistivity decreases with the annealing temperatures up to 600 deg. C, after which it increases with higher annealing temperatures at 800 deg. C. The complex of oxygen vacancy in the ZnO films may be the source of low conductivity in undoped ZnO films

  14. Silicon-integrated thin-film structure for electro-optic applications

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick Joseph

    2000-01-01

    A crystalline thin-film structure suited for use in any of an number of electro-optic applications, such as a phase modulator or a component of an interferometer, includes a semiconductor substrate of silicon and a ferroelectric, optically-clear thin film of the perovskite BaTiO.sub.3 overlying the surface of the silicon substrate. The BaTiO.sub.3 thin film is characterized in that substantially all of the dipole moments associated with the ferroelectric film are arranged substantially parallel to the surface of the substrate to enhance the electro-optic qualities of the film.

  15. Dense TiO2 films grown by sol–gel dip coating on glass, F-doped SnO2, and silicon substrates

    Czech Academy of Sciences Publication Activity Database

    Procházka, Jan; Kavan, Ladislav; Zukalová, Markéta; Janda, Pavel; Jirkovský, Jaromír; Vlčková Živcová, Zuzana; Poruba, A.; Bedu, M.; Döbbelin, M.; Tena-Zaera, R.

    2013-01-01

    Roč. 28, č. 3 (2013), s. 385-393 ISSN 0884-2914 R&D Projects: GA AV ČR IAA400400804; GA AV ČR KAN200100801; GA ČR(CZ) GAP108/12/0814 Grant - others:OpenAIRE(XE) EC 7th FP project SANS, NMP-246124; Open AIRE(XE) EC 7th FP projekt ORION, NMP-229036 Institutional support: RVO:61388955 Keywords : titanium dioxide * thin films * silicon Subject RIV: CG - Electrochemistry Impact factor: 1.815, year: 2013

  16. Oxidation of nanostructured Ti films produced by low energy cluster beam deposition: An X-ray Photoelectron Spectroscopy characterization

    International Nuclear Information System (INIS)

    Simone, Monica de; Snidero, Elena; Coreno, Marcello; Bongiorno, Gero; Giorgetti, Luca; Amati, Matteo; Cepek, Cinzia

    2012-01-01

    We used in-situ X-ray Photoelectron Spectroscopy (XPS) to study the oxidation process of a cluster-assembled metallic titanium film exposed to molecular oxygen at room temperature. The nanostructured film has been grown on a Si(111) substrate, in ultra high vacuum conditions, by coupling a supersonic cluster beam deposition system with an XPS experimental chamber. Our results show that upon in-situ oxygen exposure Ti 3+ is the first oxidation state observed, followed by Ti 4+ , whereas Ti 2+ is practically absent during the whole process. Our results compare well with the existing literature on Ti films produced using other techniques.

  17. Heat treatable indium tin oxide films deposited with high power pulse magnetron sputtering

    International Nuclear Information System (INIS)

    Horstmann, F.; Sittinger, V.; Szyszka, B.

    2009-01-01

    In this study, indium tin oxide (ITO) films were prepared by high power pulse magnetron sputtering [D. J. Christie, F. Tomasel, W. D. Sproul, D. C. Carter, J. Vac. Sci. Technol. A, 22 (2004) 1415. ] without substrate heating. The ITO films were deposited from a ceramic target at a deposition rate of approx. 5.5 nm*m/min kW. Afterwards, the ITO films were covered with a siliconoxynitride film sputtered from a silicon alloy target in order to prevent oxidation of the ITO film during annealing at 650 deg. C for 10 min in air. The optical and electrical properties as well as the texture and morphology of these films were investigated before and after annealing. Mechanical durability of the annealed films was evaluated at different test conditions. The results were compared with state-of-the art ITO films which were obtained at optimized direct current magnetron sputtering conditions

  18. Oxidation behaviour of titanium in high temperature steam

    International Nuclear Information System (INIS)

    Moroishi, Taishi; Shida, Yoshiaki

    1978-01-01

    The oxidation of pure titanium was studied in superheated steam at 400 -- 550 0 C. The effects of prior cold working and several heat treatment conditions on the oxidation were examined and also the effects of the addition of small amounts of iron and oxygen were investigated. The oxidation mechanism of pure titanium is discussed in relation to the scale structure and the oxidation kinetics. Hydrogen absorption rate was also measured. As a result, the following conclusions were drawn: (1) The oxidation of pure titanium in steam was faster than in air and breakaway oxidation was observed above 500 0 C after the specimen had gained a certain weight. Prior cold working and heat treatment conditions scarcely affected the oxidation rate, whereas the specimen containing small amounts of iron and oxygen showed a little more rapid oxidation. (2) At 500 and 550 0 C a dark grey inner scale and a yellow-brown outer scale were formed. The outer scale was apt to exfoliate after the occurrence of breakaway oxidation. At 400 and 450 0 C only a dark grey scale was observed. All of these oxides were identified as the rutile type, TiO 2 . Furthermore, the presence of a thin and uniform oxygen rich layer beneath the external scale was confirmed at all test temperatures. (3) The measured weight gain approximately followed the cubic rate law; this would be expected for the following reason; one component of the weight gain is due to the dissolved oxygen, the amount of which remains constant after the early stages of oxidation. The second component is due to the parabolic growth of the external TiO 2 scale. When these contributions are added a pseudo-cubic weight gain curve results. (4) It was shown that 50 percent of the hydrogen generated during the oxidation was absorbed into the metal. (auth.)

  19. Anodization: a promising nano-modification technique of titanium implants for orthopedic applications.

    Science.gov (United States)

    Yao, Chang; Webster, Thomas J

    2006-01-01

    Anodization is a well-established surface modification technique that produces protective oxide layers on valve metals such as titanium. Many studies have used anodization to produce micro-porous titanium oxide films on implant surfaces for orthopedic applications. An additional hydrothermal treatment has also been used in conjunction with anodization to deposit hydroxyapatite on titanium surfaces; this is in contrast to using traditional plasma spray deposition techniques. Recently, the ability to create nanometer surface structures (e.g., nano-tubular) via anodization of titanium implants in fluorine solutions have intrigued investigators to fabricate nano-scale surface features that mimic the natural bone environment. This paper will present an overview of anodization techniques used to produce micro-porous titanium oxide structures and nano-tubular oxide structures, subsequent properties of these anodized titanium surfaces, and ultimately their in vitro as well as in vivo biological responses pertinent for orthopedic applications. Lastly, this review will emphasize why anodized titanium structures that have nanometer surface features enhance bone forming cell functions.

  20. Aluminium oxide barrier films on polymeric web and their conversion for packaging applications

    OpenAIRE

    Struller, CF; Kelly, PJ; Copeland, NJ; Tobin, V; Assender, HE; Holliday, CW; Read, SJ

    2013-01-01

    In recent years, inorganic transparent barrier layers such as aluminium oxide or silicon oxide deposited onto polymer films have emerged as an attractive alternative to polymer based transparent barrier layers for flexible food packaging materials. For this application, barrier properties against water vapour and oxygen are critical. Aluminium oxide coatings can provide good barrier levels at thicknesses in the nanometre range, compared to several micrometres for polymer-based barrier layers....

  1. Investigation of plasma dynamics during the growth of amorphous titanium dioxide thin films

    Science.gov (United States)

    Kim, Jin-Soo; Jee, Hyeok; Yu, Young-Hun; Seo, Hye-Won

    2018-06-01

    We have grown amorphous titanium dioxide thin films by reactive DC sputtering method using a different argon/oxygen partial pressure at a room temperature. The plasma dynamics of the process, reactive and sputtered gas particles was investigated via optical emission spectroscopy. We then studied the correlations between the plasma states and the structural/optical properties of the films. The growth rate and morphology of the titanium dioxide thin films turned out to be contingent with the population and the energy profile of Ar, O, and TiO plasma. In particular, the films grown under energetic TiO plasma have shown a direct band-to-band transition with an optical energy band gap up to ∼4.2 eV.

  2. Temperature effect on surface oxidation of titanium

    International Nuclear Information System (INIS)

    Vaquilla, I.; Barco, J.L. del; Ferron, J.

    1990-01-01

    The effect of temperature on the first stages of the superficial oxidation of polycrystalline titanium was studied using both Auger electron spectroscopy (AES) and emission shreshold (AEAPS). The number of compounds present on the surface was determined by application of the factor analysis technique. Reaction evolution was followed through the relative variation of Auger LMM and LMV transitions which are characteristic of titanium. Also the evolution of the chemical shift was determined by AEAPS. The amount of oxygen on the surface was quantified using transition KLL of oxygen. It was found that superficial oxidation depends on temperature. As much as three different compounds were determined according to substrate temperature and our exposure ranges. (Author). 7 refs., 5 figs

  3. Influence of aluminum nitride interlayers on crystal orientation and piezoelectric property of aluminum nitride thin films prepared on titanium electrodes

    International Nuclear Information System (INIS)

    Kamohara, Toshihiro; Akiyama, Morito; Ueno, Naohiro; Nonaka, Kazuhiro; Kuwano, Noriyuki

    2007-01-01

    Highly c-axis-oriented aluminum nitride (AlN) thin films have been prepared on titanium (Ti) bottom electrodes by using AlN interlayers. The AlN interlayers were deposited between Ti electrodes and silicon (Si) substrates, such as AlN/Ti/AlN/Si. The crystallinity and crystal orientation of the AlN films and Ti electrodes strongly depended on the thickness of the AlN interlayers. Although the sputtering conditions were the same, the X-ray diffraction intensity of AlN (0002) and Ti (0002) planes drastically increased, and the full-width at half-maximum (FWHM) of the X-ray rocking curves decreased from 5.1 o to 2.6 o and from 3.3 o to 2.0 o , respectively. Furthermore, the piezoelectric constant d 33 of the AlN films was significantly improved from - 0.2 to - 4.5 pC/N

  4. Characterization of polypyrrole films electrosynthesized onto titanium in the presence of sodium bis(2-ethylhexyl) sulfosuccinate (AOT)

    International Nuclear Information System (INIS)

    Flamini, D.O.; Saidman, S.B.

    2010-01-01

    Films of polypyrrole (PPy) were successfully electrosynthesized onto titanium in neutral and alkaline solutions of sodium bis(2-ethylhexyl) sulfosuccinate (Aerosol OT or AOT) by potentiodynamic, galvanostatic and potentiostatic techniques. Results of the characterization of the films by cyclic voltammetry, scanning electron microscopy (SEM) and adhesion measurements are presented. It was found that the AOT molecule remains entrapped within the polymer matrix. The initial growth of the polymer produces electroactive toroidal deposits whereas for electropolymerization of longer duration the typical globular structure is developed. Adherence to Ti increases with deposition time and this result is interpreted as a consequence of the growth of a composite PPy/Ti oxide.

  5. Oxidation Behavior of Titanium Carbonitride Coating Deposited by Atmospheric Plasma Spray Synthesis

    Science.gov (United States)

    Zhu, Lin; He, Jining; Yan, Dianran; Liao, Hanlin; Zhang, Nannan

    2017-10-01

    As a high-hardness and anti-frictional material, titanium carbonitride (TiCN) thick coatings or thin films are increasingly being used in many industrial fields. In the present study, TiCN coatings were obtained by atmospheric plasma spray synthesis or reactive plasma spray. In order to promote the reaction between the Ti particles and reactive gases, a home-made gas tunnel was mounted on a conventional plasma gun to perform the spray process. The oxidation behavior of the TiCN coatings under different temperatures in static air was carefully investigated. As a result, when the temperature was over 700 °C, the coatings suffered from serious oxidation, and finally they were entirely oxidized to the TiO2 phase at 1100 °C. The principal oxidation mechanism was clarified, indicating that the oxygen can permeate into the defects and react with TiCN at high temperatures. In addition, concerning the use of a TiCN coating in high-temperature conditions, the microhardness of the oxidized coatings at different treatment temperatures was also evaluated.

  6. Deodorisation effect of diamond-like carbon/titanium dioxide multilayer thin films deposited onto polypropylene

    Energy Technology Data Exchange (ETDEWEB)

    Ozeki, K., E-mail: ozeki@mx.ibaraki.ac.jp [Department of Mechanical Engineering, Ibaraki University, 4-12-1, Nakanarusawa, Hitachi, Ibaraki 316-8511 (Japan); Frontier Research Center for Applied Atomic Sciences, 162-1 Shirakata, Toukai, Ibaraki 319-1106 (Japan); Hirakuri, K.K. [Applied Systems Engineering, Graduate School of Science and Engineering, Tokyo Denki University, Ishizaka, Hatoyama, Hiki, Saitama 350-0394 (Japan); Masuzawa, T. [Department of Mechanical Engineering, Ibaraki University, 4-12-1, Nakanarusawa, Hitachi, Ibaraki 316-8511 (Japan)

    2011-04-15

    Many types of plastic containers have been used for the storage of food. In the present study, diamond-like carbon (DLC)/titanium oxide (TiO{sub 2}) multilayer thin films were deposited on polypropylene (PP) to prevent flavour retention and to remove flavour in plastic containers. For the flavour removal test, two types of multilayer films were prepared, DLC/TiO{sub 2} films and DLC/TiO{sub 2}/DLC films. The residual gas concentration of acetaldehyde, ethylene, and turmeric compounds in bottle including the DLC/TiO{sub 2}-coated and the DLC/TiO{sub 2}/DLC-coated PP plates were measured after UV radiation, and the amount of adsorbed compounds to the plates was determined. The percentages of residual gas for acetaldehyde, ethylene, and turmeric with the DLC/TiO{sub 2} coated plates were 0.8%, 65.2% and 75.0% after 40 h of UV radiation, respectively. For the DLC/TiO{sub 2}/DLC film, the percentages of residual gas for acetaldehyde, ethylene and turmeric decreased to 34.9%, 76.0% and 85.3% after 40 h of UV radiation, respectively. The DLC/TiO{sub 2}/DLC film had a photocatalytic effect even though the TiO{sub 2} film was covered with the DLC film.

  7. Deodorisation effect of diamond-like carbon/titanium dioxide multilayer thin films deposited onto polypropylene

    International Nuclear Information System (INIS)

    Ozeki, K.; Hirakuri, K.K.; Masuzawa, T.

    2011-01-01

    Many types of plastic containers have been used for the storage of food. In the present study, diamond-like carbon (DLC)/titanium oxide (TiO 2 ) multilayer thin films were deposited on polypropylene (PP) to prevent flavour retention and to remove flavour in plastic containers. For the flavour removal test, two types of multilayer films were prepared, DLC/TiO 2 films and DLC/TiO 2 /DLC films. The residual gas concentration of acetaldehyde, ethylene, and turmeric compounds in bottle including the DLC/TiO 2 -coated and the DLC/TiO 2 /DLC-coated PP plates were measured after UV radiation, and the amount of adsorbed compounds to the plates was determined. The percentages of residual gas for acetaldehyde, ethylene, and turmeric with the DLC/TiO 2 coated plates were 0.8%, 65.2% and 75.0% after 40 h of UV radiation, respectively. For the DLC/TiO 2 /DLC film, the percentages of residual gas for acetaldehyde, ethylene and turmeric decreased to 34.9%, 76.0% and 85.3% after 40 h of UV radiation, respectively. The DLC/TiO 2 /DLC film had a photocatalytic effect even though the TiO 2 film was covered with the DLC film.

  8. Annealing effects on the structural and optical properties of vanadium oxide film obtained by the hot-filament metal oxide deposition technique (HFMOD)

    Energy Technology Data Exchange (ETDEWEB)

    Scarminio, Jair; Silva, Paulo Rogerio Catarini da, E-mail: scarmini@uel.br, E-mail: prcsilva@uel.br [Universidade Estadual de Londrina (UEL), PR (Brazil). Departamento de Fisica; Gelamo, Rogerio Valentim, E-mail: rogelamo@gmail.com [Universidade Federal do Triangulo Mineiro (UFTM), Uberaba, MG (Brazil); Moraes, Mario Antonio Bica de, E-mail: bmoraes@mailhost.ifi.unicamp.br [Universidade Estadual de Campinas (UNICAMP), SP (Brazil)

    2017-01-15

    Vanadium oxide films amorphous, nonstoichiometric and highly absorbing in the optical region were deposited on ITO-coated glass and on silicon substrates, by the hot-filament metal oxide deposition technique (HFMOD) and oxidized by ex-situ annealing in a furnace at 200, 300, 400 and 500 deg C, under an atmosphere of argon and rarefied oxygen. X-ray diffraction, Raman and Rutherford backscattering spectroscopy as well as optical transmission were employed to characterize the amorphous and annealed films. When annealed at 200 and 300 deg C the as-deposited opaque films become transparent but still amorphous. Under treatments at 400 and 500 deg C a crystalline nonstoichiometric V{sub 2}O{sub 5} structure is formed. All the annealed films became semiconducting, with their optical absorption coefficients changing with the annealing temperature. An optical gap of 2.25 eV was measured for the films annealed at 400 and 500 deg C. The annealing in rarefied oxygen atmosphere proved to be a useful and simple ex-situ method to modulate the structural and optical properties of vanadium oxide films deposited by HFMOD technique. This technique could be applied to other amorphous and non-absorbing oxide films, replacing the conventional and sometimes expensive method of modulate desirable film properties by controlling the film deposition parameters. Even more, the HFMOD technique can be an inexpensive alternative to deposit metal oxide films. (author)

  9. Use of porous silicon to minimize oxidation induced stacking fault defects in silicon

    International Nuclear Information System (INIS)

    Shieh, S.Y.; Evans, J.W.

    1992-01-01

    This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters

  10. Annealing temperature dependence of photoluminescent characteristics of silicon nanocrystals embedded in silicon-rich silicon nitride films grown by PECVD

    International Nuclear Information System (INIS)

    Chao, D.S.; Liang, J.H.

    2013-01-01

    Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films

  11. Magnetic oxide heterostructures. EuO on cubic oxides and on silicon

    International Nuclear Information System (INIS)

    Caspers, Christian

    2013-01-01

    In the thesis at hand, we explore fundamental properties of ultrathin europium oxide (EuO) films. EuO is a model system of a localized 4f Heisenberg ferromagnet, in which the ferromagnetic coupling. provided a high crystalline quality. can be tuned by biaxial lattice strain. Moreover, the magnetic oxide EuO is perfectly suited as a spin-functional tunnel contact for silicon spintronics. However, up to now a challenging bulk and interface chemistry of EuO and Si has hampered a seamless integration into functional silicon heterostructures. In order to investigate fundamental aspects of the magnetic and electronic structure of ultrathin EuO, in the first part of this thesis, we synthesize EuO thin films on conductive YSZ substrates from bulklike thicknesses down to one nanometer by oxide molecular beam epitaxy (MBE). The EuO thin films are of textbook-like single-crystalline quality, and show bulk-like magnetic properties. We control the stoichiometry of buried EuO thin films by hard X-ray photoemission spectroscopy (HAXPES); even a 1 nm ultrathin EuO film exhibits no valence change or interface shifts. Furthermore, we conduct an advanced magnetic characterization by the magnetic circular dichroism (MCD) of Eu core-levels in photoemission, this gives us insight into the intra-atomic exchange coupling of EuO thin films. The MCD reveals large asymmetries of up to 49% in the well-resolved Eu 4d photoemission multiplet. Thus, ultrathin EuO coherently grown on conductive YSZ allows us to explore fundamental magnetic and electronic properties of a 4f magnetic oxide. Biaxial lateral strain applied to single-crystalline EuO is of fundamental interest, since it alters the electronic structure and magnetic coupling in a controlled way. We apply +4.2% tensile biaxial strain to EuO by epitaxial EuO/LaAlO 3 (100) heterostructures. EuO seamlessly adapts the lateral lattice parameter of LaAlO 3 , while the perpendicular parameter of EuO is the unchanged EuO bulk value, thus the

  12. [Corrosion resistant properties of different anodized microtopographies on titanium surfaces].

    Science.gov (United States)

    Fangjun, Huo; Li, Xie; Xingye, Tong; Yueting, Wang; Weihua, Guo; Weidong, Tian

    2015-12-01

    To investigate the corrosion resistant properties of titanium samples prepared by anodic oxidation with different surface morphologies. Pure titanium substrates were treated by anodic oxidation to obtain porous titanium films in micron, submicron, and micron-submicron scales. The surface morphologies, coating cross-sectional morphologies, crystalline structures, and surface roughness of these samples were characterized. Electrochemical technique was used to measure the corrosion potential (Ecorr), current density of corrosion (Icorr), and polarization resistance (Rp) of these samples in a simulated body fluid. Pure titanium could be modified to exhibit different surface morphologies by the anodic oxidation technique. The Tafel curve results showed that the technique can improve the corrosion resistance of pure titanium. Furthermore, the corrosion resistance varied with different surface morphologies. The submicron porous surface sample demonstrated the best corrosion resistance, with maximal Ecorr and Rp and minimal Icorr. Anodic oxidation technology can improve the corrosion resistance of pure titanium in a simulated body fluid. The submicron porous surface sample exhibited the best corrosion resistance because of its small surface area and thick barrier layer.

  13. Inhibitory Effect Evaluation of Glycerol-Iron Oxide Thin Films on Methicillin-Resistant Staphylococcus aureus

    Directory of Open Access Journals (Sweden)

    C. L. Popa

    2015-01-01

    Full Text Available The main purpose of this study was to evaluate the inhibitory effect of glycerol- iron oxide thin films on Methicillin-Resistant Staphylococcus aureus (MRSA. Our results suggest that glycerol-iron oxide thin films could be used in the future for various biomedical and pharmaceutical applications. The glycerol-iron oxide thin films have been deposited by spin coating method on a silicon (111 substrate. The structural properties have been studied by X-ray diffraction (XRD and scanning electron spectroscopy (SEM. The XRD investigations of the prepared thin films demonstrate that the crystal structure of glycerol-iron oxide nanoparticles was not changed after spin coating deposition. On the other hand, the SEM micrographs suggest that the size of the glycerol-iron oxide microspheres increased with the increase of glycerol exhibiting narrow size distributions. The qualitative depth profile of glycerol-iron oxide thin films was identified by glow discharge optical emission spectroscopy (GDOES. The GDOES spectra revealed the presence of the main elements: Fe, O, C, H, and Si. The antimicrobial activity of glycerol-iron oxide thin films was evaluated by measuring the zone of inhibition. After 18 hours of incubation at 37°C, the diameters of the zones of complete inhibition have been measured obtaining values around 25 mm.

  14. Composite materials and bodies including silicon carbide and titanium diboride and methods of forming same

    Science.gov (United States)

    Lillo, Thomas M.; Chu, Henry S.; Harrison, William M.; Bailey, Derek

    2013-01-22

    Methods of forming composite materials include coating particles of titanium dioxide with a substance including boron (e.g., boron carbide) and a substance including carbon, and reacting the titanium dioxide with the substance including boron and the substance including carbon to form titanium diboride. The methods may be used to form ceramic composite bodies and materials, such as, for example, a ceramic composite body or material including silicon carbide and titanium diboride. Such bodies and materials may be used as armor bodies and armor materials. Such methods may include forming a green body and sintering the green body to a desirable final density. Green bodies formed in accordance with such methods may include particles comprising titanium dioxide and a coating at least partially covering exterior surfaces thereof, the coating comprising a substance including boron (e.g., boron carbide) and a substance including carbon.

  15. Pulsed Photoinitiated Fabrication of Inkjet Printed Titanium Dioxide/Reduced Graphene Oxide Nanocomposite Thin Films.

    Science.gov (United States)

    Bourgeois, Briley; Luo, Sijun; Riggs, Brian; Ji, Yaping; Adireddy, Shiva; Schroder, Kurt; Farnsworth, Stan; Chrisey, Douglas B; Escarra, Matthew

    2018-05-08

    This work reports a new technique for scalable and low temperature processing of nanostructured-TiO2 thin films, allowing for practical manufacturing of TiO2 based devices such as perovskite solar cells at low temperature or on flexible substrates. Dual layers of dense and mesoporous TiO2/graphitic oxide nanocomposite films are synthesized simultaneously using inkjet printing and pulsed photonic irradiation. Investigation of process parameters including precursor concentration (10-20 wt%) and exposure fluence (4.5-8.5 J/cm2) reveals control over crystalline quality, graphitic oxide phase, film thickness, dendrite density, and optical properties. Raman spectroscopy shows the E¬g peak, characteristic of anatase phase titania, increases in intensity with higher photonic irradiation fluence, suggesting increased crystallinity through higher fluence processing. Film thickness and dendrite density is shown to increase with precursor concentration in the printed ink. The dense base layer thickness was controlled between 20 nm to 80 nm. The refractive index of the films is determined by ellipsometry to be 1.92 +/- 0.08 at 650 nm. Films exhibit an energy weighted optical transparency of 91.1%, in comparison to 91.3% of a thermally processed film, when in situ carbon materials were removed. Transmission and diffuse reflectance are used to determine optical band gaps of the films ranging from 2.98 eV to 3.38 eV in accordance with the photonic irradiation fluence and suggests tunability of TiO2 phase composition. The sheet resistance of the synthesized films is measured to be 14.54 +/- 1.11 Ω/□ and 28.90 +/- 2.24 Ω/□ for films as-processed and after carbon removal, respectively, which is comparable to high temperature processed TiO2 thin films. The studied electrical and optical properties of the light processed films show comparable results to traditionally processed TiO2 while offering the distinct advantages of scalable manufacturing, low-temperature processing

  16. Thin-film silicon solar cell technology

    Czech Academy of Sciences Publication Activity Database

    Shah, A. V.; Schade, H.; Vaněček, Milan; Meier, J.; Vallat-Sauvain, E.; Wyrsch, N.; Kroll, U.; Droz, C.; Bailat, J.

    2004-01-01

    Roč. 12, - (2004), s. 113-142 ISSN 1062-7995 R&D Projects: GA MŽP SN/320/11/03 Institutional research plan: CEZ:AV0Z1010914 Keywords : thin-film silicon modules * hydrogenerated amorphous silicon(a-Si:H) * hydrogenerated microcrystalline (ćc-Si:H) * transparent conductive oxydes(TCOs) * building-integrated photovoltaics(BIPV) Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.196, year: 2004

  17. Effect of power on the growth of nanocrystalline silicon films

    International Nuclear Information System (INIS)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma

    2008-01-01

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm -1 and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity

  18. Effect of power on the growth of nanocrystalline silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sushil; Dixit, P N; Rauthan, C M S; Parashar, A; Gope, Jhuma [Plasma Processed Materials Group, National Physical Laboratory, Dr K S Krishnan Road, New Delhi 110 012 (India)], E-mail: skumar@mail.nplindia.ernet.in

    2008-08-20

    Nanocrystalline silicon thin films were grown using a gaseous mixture of silane, hydrogen and argon in a plasma-enhanced chemical vapor deposition system. These films were deposited away from the conventional low power regime normally used for the deposition of device quality hydrogenated amorphous silicon films. It was observed that, with the increase of applied power, there is a change in nanocrystalline phases which were embedded in the amorphous matrix of silicon. Atomic force microscopy micrographs show that these films contain nanocrystallite of 20-100 nm size. Laser Raman and photoluminescence peaks have been observed at 514 cm{sup -1} and 2.18 eV, respectively, and particle sizes were estimated using the same as 8.24 nm and 3.26 nm, respectively. It has also been observed that nanocrystallites in these films enhanced the optical bandgap and electrical conductivity.

  19. On the structural and optical properties of sputtered hydrogenated amorphous silicon thin films

    International Nuclear Information System (INIS)

    Barhdadi, A.; Chafik El ldrissi, M.

    2002-08-01

    The present work is essentially focused on the study of optical and structural properties of hydrogenated amorphous silicon thin films (a-Si:H) prepared by radio-frequency cathodic sputtering. We examine separately the influence of hydrogen partial pressure during film deposition, and the effect of post-deposition thermal annealings on the main optical characteristics of the layers such as refraction index, optical gap and Urbach energy. Using the grazing X-rays reflectometry technique, thin film structural properties are examined immediately after films deposition as well as after surface oxidation or annealing. We show that low hydrogen pressures allow a saturation of dangling bonds in the layers, while high doses lead to the creation of new defects. We show also that thermal annealing under moderate temperatures improves the structural quality of the deposited layers. For the films examined just after deposition, the role of hydrogen appears in the increase of their density. For those analysed after a short stay in the ambient, hydrogen plays a protective role against the oxidation of their surfaces. This role disappears for a long time stay in the ambient. (author)

  20. Light-Induced Degradation of Thin Film Silicon Solar Cells

    International Nuclear Information System (INIS)

    Hamelmann, F U; Weicht, J A; Behrens, G

    2016-01-01

    Silicon-wafer based solar cells are still domination the market for photovoltaic energy conversion. However, most of the silicon is used only for mechanical stability, while only a small percentage of the material is needed for the light absorption. Thin film silicon technology reduces the material demand to just some hundred nanometer thickness. But even in a tandem stack (amorphous and microcrystalline silicon) the efficiencies are lower, and light-induced degradation is an important issue. The established standard tests for characterisation are not precise enough to predict the performance of thin film silicon solar cells under real conditions, since many factors do have an influence on the degradation. We will show some results of laboratory and outdoor measurements that we are going to use as a base for advanced modelling and simulation methods. (paper)

  1. Characterization of Titanium films for low temperature detectors

    Science.gov (United States)

    Monticone, E.; Rajteri, M.; Rastello, M. L.; Lacquaniti, V.; Gandini, C.; Pasca, E.; Ventura, G.

    2002-02-01

    In this work we study Ti films, with thickness between 10 nm and 1000 nm, deposited by e-gun on silicon nitride. Critical temperatures and electrical resistivities of these films have been measured and related each other. The behavior of critical temperatures versus the residual resistivities is discussed in the frame of the Testardi and Mattheiss theory .

  2. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  3. Enhanced photocurrent in thin-film amorphous silicon solar cells via shape controlled three-dimensional nanostructures

    International Nuclear Information System (INIS)

    Hilali, Mohamed M; Banerjee, Sanjay; Sreenivasan, S V; Yang Shuqiang; Miller, Mike; Xu, Frank

    2012-01-01

    In this paper, we have explored manufacturable approaches to sub-wavelength controlled three-dimensional (3D) nano-patterns with the goal of significantly enhancing the photocurrent in amorphous silicon solar cells. Here we demonstrate efficiency enhancement of about 50% over typical flat a-Si thin-film solar cells, and report an enhancement of 20% in optical absorption over Asahi textured glass by fabricating sub-wavelength nano-patterned a-Si on glass substrates. External quantum efficiency showed superior results for the 3D nano-patterned thin-film solar cells due to enhancement of broadband optical absorption. The results further indicate that this enhanced light trapping is achieved with minimal parasitic absorption losses in the deposited transparent conductive oxide for the nano-patterned substrate thin-film amorphous silicon solar cell configuration. Optical simulations are in good agreement with experimental results, and also show a significant enhancement in optical absorption, quantum efficiency and photocurrent. (paper)

  4. Plasma electrolytic oxidation of titanium in a phosphate/silicate electrolyte and tribological performance of the coatings

    International Nuclear Information System (INIS)

    Aliasghari, S.; Skeldon, P.; Thompson, G.E.

    2014-01-01

    Highlights: • Plasma electrolytic oxidation performed of titanium in silicate/phosphate electrolyte. • Range of duty cycle, current density, positive-to-negative current ratio studied. • Coatings contain anatase, rutile, Ti 3 O 5 , and amorphous silica. • Ptfe incorporated into coatings by addition of ptfe emulsion to the electrolyte. • Fiction reduced but wear life relatively short due to porosity of coatings. - Abstract: Plasma electrolytic oxidation of titanium has been investigated using a phosphate/silicate electrolyte with a square waveform and a frequency of 50 Hz. A range of constant rms current densities, duty cycles and negative-to-positive current ratios was employed. The resultant coatings were examined by analytical scanning and transmission electron microscopies and X-ray diffraction. The coatings, which were limited in thickness to ∼40 to 50 μm, contained anatase, rutile, Ti 2 O 5 and silicon-rich, amorphous material. The tribological behaviour was investigated using a ball-on-disc test, revealing a coefficient of friction against steel of ∼0.8, which reduced to ∼0.4 by incorporation of ptfe particles from the electrolyte. However, due to the composition and morphology of the coatings, their wear life was relatively short

  5. Synthesis and characterization of titanium oxide/bismuth sulfide nanorods for solar cells applications

    International Nuclear Information System (INIS)

    Solis, M.; Rincon, M. E.

    2008-01-01

    In the present work is showed the synthesis and characterization of titanium oxide/bismuth sulfide nanowires hetero-junctions for solar cells applications. Conductive glass substrates (Corning 25 x 75 mm) were coated with a thin layer of sol-gel TiO2 and used as substrates for the subsequent deposition of bismuth sulfide nanorods (BN). TiO2 films (∼400 nm) were deposited with a semiautomatic immersion system with controlled immersion/withdraw velocity, using titanium isopropoxide as the titania precursor [1]. For BN synthesis and deposition, the solvo-thermal method was used, introducing air annealed TiO2-substrates in the autoclave. The typical bilayer TiO2/BN hetero-junction was 600 nm thick. The synthesized materials (powders and films) were characterized by X-Ray Diffraction, Scanning Electron Microscopy, and UV-Visible Spectroscopy. Anatase was the crystalline phase of TiO2, while bismuth sulfide nanotubes show a diffraction pattern characteristic of bismuthinite distorted by the preferential growth of some planes [2-4]. The optoelectronic characterization of TiO2/NB hetero-junctions was compared with hetero-junctions obtained by sensitizing TiO2 with chemically deposited bismuth sulfide films. Bismuth sulfide nanowires are 2µm long and 70nm wide (aspect ratio L/D = 43), while chemically deposited bismuth sulfide have L/D = 1, therefore the effect of particle size evaluation and geometry in the photosensitization phenomena will be discussed in the context of new materials for solar-cells applications. (Full text)

  6. Study of granitic biotites by X-ray fluorescence analysis: determination of iron, manganese, titanium, calcium, potassium, silicon and aluminium

    International Nuclear Information System (INIS)

    Toubes, R. O.; Bermudez Polonio, J.

    1968-01-01

    A method for the quantitative determination of iron, manganese, titanium, calcium potassium, silicon, and aluminium, is reported, Sample preparation is carried out by the miniature flux technique, and rubidium is used as internal standard for silicon and aluminium. (Author) 5 refs

  7. Study for preparation of nanoporous titania on titanium by anodic oxidation

    International Nuclear Information System (INIS)

    Passos, Alessandra Pires

    2014-01-01

    Currently titanium is the most common material used in dental, orthopedic implants and cardiovascular applications. In the mid 1960s, prof. Braenemark and coworkers developed the concept of osseointegration, meaning the direct structural and functional connection between living bone and the surface of artificial implant. Thus, studies on the modification of the implant surface are widely distributed among them are the acid attack, blasting with particles of titanium oxide or aluminum oxide, coating with bioactive materials such as hydroxyapatite, and the anodic oxidation. The focus of this work was to investigate the treatment of titanium surface by anodic oxidation. The aim was to develop a nanoporous titanium oxide overlay with controlled properties over titanium substrates. Recent results have shown that such surface treatment improves the biological interaction at the interface bone-implant besides protecting the titanium further oxidation and allow a faster osseointegration. The anodizing process was done in the potentiostatic mode, using an electrolyte composed of 1.0 mol/L H 3 PO 4 and HF 0.5% m/I. The investigated process parameters were the electrical potential (Va) and the process time (T). The electric potential was varied from 10 V to 30 V and the process time was defined as 1.0 h, 1.5 h or 2.0 h. The treated Ti samples were characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), energy dispersive spectroscopy X-ray (EDS), and X-ray diffraction (XRD). The results showed the formation of nanoporous titanium oxide by anodizing with electric potential (Va) in the range of 20 V to 30 V and process time in the range of 1 to 2 hours. The average pore diameter was in the range 94-128 nm. Samples anodized in electric potential lower than 20 V did not show the formation of the nanoporous surface. In the case of Va above 30 V, it was observed the formation of agglomerates of TiO 2 . The results obtained in this study showed no

  8. Thickness effect on properties of titanium film deposited by d.c. ...

    Indian Academy of Sciences (India)

    -beam evaporation possessed fcc symmetry with ... size, morphology, density and textures of the grains strongly depend on the .... dence for TiO2, a common titanium oxide on the surface of titanium ... diffraction peak and θ the diffraction angle.

  9. Influence of intermediate layers on the surface condition of laser crystallized silicon thin films and solar cell performance

    Energy Technology Data Exchange (ETDEWEB)

    Höger, Ingmar, E-mail: ingmar.hoeger@ipht-jena.de; Gawlik, Annett; Brückner, Uwe; Andrä, Gudrun [Leibniz-Institut für Photonische Technologien, PF 100239, 07702 Jena (Germany); Himmerlich, Marcel; Krischok, Stefan [Institut für Mikro-und Nanotechnologien, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau (Germany)

    2016-01-28

    The intermediate layer (IL) between glass substrate and silicon plays a significant role in the optimization of multicrystalline liquid phase crystallized silicon thin film solar cells on glass. This study deals with the influence of the IL on the surface condition and the required chemical surface treatment of the crystallized silicon (mc-Si), which is of particular interest for a-Si:H heterojunction thin film solar cells. Two types of IL were investigated: sputtered silicon nitride (SiN) and a layer stack consisting of silicon nitride and silicon oxide (SiN/SiO). X-ray photoelectron spectroscopy measurements revealed the formation of silicon oxynitride (SiO{sub x}N{sub y}) or silicon oxide (SiO{sub 2}) layers at the surface of the mc-Si after liquid phase crystallization on SiN or SiN/SiO, respectively. We propose that SiO{sub x}N{sub y} formation is governed by dissolving nitrogen from the SiN layer in the silicon melt, which segregates at the crystallization front during crystallization. This process is successfully hindered, when additional SiO layers are introduced into the IL. In order to achieve solar cell open circuit voltages above 500 mV, a removal of the formed SiO{sub x}N{sub y} top layer is required using sophisticated cleaning of the crystallized silicon prior to a-Si:H deposition. However, solar cells crystallized on SiN/SiO yield high open circuit voltage even when a simple wet chemical surface treatment is applied. The implementation of SiN/SiO intermediate layers facilitates the production of mesa type solar cells with open circuit voltages above 600 mV and a power conversion efficiency of 10%.

  10. Oxidation behaviour of titanium in high temperature steam

    Energy Technology Data Exchange (ETDEWEB)

    Moroishi, T; Shida, Y [Sumitomo Metal Industries Ltd., Amagasaki, Hyogo (Japan). Central Research Labs.

    1978-03-01

    The oxidation of pure titanium was studied in superheated steam at 400 -- 550/sup 0/C. The effects of prior cold working and several heat treatment conditions on the oxidation were examined and also the effects of the addition of small amounts of iron and oxygen were investigated. The oxidation mechanism of pure titanium is discussed in relation to the scale structure and the oxidation kinetics. Hydrogen absorption rate was also measured. As a result, the following conclusions were drawn: (1) The oxidation of pure titanium in steam was faster than in air and breakaway oxidation was observed above 500/sup 0/C after the specimen had gained a certain weight. Prior cold working and heat treatment conditions scarcely affected the oxidation rate, whereas the specimen containing small amounts of iron and oxygen showed a little more rapid oxidation. (2) At 500 and 550/sup 0/C a dark grey inner scale and a yellow-brown outer scale were formed. The outer scale was apt to exfoliate after the occurrence of breakaway oxidation. At 400 and 450/sup 0/C only a dark grey scale was observed. All of these oxides were identified as the rutile type, TiO/sub 2/. Furthermore, the presence of a thin and uniform oxygen rich layer beneath the external scale was confirmed at all test temperatures. (3) The measured weight gain approximately followed the cubic rate law; this would be expected for the following reason; one component of the weight gain is due to the dissolved oxygen, the amount of which remains constant after the early stages of oxidation. The second component is due to the parabolic growth of the external TiO/sub 2/ scale. When these contributions are added a pseudo-cubic weight gain curve results. (4) It was shown that 50 percent of the hydrogen generated during the oxidation was absorbed into the metal.

  11. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  12. Assessing the antimicrobial activity of zinc oxide thin films using disk diffusion and biofilm reactor

    International Nuclear Information System (INIS)

    Gittard, Shaun D.; Perfect, John R.; Monteiro-Riviere, Nancy A.; Wei Wei; Jin Chunming; Narayan, Roger J.

    2009-01-01

    The electronic and chemical properties of semiconductor materials may be useful in preventing growth of microorganisms. In this article, in vitro methods for assessing microbial growth on semiconductor materials will be presented. The structural and biological properties of silicon wafers coated with zinc oxide thin films were evaluated using atomic force microscopy, X-ray photoelectron spectroscopy, and MTT viability assay. The antimicrobial properties of zinc oxide thin films were established using disk diffusion and CDC Biofilm Reactor studies. Our results suggest that zinc oxide and other semiconductor materials may play a leading role in providing antimicrobial functionality to the next-generation medical devices

  13. Upconversion photoluminescence of epitaxial Yb{sup 3+}/Er{sup 3+} codoped ferroelectric Pb(Zr,Ti)O{sub 3} films on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yang, E-mail: zhangy_acd@hotmail.com [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Kämpfe, Thomas [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Bai, Gongxun [Department of Applied Physics, The Hong Kong Polytechnic University, Hong Kong (China); Mietschke, Michael; Yuan, Feifei; Zopf, Michael [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Abel, Stefan [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Eng, Lukas M. [Institut für Angewandte Physik, TU Dresden, 01062 Dresden (Germany); Hühne, Ruben [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Fompeyrine, Jean [IBM Research GmbH, Saümerstrasse 4, 8803 Rüschlikon (Switzerland); Ding, Fei, E-mail: f.ding@ifw-dresden.de [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Schmidt, Oliver G. [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Material Systems for Nanoelectronics, Chemnitz University of Technology, Reichenhainer strasse 70, 09107 Chemnitz (Germany)

    2016-05-31

    Thin films of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} (PZT:Yb/Er) have been epitaxially grown on the SrTiO{sub 3} buffered Si wafer by pulsed laser deposition. Strong upconversion photoluminescence was observed in the PZT:Yb/Er thin film. Using piezoresponse force microscopy, polar domains in the PZT:Yb/Er film can be reversibly switched with a phase change of 180°. Ferroelectric hysteresis loop shape with a well-saturated response was observed. The epitaxially grown lanthanide-doped PZT on silicon opens up a promising route to the integration of luminescent functional oxides on the silicon platform. - Highlights: • Epitaxial growth of Yb{sup 3+}/Er{sup 3+} codoped Pb(Zr,Ti)O{sub 3} films on SrTiO{sub 3} buffered silicon • Upconversion emissions were obtained from the lanthanide ion doped thin films. • Saturated ferroelectric hysteresis loops were observed. • Polar domains were switched by PFM with a phase change of 180°.

  14. Characterization of thin-film silicon materials and solar cells through numerical modeling

    NARCIS (Netherlands)

    Pieters, B.E.

    2008-01-01

    At present most commercially available solar cells are made of crystalline silicon (c-Si). The disadvantages of crystalline silicon solar cells are the high material cost and energy consumption during production. A cheaper alternative can be found in thin-film silicon solar cells. The thin-film

  15. Properties of non-stoichiometric nitrogen doped LPCVD silicon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, F.; Mahamdi, R. [Departement d' Electronique, Universite Mentouri, Constantine (Algeria); Beghoul, M.R. [Departement d' Electronique, Universite de Jijel (Algeria); Temple-Boyer, P. [CNRS, LAAS, Toulouse (France); Universite de Toulouse, UPS, INSA, INP, ISAE, LAAS, Toulouse (France); Bouridah, H.

    2010-02-15

    The influence of nitrogen on the internal structure and so on the electrical properties of silicon thin films obtained by low-pressure chemical vapor deposition (LPCVD) was studied using several investigation methods. We found by using Raman spectroscopy and SEM observations that a strong relationship exists between the structural order of the silicon matrix and the nitrogen ratio in film before and after thermal treatment. As a result of the high disorder caused by nitrogen on silicon network during the deposit phase of films, the crystallization phenomena in term of nucleation and crystalline growth were found to depend upon the nitrogen content. Resistivity measurements results show that electrical properties of NIDOS films depend significantly on structural properties. It was appeared that for high nitrogen content, the films tend to acquire an insulator behavior. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Parameters optimization, microstructure and micro-hardness of silicon carbide laser deposited on titanium alloy

    CSIR Research Space (South Africa)

    Adebiyia, DI

    2016-06-01

    Full Text Available Silicon carbide (SiC), has excellent mechanical properties such as high hardness and good wear resistance, and would have been a suitable laser-coating material for titanium alloy to enhance the poor surface hardness of the alloy. However, SiC has...

  17. In and Ga Codoped ZnO Film as a Front Electrode for Thin Film Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Duy Phong Pham

    2014-01-01

    Full Text Available Doped ZnO thin films have attracted much attention in the research community as front-contact transparent conducting electrodes in thin film silicon solar cells. The prerequisite in both low resistivity and high transmittance in visible and near-infrared region for hydrogenated microcrystalline or amorphous/microcrystalline tandem thin film silicon solar cells has promoted further improvements of this material. In this work, we propose the combination of major Ga and minor In impurities codoped in ZnO film (IGZO to improve the film optoelectronic properties. A wide range of Ga and In contents in sputtering targets was explored to find optimum optical and electrical properties of deposited films. The results show that an appropriate combination of In and Ga atoms in ZnO material, followed by in-air thermal annealing process, can enhance the crystallization, conductivity, and transmittance of IGZO thin films, which can be well used as front-contact electrodes in thin film silicon solar cells.

  18. Tungsten oxide thin films grown by thermal evaporation with high resistance to leaching

    Energy Technology Data Exchange (ETDEWEB)

    Correa, Diogo S. [Universidade Federal de Pelotas (UFPel), RS (Brazil). Centro de Ciencias Quimicas, Farmaceuticas e de Alimentos; Pazinato, Julia C.O.; Freitas, Mauricio A. de; Radtke, Claudio; Garcia, Irene T.S., E-mail: irene@iq.ufrgs.br [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre, RS (Brazil). Instituto de Quimica; Dorneles, Lucio S. [Universidade Federal de Santa Maria (UFSM), RS (Brazil). Centro de Ciencias Naturais e Exatas

    2014-05-15

    Tungsten oxides show different stoichiometries, crystal lattices and morphologies. These characteristics are important mainly when they are used as photocatalysts. In this work tungsten oxide thin films were obtained by thermal evaporation on (100) silicon substrates covered with gold and heated at 350 and 600 °C, with different deposition times. The stoichiometry of the films, morphology, crystal structure and resistance to leaching were characterized through X-ray photoelectron spectroscopy, micro-Raman spectroscopy, scanning and transmission electron microscopy, X-ray diffractometry, Rutherford backscattering spectrometry and O{sup 16} (α,α')O{sup 16} resonant nuclear reaction. Films obtained at higher temperatures show well-defined spherical nanometric structure; they are composed of WO{sub 3.1} and the presence of hydrated tungsten oxide was also observed. The major crystal structure observed is the hexagonal. Thin films obtained through thermal evaporation present resistance to leaching in aqueous media and excellent performance as photocatalysts, evaluated through the degradation of the methyl orange dye. (author)

  19. Surface modification of 17-4PH stainless steel by DC plasma nitriding and titanium nitride film duplex treatment

    International Nuclear Information System (INIS)

    Qi, F.; Leng, Y.X.; Huang, N.; Bai, B.; Zhang, P.Ch.

    2007-01-01

    17-4PH stainless steel was modified by direct current (DC) plasma nitriding and titanium nitride film duplex treatment in this study. The microstructure, wear resistance and corrosion resistance were characterized by X-ray diffraction (XRD), pin-on-disk tribological test and polarization experiment. The results revealed that the DC plasma nitriding pretreatment was in favor of improving properties of titanium nitride film. The corrosion resistance and wear resistance of duplex treatment specimen was more superior to that of only coated titanium nitride film

  20. Novel texturing method for sputtered zinc oxide films prepared at high deposition rate from ceramic tube targets

    Directory of Open Access Journals (Sweden)

    Hüpkes J.

    2011-10-01

    Full Text Available Sputtered and wet-chemically texture etched zinc oxide (ZnO films on glass substrates are regularly applied as transparent front contact in silicon based thin film solar cells. In this study, chemical wet etching in diluted hydrofluoric acid (HF and subsequently in diluted hydrochloric acid (HCl on aluminum doped zinc oxide (ZnO:Al films deposited by magnetron sputtering from ceramic tube targets at high discharge power (~10 kW/m target length is investigated. Films with thickness of around 800 nm were etched in diluted HCl acid and HF acid to achieve rough surface textures. It is found that the etching of the films in both etchants leads to different surface textures. A two steps etching process, which is especially favorable for films prepared at high deposition rate, was systematically studied. By etching first in diluted hydrofluoric acid (HF and subsequently in diluted hydrochloric acid (HCl these films are furnished with a surface texture which is characterized by craters with typical diameter of around 500 − 1000 nm. The resulting surface structure is comparable to etched films sputtered at low deposition rate, which had been demonstrated to be able to achieve high efficiencies in silicon thin film solar cells.

  1. Improving hemocompatibility and accelerating endothelialization of vascular stents by a copper-titanium film

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Hengquan, E-mail: 99xyxy@163.com [College of Materials and Chemistry & Chemical Engineering, Chengdu University of Technology, Chengdu 610059 (China); Pan, Changjiang [Jiangsu Provincial Key Laboratory for Interventional Medical Devices, Huaiyin Institute of Technology, Huaiyin 223033 (China); Zhou, Shijie; Li, Junfeng [College of Materials and Chemistry & Chemical Engineering, Chengdu University of Technology, Chengdu 610059 (China); Huang, Nan [Key Laboratory for Advanced Technologies of Materials, Ministry of Education, Southwest Jiaotong University, Chengdu 610031 (China); Dong, Lihua [Department of Research & Development, Lifetech Scientific (Shenzhen) Co., Ltd, Shenzhen 518057 (China)

    2016-12-01

    Bio-inorganic films and drug-eluting coatings are usually used to improve the hemocompatibility and inhibit restenosis of vascular stent; however, above bio-performances couldn't combine together with single materials. In the present study, we reported a simple approach to fabricate a metal film with the aim of imparting the stent with good blood compatibility and accelerating endothelialization. The films with various ratios of Cu and Ti were prepared through the physical vapor deposition. Phase structure and element composition were investigated by X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS), respectively. The releasing volume of copper ion in Cu/Ti film was determined by immersing test. The hemolysis ratio, platelet adhesion and clotting time were applied to evaluate the hemocompatibility. The proliferative behaviors of endothelial cells and smooth muscle cells under certain copper concentration were investigated in vitro and in vivo. Results indicated that copper-titanium films exhibited good hemocompatibility in vitro; however, the increase of Cu/Ti ratio could lead to increasing hemolysis ratio. Endothelial cells displayed more proliferative than smooth muscle cells when the copper concentration was < 7.5 μg/ml, however both cells tended to apoptosis to some degree when the copper concentration was increased. The complete endothelialization of the film with low copper in vivo was observed at the 2nd week, indicating that the copper-titanium film with the lower copper concentration could promote endothelialization. Therefore, the inorganic copper-titanium film could be potential biomaterials to improve blood compatibility and accelerating endothelialization of vascular stents. - Highlight: • The Cu/Ti film with regulating the various responses of ECs and SMCs has been prepared. • The hemocompatibility of Cu/Ti film is favorable and regulatable. • The volume of copper ion released from film could be designed.

  2. Plasma processing of microcrystalline silicon films : filling in the gaps

    NARCIS (Netherlands)

    Bronneberg, A.C.

    2012-01-01

    Hydrogenated microcrystalline silicon (µc-Si:H) is a mixed-phase material consisting of crystalline silicon grains, hydrogenated amorphous silicon (a-Si:H) tissue, and voids. Microcrystalline silicon is extensively used as absorber layer in thin-film tandem solar cells, combining the advantages of a

  3. Stability of Polymer Ultrathin Films (Top-Down Approach.

    Science.gov (United States)

    Bal, Jayanta Kumar; Beuvier, Thomas; Unni, Aparna Beena; Chavez Panduro, Elvia Anabela; Vignaud, Guillaume; Delorme, Nicolas; Chebil, Mohamed Souheib; Grohens, Yves; Gibaud, Alain

    2015-08-25

    In polymer physics, the dewetting of spin-coated polystyrene ultrathin films on silicon remains mysterious. By adopting a simple top-down method based on good solvent rinsing, we are able to prepare flat polystyrene films with a controlled thickness ranging from 1.3 to 7.0 nm. Their stability was scrutinized after a classical annealing procedure above the glass transition temperature. Films were found to be stable on oxide-free silicon irrespective of film thickness, while they were unstable (2.9 nm) on 2 nm oxide-covered silicon substrates. The Lifshitz-van der Waals intermolecular theory that predicts the domains of stability as a function of the film thickness and of the substrate nature is now fully reconciled with our experimental observations. We surmise that this reconciliation is due to the good solvent rinsing procedure that removes the residual stress and/or the density variation of the polystyrene films inhibiting thermodynamically the dewetting on oxide-free silicon.

  4. Wet-Chemical Surface Texturing of Sputter-Deposited ZnO:Al Films as Front Electrode for Thin-Film Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    Xia Yan

    2015-01-01

    Full Text Available Transparent conductive oxides (TCOs play a major role as the front electrodes of thin-film silicon (Si solar cells, as they can provide optical scattering and hence improved photon absorption inside the devices. In this paper we report on the surface texturing of aluminium-doped zinc oxide (ZnO:Al or AZO films for improved light trapping in thin-film Si solar cells. The AZO films are deposited onto soda-lime glass sheets via pulsed DC magnetron sputtering. Several promising AZO texturing methods are investigated using diluted hydrochloric (HCl and hydrofluoric acid (HF, through a two-step etching process. The developed texturing procedure combines the advantages of the HCl-induced craters and the smaller and jagged—but laterally more uniform—features created by HF etching. In the two-step process, the second etching step further enhances the optical haze, while simultaneously improving the uniformity of the texture features created by the HCl etch. The resulting AZO films show large haze values of above 40%, good scattering into large angles, and a surface angle distribution that is centred at around 30°, which is known from the literature to provide efficient light trapping for thin-film Si solar cells.

  5. Pyroelectricity of silicon-doped hafnium oxide thin films

    Science.gov (United States)

    Jachalke, Sven; Schenk, Tony; Park, Min Hyuk; Schroeder, Uwe; Mikolajick, Thomas; Stöcker, Hartmut; Mehner, Erik; Meyer, Dirk C.

    2018-04-01

    Ferroelectricity in hafnium oxide thin films is known to be induced by various doping elements and in solid-solution with zirconia. While a wealth of studies is focused on their basic ferroelectric properties and memory applications, thorough studies of the related pyroelectric properties and their application potential are only rarely found. This work investigates the impact of Si doping on the phase composition and ferro- as well as pyroelectric properties of thin film capacitors. Dynamic hysteresis measurements and the field-free Sharp-Garn method were used to correlate the reported orthorhombic phase fractions with the remanent polarization and pyroelectric coefficient. Maximum values of 8.21 µC cm-2 and -46.2 µC K-1 m-2 for remanent polarization and pyroelectric coefficient were found for a Si content of 2.0 at%, respectively. Moreover, temperature-dependent measurements reveal nearly constant values for the pyroelectric coefficient and remanent polarization over the temperature range of 0 ° C to 170 ° C , which make the material a promising candidate for IR sensor and energy conversion applications beyond the commonly discussed use in memory applications.

  6. Control of the optical properties of silicon and chromium mixed oxides deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Vergara, L.; Galindo, R. Escobar; Martinez, R.; Sanchez, O.; Palacio, C.; Albella, J.M.

    2011-01-01

    The development of mixed-oxide thin films allows obtaining materials with better properties than those of the different binary oxides, which makes them suitable for a great number of applications in different fields, such as tribology, optics or microelectronics. In this paper we investigate the deposition of mixed chromium and silicon oxides deposited by reactive magnetron sputtering with a view to use them as optical coatings with an adjustable refractive index. These films have been characterized by means of Rutherford backscattering spectrometry, Auger electron spectroscopy, X-ray diffraction, scanning electron microscopy, Fourier-transform infrared spectroscopy and spectroscopic ellipsometry so as to determine how the deposition conditions influence the characteristics of the material. We have found that the deposition parameter whose influence determines the properties of the films to a greater extent is the amount of oxygen in the reactive sputtering gas.

  7. Ultrahighly Dispersed Titanium Oxide on Silica : Effect of Precursors on the Structure and Photocatalysis

    OpenAIRE

    Yoshida , S.; Takenaka , S.; Tanaka , T.; Funabiki , T.

    1997-01-01

    The effect of precursor on the dispersion and catalytic performance of titanium oxide supported on silica has ben investigated. The catalysts were prepared by a simple impregnation method with three kinds of titanium complexes of different ligands (bis(isopropyato)-bis(pivaroylmethanato) : DPM, acetylacetonato : ACAC, tetrakis(isopropylato) : IPRO) with the aim of preparing ultrahighly dispersed titanium oxide on silica. The XAFS study revealed that titanium species in the catalyst prepared f...

  8. Swift heavy ion induced de wetting of metal oxide thin films on silicon

    International Nuclear Information System (INIS)

    Bolse, T.; Paulus, H.; Bolse, W.

    2006-01-01

    We have observed that thin oxide coatings (NiO, Fe 2 O 3 ) tend to dewet their Si substrate when being bombarded with swift heavy ions (350-600 MeV Au ions) even though the irradiation was carried out about 80 K and hence, the films never reached their melting point. Scanning electron and atomic force microscopy reveal a surprising similarity of the dewetting morphologies with those observed for molten polymer films on Si, which have recently been reported by others [S. Herminghaus, K. Jakobs, K. Mecke, J. Bischof, A. Fery, M. Ibn-Elhaj, S. Schlagowsky, Science 282 (1998) 916; R. Seemann, S. Herminghaus, K. Jacobs, J. Phys.: Condens. Matter 13 (2001) 4925]. Like in that cases also here heterogeneous and homogeneous hole nucleation could be identified. Heterogeneous nucleation is less pronounced in Fe 2 O 3 /Si than in NiO/Si. The occurrence of spinodal-like dewetting cannot be detected unambiguously. The dewetting kinetics were determined by means of Rutherford backscattering spectroscopy and found to slightly differ for the two compounds. The dewetting kinetics as well as the final dewetting pattern strongly depend on the initial film thicknesses. No dewetting occurs for film thicknesses above about 150 nm, while for very small thicknesses below about 40 nm the film decays into nm-sized spherical droplets. At intermediate film thicknesses percolated networks of small oxide bridges are formed

  9. Oxide ultrathin films science and technology

    CERN Document Server

    Pacchioni, Gianfranco

    2012-01-01

    A wealth of information in one accessible book. Written by international experts from multidisciplinary fields, this in-depth exploration of oxide ultrathin films covers all aspects of these systems, starting with preparation and characterization, and going on to geometrical and electronic structure, as well as applications in current and future systems and devices. From the Contents: Synthesis and Preparation of Oxide Ultrathin Films Characterization Tools of Oxide Ultrathin Films Ordered Oxide Nanostructures on Metal Surfaces Unusual Properties of Oxides and Other Insulators in the Ultrathin Limit Silica and High-K Dielectrics Thin Films in Microelectronics Oxide Passive Films and Corrosion Protection Oxide Films as Catalytic Materials and as Models of Real Catalysts Oxide Films in Spintronics Oxide Ultrathin Films in Solid Oxide Fuel Cells Transparent Conducting and Chromogenic Oxide Films as Solar Energy Materials Oxide Ultrathin Films in Sensor Applications Ferroelectricity in Ultrathin Film Capacitors T...

  10. Photo-catalytic studies of transition metal doped titanium dioxide thin films processed by metalorganic decomposition (MOD) method

    Science.gov (United States)

    Talagala, P.; Marko, X.; Padmanabhan, K. R.; Naik, R.; Rodak, D.; Cheng, Y. T.

    2006-03-01

    We have synthesized pure and transition element (Fe, Co and V) doped Titanium oxide thin films of thickness ˜ 350 nm on sapphire, Si, and stainless steel substrates by Metalorganic Decomposition (MOD) method. The films were subsequently annealed at appropriate temperatures ( 500-750C) to obtain either anatase or the rutile phase of TiO2. Analysis of the composition of the films were performed by energy dispersive X-ray(EDAX) and Rutherford backscattering spectrometry(RBS). Ion channeling was used to identify possible epitaxial growth of the films on sapphire. Both XRD and Raman spectra of the films exhibit that the films annealed at 550C are of anatase phase, while those annealed at 700C seem to prefer a rutile structure. The water contact angle measurements of the films before and after photoactivation, demonstrate a significant reduction in the contact angle for the anatase phase. However, the variation in contact angle was observed for films exposed to UV (<10^o-30^o) and dark (25^o-50^o). Films doped with Fe show a trend towards lower contact angle than those doped with Co. Results with films doped with V will also be included.

  11. Corrosion behavior of a self-sealing pore micro-arc oxidation film on AM60 magnesium alloy

    International Nuclear Information System (INIS)

    Dong, Kaihui; Song, Yingwei; Shan, Dayong; Han, En-Hou

    2015-01-01

    Highlights: • Pore sealing constituents fall off and titanium oxides remain during corrosion. • Dark regions of film are corroded by migration of corrosion media through pores. • Light regions of film are corroded by transverse expansion of cracks. • Both outer and inner layers of the film provide effective protection to substrate. - Abstract: The deterioration process of a self-sealing pore micro-arc oxidation (MAO) film was investigated. The surface and cross-section corrosion morphologies were observed by scanning electron microscopy (SEM). Chemical composition was detected by EDS elemental mapping and XRD. The corrosion process was analyzed by electrochemical impedance spectroscopy (EIS). The surface of the film in dark and light regions exhibits different corrosion behavior. In the dark regions, the corrosion process mainly concentrates on the migration of corrosion media through the pores inward. In the light regions, the transverse expansion of cracks plays a key role, accompanying the exfoliation of film constituents.

  12. Stressing effects on the charge trapping of silicon oxynitride prepared by thermal oxidation of LPCVD Si-rich silicon nitride

    International Nuclear Information System (INIS)

    Choi, H.Y.; Wong, H.; Filip, V.; Sen, B.; Kok, C.W.; Chan, M.; Poon, M.C.

    2006-01-01

    It was recently found that the silicon oxynitride prepared by oxidation of silicon-rich silicon nitride (SRN) has several important features. The high nitrogen and extremely low hydrogen content of this material allows it to have a high dielectric constant and a low trap density. The present work investigates in further detail the electrical reliability of this kind of gate dielectric films by studying the charge trapping and interface state generation induced by constant current stressing. Capacitance-voltage (C-V) measurements indicate that for oxidation temperatures of 850 and 950 deg. C, the interface trap generation is minimal because of the high nitrogen content at the interface. At a higher oxidation temperature of 1050 deg. C, a large flatband shift is found for constant current stressing. This observation can be explained by the significant reduction of the nitrogen content and the phase separation effect at this temperature as found by X-ray photoelectron spectroscopy study. In addition to the high nitrogen content, the Si atoms at the interface exist in the form of random bonding to oxygen and nitrogen atoms for samples oxidized at 850 and 950 deg. C. This structure reduces the interface bonding constraint and results in the low interface trap density. For heavily oxidized samples the trace amount of interface nitrogen atoms exist in the form of a highly constraint SiN 4 phase and the interface oxynitride layer is a random mixture of SiO 4 and SiN 4 phases, which consequently reduces the reliability against high energy electron stressing

  13. Peroxy-Titanium Complex-based inks for low temperature compliant anatase thin films.

    Science.gov (United States)

    Shabanov, N S; Asvarov, A Sh; Chiolerio, A; Rabadanov, K Sh; Isaev, A B; Orudzhev, F F; Makhmudov, S Sh

    2017-07-15

    Stable highly crystalline titanium dioxide colloids are of paramount importance for the establishment of a solution-processable library of materials that could help in bringing the advantages of digital printing to the world of photocatalysis and solar energy conversion. Nano-sized titanium dioxide in the anatase phase was synthesized by means of hydrothermal methods and treated with hydrogen peroxide to form Peroxy-Titanium Complexes (PTCs). The influence of hydrogen peroxide on the structural, optical and rheological properties of titanium dioxide and its colloidal solutions were assessed and a practical demonstration of a low temperature compliant digitally printed anatase thin film given. Copyright © 2017 Elsevier Inc. All rights reserved.

  14. Hydrothermal deposition and characterization of silicon oxide nanospheres

    International Nuclear Information System (INIS)

    Pei, L.Z.

    2008-01-01

    Silicon oxide nanospheres with the average diameter of about 100 nm have been synthesized by hydrothermal deposition process using silicon and silica as the starting materials. The silicon oxide nanospheres were characterized by field emission scanning electron microscopy (FESEM), energy dispersive X-ray spectrum (EDS), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) spectrum, respectively. The results show that large scale silicon oxide nanospheres with the uniform size are composed of Si and O showing the amorphous structure. Strong PL peak at 435 nm is observed demonstrating the good blue light emission property

  15. Thin films of metal oxides on metal single crystals: Structure and growth by scanning tunneling microscopy

    International Nuclear Information System (INIS)

    Galloway, H.C.

    1995-12-01

    Detailed studies of the growth and structure of thin films of metal oxides grown on metal single crystal surfaces using Scanning Tunneling Microscopy (STM) are presented. The oxide overlayer systems studied are iron oxide and titanium oxide on the Pt(III) surface. The complexity of the metal oxides and large lattice mismatches often lead to surface structures with large unit cells. These are particularly suited to a local real space technique such as scanning tunneling microscopy. In particular, the symmetry that is directly observed with the STM elucidates the relationship of the oxide overlayers to the substrate as well as distinguishing, the structures of different oxides

  16. Sol-gel synthesis and optical properties of titanium dioxide thin film

    Science.gov (United States)

    Ullah, Irfan; Khattak, Shaukat Ali; Ahmad, Tanveer; Saman; Ludhi, Nayab Ali

    2018-03-01

    The titanium dioxide (TiO2) is synthesized by sol-gel method using titanium-tetra-iso-propoxide (TTIP) as a starting material, and deposited on the pre-cleaned glass substrate using spin coating technique at optimized parameters. Energy dispersive X-ray (EDX) spectroscopy confirms successful TiO2 growth. The optical properties concerning the transmission and absorption spectra show 85% transparency and 3.28 eV wide optical band gap for indirect transition, calculated from absorbance. The exponential behavior of absorption edge is observed and attributed to the localized states electronic transitions, curtailed in the indirect band gap of the thin film. The film reveals decreasing refractive index with increasing wavelength. The photoluminescence (PL) study ascertains that luminescent properties are due to the surface defects.

  17. Growth Kinetics and Oxidation Mechanism of ALD TiN Thin Films Monitored by In Situ Spectroscopic Ellipsometry

    NARCIS (Netherlands)

    Van Hao, B.; Groenland, A.W.; Aarnink, Antonius A.I.; Wolters, Robertus A.M.; Schmitz, Jurriaan; Kovalgin, Alexeij Y.

    2011-01-01

    Spectroscopic ellipsometry (SE) was employed to investigate the growth of atomic layer deposited (ALD) TiN thin films from titanium chloride (TiCl4) and ammonia (NH3) and the followed oxidation in dry oxygen. Two regimes were found in the growth including a transient stage prior to a linear regime.

  18. Topotactic reduction yielding black titanium oxide nanostructures as metallic electronic conductors.

    Science.gov (United States)

    Tominaka, Satoshi

    2012-10-01

    Detailed analyses of reduced, single crystal, rutile-type TiO(2) via high-resolution transmission electron microscopy (TEM) are reported which reveal that the reduction proceeds topotactically via interstitial diffusion of Ti ions at low temperature, around 350 °C. This important finding encouraged the production of various nanostructured reduced titanium oxides from TiO(2) precursors with morphology retention, and in the process, the synthesis of black titanium oxide nanorods using TiO(2) nanorods was demonstrated. Interestingly, as opposed to the semiconductive behavior of Ti(2)O(3) synthesized at high temperature, topotactically synthesized Ti(2)O(3) exhibits metallic electrical resistance, and the value at room temperature is quite low (topotactically synthesized Ti(2)O(3). This work shows that topotactically reduced titanium oxides can have fascinating properties as well as nanostructures.

  19. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  20. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  1. Boron diffusion into nitrogen doped silicon films for P{sup +} polysilicon gate structures

    Energy Technology Data Exchange (ETDEWEB)

    Mansour, Farida; Mahamdi, Ramdane; Jalabert, Laurent; Temple-Boyer, Pierre

    2003-06-23

    This paper deals with the study of the boron diffusion in nitrogen doped silicon (NIDOS) deposited from disilane Si{sub 2}H{sub 6} and ammonia NH{sub 3} for the development of P{sup +} polysilicon gate metal oxide semiconductor (MOS) devices. NIDOS films with varied nitrogen content have been boron implanted, then annealed and finally analysed by secondary ion mass spectroscopy (SIMS). In order to simulate the experimental SIMS of boron concentration profiles in the NIDOS films, a model adapted to the particular conditions of the samples elaboration, i.e. the very high boron concentration and the nitrogen content, has been established. The boron diffusion reduction in NIDOS films with increasing nitrogen rates has been evidenced by the profiles as well as by the obtained diffusion coefficients, which shows that the nitrogen incorporation reduces the boron diffusion. This has been confirmed by capacitance-voltage (C-V) measurements performed on MOS capacitors: the higher the nitrogen content, the lower the flat-band voltage. Finally, these results demonstrate that the improvement of the gate oxide quality occurs with the suppression of the boron penetration.

  2. Crystalline Silicon Solar Cells with Thin Silicon Passivation Film Deposited prior to Phosphorous Diffusion

    Directory of Open Access Journals (Sweden)

    Ching-Tao Li

    2014-01-01

    Full Text Available We demonstrate the performance improvement of p-type single-crystalline silicon (sc-Si solar cells resulting from front surface passivation by a thin amorphous silicon (a-Si film deposited prior to phosphorus diffusion. The conversion efficiency was improved for the sample with an a-Si film of ~5 nm thickness deposited on the front surface prior to high-temperature phosphorus diffusion, with respect to the samples with an a-Si film deposited on the front surface after phosphorus diffusion. The improvement in conversion efficiency is 0.4% absolute with respect to a-Si film passivated cells, that is, the cells with an a-Si film deposited on the front surface after phosphorus diffusion. The new technique provided a 0.5% improvement in conversion efficiency compared to the cells without a-Si passivation. Such performance improvements result from reduced surface recombination as well as lowered contact resistance, the latter of which induces a high fill factor of the solar cell.

  3. Porous Aluminum Oxide and Magnesium Oxide Films Using Organic Hydrogels as Structure Matrices

    Directory of Open Access Journals (Sweden)

    Zimei Chen

    2018-03-01

    Full Text Available We describe the synthesis of mesoporous Al2O3 and MgO layers on silicon wafer substrates by using poly(dimethylacrylamide hydrogels as porogenic matrices. Hydrogel films are prepared by spreading the polymer through spin-coating, followed by photo-cross-linking and anchoring to the substrate surface. The metal oxides are obtained by swelling the hydrogels in the respective metal nitrate solutions and subsequent thermal conversion. Combustion of the hydrogel results in mesoporous metal oxide layers with thicknesses in the μm range and high specific surface areas up to 558 m2∙g−1. Materials are characterized by SEM, FIB ablation, EDX, and Kr physisorption porosimetry.

  4. Characterisation of DLC films deposited using titanium isopropoxide (TIPOT) at different flow rates.

    Science.gov (United States)

    Said, R; Ali, N; Ghumman, C A A; Teodoro, O M N D; Ahmed, W

    2009-07-01

    In recent years, there has been growing interest in the search for advanced biomaterials for biomedical applications, such as human implants and surgical cutting tools. It is known that both carbon and titanium exhibit good biocompatibility and have been used as implants in the human body. It is highly desirable to deposit biocompatible thin films onto a range of components in order to impart biocompatibility and to minimise wear in implants. Diamond like carbon (DLC) is a good candidate material for achieving biocompatibility and low wear rates. In this study, thin films of diamond-like-carbon DLC were deposited onto stainless steel (316) substrates using C2H2, argon and titanium isopropoxide (TIPOT) precursors. Argon was used to generate the plasma in the plasma enhanced vapour deposition (PECVD) system. A critical coating feature governing the performance of the component during service is film thickness. The as-grown films were in the thickness range 90-100 nm and were found to be dependent on TIPOT flow rate. Atomic force microscopy (AFM) was used to characterise the surface roughness of the samples. As the flow rate of TIPOT increased the average roughness was found to increase in conjunction with the film thickness. Raman spectroscopy was used to investigate the chemical structure of amorphous carbon matrix. Surface tension values were calculated using contact angle measurements. In general, the trend of the surface tension results exhibited an opposite trend to that of the contact angle. The elemental composition of the samples was characterised using a VG ToF SIMS (IX23LS) instrument and X-ray photoelectron spectroscopy (XPS). Surprisingly, SIMS and XPS results showed that the DLC samples did not show evidence of titanium since no peaks representing to titanium appeared on the SIMS/XPS spectra.

  5. Recent progress in the development and understanding of silicon surface passivation by aluminum oxide for photovoltaics

    NARCIS (Netherlands)

    Dingemans, G.; Kessels, W.M.M.

    2010-01-01

    In the recent years, considerable progress has been made in the understanding of the unique silicon surface passivation properties of aluminum oxide (Al2O3) films including its underlying mechanisms. Containing a high fixed negative charge density located close to the Si interface, Al2O3 provides a

  6. The oxidized porous silicon field emission array

    International Nuclear Information System (INIS)

    Smith, D.D.; Demroff, H.P.; Elliott, T.S.; Kasprowicz, T.B.; Lee, B.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Trost, H.J.

    1993-01-01

    The goal of developing a highly efficient microwave power source has led the authors to investigate new methods of electron field emission. One method presently under consideration involves the use of oxidized porous silicon thin films. The authors have used this technology to fabricate the first working field emission arrays from this substance. This approach reduces the diameter of an individual emitter to the nanometer scale. Tests of the first samples are encouraging, with extracted electron currents to nearly 1 mA resulting from less than 20 V of pulsed DC gate voltage. Modulated emission at 5 MHz was also observed. Developments of a full-scale emission array capable of delivering an electron beam at 18 GHz of minimum density 100 A/cm 2 is in progress

  7. Thermal decomposition of titanium deuteride thin films

    International Nuclear Information System (INIS)

    Malinowski, M.E.

    1983-01-01

    The thermal desorption spectra of deuterium from essentially clean titanium deuteride thin films were measured by ramp heating the films in vacuum; the film thicknesses ranged from 20 to 220 nm and the ramp rates varied from 0.5 to about 3 0 C s - 1 . Each desorption spectrum consisted of a low nearly constant rate at low temperatures followed by a highly peaked rate at higher temperatures. The cleanliness and thinness of the films permitted a description of desorption rates in terms of a simple phenomenological model based on detailed balancing in which the low temperature pressure-composition characteristics of the two-phase (α-(α+#betta#)-#betta#) region of the Ti-D system were used as input data. At temperatures below 340 0 C the model predictions were in excellent agreement with the experimentally measured desorption spectra. Interpretations of the spectra in terms of 'decomposition trajectories'' are possible using this model, and this approach is also used to explain deviations of the spectra from the model at temperatures of 340 0 C and above. (Auth.)

  8. Simultaneous Patterning of Independent Metal/Metal Oxide Multi-Layer Films Using Two-Tone Photo-Acid Generating Compound Systems

    Directory of Open Access Journals (Sweden)

    Hideo Honma

    2012-10-01

    Full Text Available (1 The photo-induced solubility and positive-tone direct photo-patterning of iron, copper and lanthanides chelated with 4-(2-nitrobenzyloxycarbonylcatechol (NBOC or 4-(6-nitroveratryloxycarbonylcatechol (NVOC was investigated. Photo-patterning of iron, copper, cerium, samarium, europium, terbium, dysprosium, holmium, erbium and lutetium complexes was accomplished. Continuous films were formed by the pyrolysis of metal complex films at 500 °C. (2 Based on the difference in the photo-reaction excitation wavelength profile of NBOC and NVOC complexes, a short and simple method for simultaneous micro-patterning of two independent films on each side of a transparent glass substrate was developed. Using the developed procedure, indium tin oxide and/or titanium oxide films were formed on each side of a quartz substrate without use of resist or etching.

  9. Properties and Applications of High Emissivity Composite Films Based on Far-Infrared Ceramic Powder.

    Science.gov (United States)

    Xiong, Yabo; Huang, Shaoyun; Wang, Wenqi; Liu, Xinghai; Li, Houbin

    2017-11-29

    Polymer matrix composite materials that can emit radiation in the far-infrared region of the spectrum are receiving increasing attention due to their ability to significantly influence biological processes. This study reports on the far-infrared emissivity property of composite films based on far-infrared ceramic powder. X-ray fluorescence spectrometry, Fourier transform infrared spectroscopy, thermogravimetric analysis, and X-ray powder diffractometry were used to evaluate the physical properties of the ceramic powder. The ceramic powder was found to be rich in aluminum oxide, titanium oxide, and silicon oxide, which demonstrate high far-infrared emissivity. In addition, the micromorphology, mechanical performance, dynamic mechanical properties, and far-infrared emissivity of the composite were analyzed to evaluate their suitability for strawberry storage. The mechanical properties of the far-infrared radiation ceramic (cFIR) composite films were not significantly influenced ( p ≥ 0.05) by the addition of the ceramic powder. However, the dynamic mechanical analysis (DMA) properties of the cFIR composite films, including a reduction in damping and shock absorption performance, were significant influenced by the addition of the ceramic powder. Moreover, the cFIR composite films showed high far-infrared emissivity, which has the capability of prolonging the storage life of strawberries. This research demonstrates that cFIR composite films are promising for future applications.

  10. Plasma electrolytic oxidation of Titanium Aluminides

    International Nuclear Information System (INIS)

    Morgenstern, R; Sieber, M; Lampke, T; Grund, T; Wielage, B

    2016-01-01

    Due to their outstanding specific mechanical and high-temperature properties, titanium aluminides exhibit a high potential for lightweight components exposed to high temperatures. However, their application is limited through their low wear resistance and the increasing high-temperature oxidation starting from about 750 °C. By the use of oxide ceramic coatings, these constraints can be set aside and the possible applications of titanium aluminides can be extended. The plasma electrolytic oxidation (PEO) represents a process for the generation of oxide ceramic conversion coatings with high thickness. The current work aims at the clarification of different electrolyte components’ influences on the oxide layer evolution on alloy TNM-B1 (Ti43.5Al4Nb1Mo0.1B) and the creation of compact and wear resistant coatings. Model experiments were applied using a ramp-wise increase of the anodic potential in order to show the influence of electrolyte components on the discharge initiation and the early stage of the oxide layer growth. The production of PEO layers with technically relevant thicknesses close to 100 μm was conducted in alkaline electrolytes with varying amounts of Na 2 SiO 3 ·5H 2 O and K 4 P 2 O 7 under symmetrically pulsed current conditions. Coating properties were evaluated with regard to morphology, chemical composition, hardness and wear resistance. The addition of phosphates and silicates leads to an increasing substrate passivation and the growth of compact oxide layers with higher thicknesses. Optimal electrolyte compositions for maximum coating hardness and thickness were identified by statistical analysis. Under these conditions, a homogeneous inner layer with low porosity can be achieved. The frictional wear behavior of the compact coating layer is superior to a hard anodized layer on aluminum. (paper)

  11. Laser annealed HWCVD and PECVD thin silicon films. Electron field emission

    International Nuclear Information System (INIS)

    O'Neill, K.A.; Shaikh, M.Z.; Lyttle, G.; Anthony, S.; Fan, Y.C.; Persheyev, S.K.; Rose, M.J.

    2006-01-01

    Electron Field Emission (FE) properties of various laser annealed thin silicon films on different substrates were investigated. HWCVD microcrystalline and PECVD amorphous silicon films were irradiated with Nd : YAG and XeCl Excimer lasers at varying energy densities. Encouraging FE results were mainly from XeCl Excimer laser processed PECVD and HWCVD films on metal backplanes. FE measurements were complemented by the study of film surface morphology. Geometric field enhancement factors from surface measurements and Fowler-Nordheim Theory (FNT) were compared. FE properties of the films were also found to be particularly influenced by the backplane material

  12. Vacancy-type defects in TiO2/SiO2/SiC dielectric stacks

    Science.gov (United States)

    Coleman, P. G.; Burrows, C. P.; Mahapatra, R.; Wright, N. G.

    2007-07-01

    Open-volume (vacancy-type) point defects have been observed in ˜80-nm-thick titanium dioxide films grown on silicon dioxide/4H silicon carbide substrates as stacks with high dielectric constant for power device applications, using variable-energy positron annihilation spectroscopy. The concentration of vacancies decreases as the titanium dioxide growth temperature is increased in the range from 700to1000°C, whereas grain boundaries form in the polycrystalline material at the highest growth temperatures. It is proposed that the optimal electrical performance for films grown at 800°C reflects a balance between decreasing vacancy concentration and increasing grain boundary formation. The concentration of vacancies at the silicon dioxide/silicon carbide interface appears to saturate after 2.5h oxidation at 1150°C. A supplementary result suggests that the quality of the 10-μm-thick deposited silicon carbide epilayer is compromised at depths of about 2μm and beyond, possibly by the migration of impurities and/or other defects from the standard-grade highly doped 4H silicon carbide wafer beneath the epilayer during oxidation.

  13. Conciliating surface superhydrophobicities and mechanical strength of porous silicon films

    Science.gov (United States)

    Wang, Fuguo; Zhao, Kun; Cheng, Jinchun; Zhang, Junyan

    2011-01-01

    Hydrophobic surfaces on Mechanical stable macroporous silicon films were prepared by electrochemical etching with subsequent octadecyltrichlorosilane (OTS) modification. The surface morphologies were controlled by current densities and the mechanical properties were adjusted by their corresponding porosities. Contrast with the smooth macroporous silicon films with lower porosities (34.1%) and microporous silicon with higher porosities (97%), the macroporous film with a rough three-dimension (3D) surface and a moderate pore to cross-section area ratio (37.8%, PSi2‧) exhibited both good mechanical strength (Yong' modulus, shear modulus and collapse strength are 64.2, 24.1 and 0.32 GPa, respectively) and surface superhydrophobicity (water contact angle is 158.4 ± 2° and sliding angle is 2.7 ± 1°). This result revealed that the surface hydrophobicities (or the surface roughness) and mechanical strength of porous films could be conciliated by pore to cross-section area ratios control and 3D structures construction. Thus, the superhydrophobic surfaces on mechanical stable porous films could be obtained by 3D structures fabrication on porous film with proper pore to cross-section area ratios.

  14. Indium tin oxide with titanium doping for transparent conductive film application on CIGS solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Wei-Sheng; Cheng, Huai-Ming; Hu, Hung-Chun; Li, Ying-Tse; Huang, Shi-Da; Yu, Hau-Wei [Department of Photonics Engineering, Yuan Ze University, Chung-Li 32003, Taiwan (China); Pu, Nen-Wen, E-mail: nwpuccit@gmail.com [Department of Photonics Engineering, Yuan Ze University, Chung-Li 32003, Taiwan (China); Liang, Shih-Chang [Materials & Electro-Optics Research Division, National Chung-Shan Institute of Science and Technology, Lung Tan 32599, Taiwan (China)

    2015-11-01

    Highlights: • Ti-doped indium tin oxide (ITO) films were deposited by DC magnetron sputtering. • Optimal optoelectronic properties were achieved at a sputtering power of 100 W. • Resistivity = 3.2 × 10{sup −4} Ω-cm without substrate heating or post growth annealing. • Mean visible and NIR transmittances of 83 and 80%, respectively, were achieved. • Efficient batteries (11.3%) were fabricated by applying ITO:Ti to CIGS solar cells. - Abstract: In this study, Ti-doped indium tin oxide (ITO:Ti) thin films were fabricated using a DC-magnetron sputtering deposition method. The thin films were grown without introducing oxygen or heating the substrate, and no post-growth annealing was performed after fabrication. The thickness of the ITO:Ti thin films (350 nm) was controlled while increasing the sputtering power from 50 to 150 W. According to the results, the optimal optoelectronic properties were observed in ITO:Ti thin films grown at a sputtering power of 100 W, yielding a reduced resistivity of 3.2 × 10{sup −4} Ω-cm and a mean high transmittance of 83% at wavelengths ranging from 400 to 800 nm. The optimal ITO:Ti thin films were used to fabricate a Cu(In,Ga)Se{sub 2} solar cell that exhibited a photoelectric conversion efficiency of 11.3%, a short-circuit current density of 33.1 mA/cm{sup 2}, an open-circuit voltage of 0.54 V, and a fill factor of 0.64.

  15. Plasma electrolytic oxidation of titanium in a phosphate/silicate electrolyte and tribological performance of the coatings

    Energy Technology Data Exchange (ETDEWEB)

    Aliasghari, S.; Skeldon, P., E-mail: p.skeldon@manchester.ac.uk; Thompson, G.E.

    2014-10-15

    Highlights: • Plasma electrolytic oxidation performed of titanium in silicate/phosphate electrolyte. • Range of duty cycle, current density, positive-to-negative current ratio studied. • Coatings contain anatase, rutile, Ti{sub 3}O{sub 5}, and amorphous silica. • Ptfe incorporated into coatings by addition of ptfe emulsion to the electrolyte. • Fiction reduced but wear life relatively short due to porosity of coatings. - Abstract: Plasma electrolytic oxidation of titanium has been investigated using a phosphate/silicate electrolyte with a square waveform and a frequency of 50 Hz. A range of constant rms current densities, duty cycles and negative-to-positive current ratios was employed. The resultant coatings were examined by analytical scanning and transmission electron microscopies and X-ray diffraction. The coatings, which were limited in thickness to ∼40 to 50 μm, contained anatase, rutile, Ti{sub 2}O{sub 5} and silicon-rich, amorphous material. The tribological behaviour was investigated using a ball-on-disc test, revealing a coefficient of friction against steel of ∼0.8, which reduced to ∼0.4 by incorporation of ptfe particles from the electrolyte. However, due to the composition and morphology of the coatings, their wear life was relatively short.

  16. Fabrication of hydrophobic surface of titanium dioxide films by successive ionic layer adsorption and reaction (SILAR) method

    International Nuclear Information System (INIS)

    More, A.M.; Gunjakar, J.L.; Lokhande, C.D.; Joo, Oh Shim

    2009-01-01

    Titanium dioxide (TiO 2 ) films were fabricated on fluorine doped tin oxide (FTO) coated glass substrate using successive ionic layer adsorption and reaction (SILAR) method. The X-ray diffraction, scanning electron microscopy, transmission electron microscopy, optical absorption and contact angle measurement were applied to study the structural, surface morphological, optical and surface wettability properties of the as-deposited and annealed TiO 2 films. The X-ray diffraction studies revealed both as-deposited and annealed TiO 2 films are amorphous. Irregular shaped spherical grains of random size and well covered to the fluorine doped tin oxide coated glass substrates were observed from SEM studies with some cracks after annealing. The optical band gap values of virgin TiO 2, annealed, methyl violet and rose bengal sensitized TiO 2 were found to be 3.6, 3.5, 2.87 and 2.95 eV, respectively. Surface wettability studied in contact with liquid interface, showed hydrophobic nature as water contact angles were greater than 90 deg. The adsorption of dyes, as confirmed by the photographs, is one of the prime requirements for dye sensitized solar cells (DSSC).

  17. Optical properties of titanium trisulphide (TiS3) thin films

    International Nuclear Information System (INIS)

    Ferrer, I.J.; Ares, J.R.; Clamagirand, J.M.; Barawi, M.; Sánchez, C.

    2013-01-01

    Titanium trisulphide thin films have been grown on quartz substrates by sulphuration of electron-beam evaporated Ti layers (d ∼ 300 nm) in a vacuum sealed ampoule in the presence of sulphur powder at 550 °C for different periods of time (1 to 20 h). Thin films were characterized by X-ray diffraction, energy dispersive analyses of X-ray and scanning electron microscopy. Results demonstrate that films are composed by monoclinic titanium trisulphide. Films show n-type conductivity with a relatively high resistivity (ρ ∼ 4 ± 2 Ω·cm) and high values of the Seebeck coefficient (− 600 μV/K) at room temperature. Values of the optical absorption coefficient about α ∼ 10 5 cm −1 , determined from reflectance and transmittance measurements, have been obtained at photon energies hυ > 2 eV. The absorption coefficient dependence on the photon energy in the range of 1.6–3.0 eV hints the existence of a direct transition with an energy gap between 1.35 and 1.50 eV. By comparing these results with those obtained from bulk TiS 3 , a direct transition with lower energy is also found which could have been hidden due to the low value of the absorption coefficient in this energy range. - Highlights: ► Thin films of TiS 3 have been obtained by sulphuration of Ti layers. ► Optical properties of TiS 3 thin films have been determined. ► Optical energy gap of TiS 3 has been obtained. ► Optical properties of bulk TiS 3 have been measured and compared with those of films

  18. Electrochemical Thinning for Anodic Aluminum Oxide and Anodic Titanium Oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lee, In Hae; Jo, Yun Kyoung; Kim, Yong Tae; Tak, Yong Sug; Choi, Jin Sub [Inha University, Incheon (Korea, Republic of)

    2012-05-15

    For given electrolytes, different behaviors of anodic aluminum oxide (AAO) and anodic titanium oxide (ATO) during electrochemical thinning are explained by ionic and electronic current modes. Branched structures are unavoidably created in AAO since the switch of ionic to electronic current is slow, whereas the barrier oxide in ATO is thinned without formation of the branched structures. In addition, pore opening can be possible in ATO if chemical etching is performed after the thinning process. The thinning was optimized for complete pore opening in ATO and potential-current behavior is interpreted in terms of ionic current-electronic current switching.

  19. Study of some structural properties of hydrogenated amorphous silicon thin films prepared by radiofrequency cathodic sputtering

    International Nuclear Information System (INIS)

    Mellassi, K.; Chafik El Idrissi, M.; Barhdadi, A.

    2001-08-01

    In this work, we have used the grazing X-rays reflectometry technique to characterise hydrogenated amorphous silicon thin films deposited by radio-frequency cathodic sputtering. Relfectometry measurements are taken immediately after films deposition as well as after having naturally oxidised their surfaces during a more or less prolonged stay in the ambient. For the films examined just after deposition, the role of hydrogen appears in the increase of their density. For those analysed after a short stay in the ambient, hydrogen plays a protective role against the oxidation of their surfaces. This role disappears when the stay in the ambient is so long. (author)

  20. Stoichiometry of Silicon Dioxide Films Obtained by Ion-Beam Sputtering

    Science.gov (United States)

    Telesh, E. V.; Dostanko, A. P.; Gurevich, O. V.

    2018-03-01

    The composition of SiOx films produced by ion-beam sputtering (IBS) of silicon and quartz targets were studied by infrared spectrometry. Films with thicknesses of 150-390 nm were formed on silicon substrates. It was found that increase in the partial pressure of oxygen in the working gas, increase in the temperature of the substrate, and the presence of a positive potential on the target during reactive IBS of silicon shifted the main absorption band νas into the high-frequency region and increased the composition index from 1.41 to 1.85. During IBS of a quartz target the stoichiometry of the films deteriorates with increase of the energy of the sputtering argon ions. This may be due to increase of the deposition rate. Increase in the current of the thermionic compensator, increase of the substrate temperature, and addition of oxygen led to the formation of SiOx films with improved stoichiometry.

  1. Dielectric, ferroelectric, and thermodynamic properties of silicone oil modified PVDF films for energy storage application

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Bingcheng; Wang, Xiaohui, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn; Li, Longtu, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn [State Key Laboratory of New Ceramics and Fine Processing, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Sun, Hui [Aero-Engine Control System Institute, Aviation Industry Corporation of China, Jiangsu, Wuxi 214063 (China)

    2016-06-13

    Silicone oil modified poly(vinylidene fluoride-co-hexafluoropropylene) (P(VDF-HFP)) films were fabricated by the blending, casting, and hot-molding methods. The dielectric constant was increased for the 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films, while the dielectric loss for all blend films are decreased. D-E loops of 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films become slimmer than the pristine P(VDF-HFP) films. The maximum discharged energy density of 10.3 J/cm{sup 3} was obtained in 7.4 wt. % silicone oil modified P(VDF-HFP) films at the external electric field of 398 kV/mm. The Gibbs energy, miscibility, and phase behavior of binary mixture of P(VDF-HFP) silicone oil were investigated using molecular simulations and the extended Flory–Huggins model revealing favorable interactions and compatibility between P(VDF-HFP) and silicone oil.

  2. [The change of bacterial adhesion during deposition nitrogen-diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Xiao, Yun

    2011-10-01

    The aim of this study was to observe the change of bacterial adhesion on pure titanium coated with nitrogen-diamond like carbon (N-DLC) films and to guide the clinical application. N-DLC was deposited on titanium using ion plating machine, TiN film, anodic oxide film and non-deposition were used as control, then made specimens adhering on the surface of resin denture base for 6 months. The adhesion of Saccharomyces albicans on the titanium surface was observed using scanning electron microscope, and the roughness was tested by roughness detector. The number of Saccharomyces albicans adhering on diamond-like carbon film was significantly less than on the other groups (P DLC film was less than other group (P coated with N-DLC film reduced the adhesion of Saccharomyces albicans after clinical application, thereby reduced the risk of denture stomatitis.

  3. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  4. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  5. TXRF analysis of trace metals in thin silicon nitride films

    International Nuclear Information System (INIS)

    Vereecke, G.; Arnauts, S.; Verstraeten, K.; Schaekers, M.; Heyrts, M.M.

    2000-01-01

    As critical dimensions of integrated circuits continue to decrease, high dielectric constant materials such as silicon nitride are being considered to replace silicon dioxide in capacitors and transistors. The achievement of low levels of metal contamination in these layers is critical for high performance and reliability. Existing methods of quantitative analysis of trace metals in silicon nitride require high amounts of sample (from about 0.1 to 1 g, compared to a mass of 0.2 mg for a 2 nm thick film on a 8'' silicon wafer), and involve digestion steps not applicable to films on wafers or non-standard techniques such as neutron activation analysis. A novel approach has recently been developed to analyze trace metals in thin films with analytical techniques currently used in the semiconductor industry. Sample preparation consists of three steps: (1) decomposition of the silicon nitride matrix by moist HF condensed at the wafer surface to form ammonium fluosilicate. (2) vaporization of the fluosilicate by a short heat treatment at 300 o C. (3) collection of contaminants by scanning the wafer surface with a solution droplet (VPD-DSC procedure). The determination of trace metals is performed by drying the droplet on the wafer and by analyzing the residue by TXRF, as it offers the advantages of multi-elemental analysis with no dilution of the sample. The lower limits of detection for metals in 2 nm thick films on 8'' silicon wafers range from about 10 to 200 ng/g. The present study will focus on the matrix effects and the possible loss of analyte associated with the evaporation of the fluosilicate salt, in relation with the accuracy and the reproducibility of the method. The benefits of using an internal standard will be assessed. Results will be presented from both model samples (ammonium fluoride contaminated with metallic salts) and real samples (silicon nitride films from a production tool). (author)

  6. The kinetics and properties of thermal oxidation of silicon in TCA-O/sub 2/

    International Nuclear Information System (INIS)

    Ahmed, W.; Ahmed, E.

    1993-01-01

    The oxidation of silicon using dry O/sub 2/ is now well established as a key process for the fabrication of electronic devices in the semiconductor industry. However, this process is complicated by its sensitivity to impurities which reduce device yields. HCl can be added to O/sub 2/ to remove these impurities but due to its highly corrosive nature a safer and cleaner alternative such as trichloroethane (TCA) is desirable. In this paper, the thermal oxidation of silicon using a mixture of TCA-O/sub 2/ has been investigated in a large scale industrial system. The growth kinetics and the properties of these films have been studies and compared to oxides produced from dry 2. The addition of TCA generates HCl in situ, enhances the oxidation rate by approximately 54% nd improves the electrical properties. It was found that a 1 mol.% mixture gives the optimum process. An analysis of the data suggests that a liner parabolic growth model is applicable and provides a valuable insight into the physical phenomena governing this important process. (author)

  7. Down-conversion luminescence from (Ce, Yb) co-doped oxygen-rich silicon oxides

    International Nuclear Information System (INIS)

    Heng, C. L.; Wang, T.; Su, W. Y.; Wu, H. C.; Yin, P. G.; Finstad, T. G.

    2016-01-01

    We have studied down-conversion photoluminescence (PL) from (Ce, Yb) co-doped “oxygen rich” silicon oxide films prepared by sputtering and annealing. The Ce"3"+ ∼510 nm PL is sensitive to the Ce concentration of the films and is much stronger for 3 at. % Ce than for 2 at. % Ce after annealing at 1200 °C. The PL emission and excitation spectroscopy results indicate that the excitation of Yb"3"+ is mainly through an energy transfer from Ce"3"+ to Yb"3"+, oxide defects also play a role in the excitation of Yb"3"+ after lower temperature (∼800 °C) annealing. The Ce"3"+ 510 nm photon excites mostly only one Yb"3"+ 980 nm photon. Temperature-dependent PL measurements suggest that the energy transfer from Ce"3"+ to Yb"3"+ is partly thermally activated.

  8. Catalytic oxidation of silicon by cesium ion bombardment

    International Nuclear Information System (INIS)

    Souzis, A.E.; Huang, H.; Carr, W.E.; Seidl, M.

    1991-01-01

    Results for room-temperature oxidation of silicon using cesium ion bombardment and low oxygen exposure are presented. Bombardment with cesium ions is shown to allow oxidation at O 2 pressures orders of magnitude smaller than with noble gas ion bombardment. Oxide layers of up to 30 A in thickness are grown with beam energies ranging from 20--2000 eV, O 2 pressures from 10 -9 to 10 -6 Torr, and total O 2 exposures of 10 0 to 10 4 L. Results are shown to be consistent with models indicating that initial oxidation of silicon is via dissociative chemisorption of O 2 , and that the low work function of the cesium- and oxygen-coated silicon plays the primary role in promoting the oxidation process

  9. Improved antibacterial behavior of titanium surface with torularhodin–polypyrrole film

    International Nuclear Information System (INIS)

    Ungureanu, Camelia; Popescu, Simona; Purcel, Gabriela; Tofan, Vlad; Popescu, Marian; Sălăgeanu, Aurora; Pîrvu, Cristian

    2014-01-01

    The problem of microorganisms attaching and proliferating on implants and medical devices surfaces is still attracting interest in developing research on different coatings based on antibacterial agents. The aim of this work is centered on modifying titanium (Ti) based implants surfaces through incorporation of a natural compound with antimicrobial effect, torularhodin (T), by means of a polypyrrole (PPy) film. This study tested the potential antimicrobial activity of the new coating against a range of standard bacterial strains: Escherichia coli, Staphylococcus aureus, Enterococcus faecalis, Bacillus subtilis and Pseudomonas aeruginosa. The morphology, physical and electrochemical properties of the synthesized films were assessed by SEM, AFM, UV–Vis, FTIR and cyclic voltammetry. In addition, biocompatibility of this new coating was evaluated using L929 mouse fibroblast cells. The results showed that PPy–torularhodin composite film acts as a corrosion protective coating with antibacterial activity and it has no harmful effect on cell viability. - Highlights: • Modification of titanium surfaces by incorporating a natural compound • new PPy - torularhodin corrosion protective composite coatings • antibacterial activity for the new PPy - torularhodin coating • cytocompatibility of new coating was demonstrated using mouse fibroblast cells

  10. Improved antibacterial behavior of titanium surface with torularhodin–polypyrrole film

    Energy Technology Data Exchange (ETDEWEB)

    Ungureanu, Camelia; Popescu, Simona; Purcel, Gabriela [University POLITEHNICA of Bucharest, 1-7 Polizu, 011061 Bucharest (Romania); Tofan, Vlad [“Cantacuzino” National Institute of Research-Development for Microbiology and Immunology, 103 Splaiul Independentei, Sector 5, 050096 Bucharest (Romania); Popescu, Marian [University POLITEHNICA of Bucharest, 1-7 Polizu, 011061 Bucharest (Romania); National Institute for Research and Development in Microtechnologies, 126A, Erou Iancu Nicolae Street, 077190 Bucharest (Romania); Sălăgeanu, Aurora [“Cantacuzino” National Institute of Research-Development for Microbiology and Immunology, 103 Splaiul Independentei, Sector 5, 050096 Bucharest (Romania); Pîrvu, Cristian, E-mail: c_pirvu@chim.pub.ro [University POLITEHNICA of Bucharest, 1-7 Polizu, 011061 Bucharest (Romania)

    2014-09-01

    The problem of microorganisms attaching and proliferating on implants and medical devices surfaces is still attracting interest in developing research on different coatings based on antibacterial agents. The aim of this work is centered on modifying titanium (Ti) based implants surfaces through incorporation of a natural compound with antimicrobial effect, torularhodin (T), by means of a polypyrrole (PPy) film. This study tested the potential antimicrobial activity of the new coating against a range of standard bacterial strains: Escherichia coli, Staphylococcus aureus, Enterococcus faecalis, Bacillus subtilis and Pseudomonas aeruginosa. The morphology, physical and electrochemical properties of the synthesized films were assessed by SEM, AFM, UV–Vis, FTIR and cyclic voltammetry. In addition, biocompatibility of this new coating was evaluated using L929 mouse fibroblast cells. The results showed that PPy–torularhodin composite film acts as a corrosion protective coating with antibacterial activity and it has no harmful effect on cell viability. - Highlights: • Modification of titanium surfaces by incorporating a natural compound • new PPy - torularhodin corrosion protective composite coatings • antibacterial activity for the new PPy - torularhodin coating • cytocompatibility of new coating was demonstrated using mouse fibroblast cells.

  11. Effects of UV Aging on the Cracking of Titanium Oxide Layer on Poly(ethylene terephthalate) Substrate: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Chao; Gray, Matthew H.; Tirawat, Robert; Larsen, Ross E.; Chen, Fangliang

    2016-04-18

    Thin oxide and metal films deposited on polymer substrates is an emerging technology for advanced reflectors for concentrated solar power applications, due to their unique combination of light weight, flexibility and inexpensive manufacture. Thus far, there is little knowledge on the mechanical integrity or structural persistence of such multi-layer thin film systems under long-term environmental aging. In this paper, the cracking of a brittle titanium dioxide layer deposited onto elasto-plastic poly(ethylene terephthalate) (PET) substrate is studied through a combination of experiment and modeling. In-situ fragmentation tests have been conducted to monitor the onset and evolution of cracks both on pristine and on samples aged with ultraviolet (UV) light. An analytical model is presented to simulate the cracking behavior and to predict the effects of UV aging. Based on preliminary experimental observation, the effect of aging is divided into three aspects and analyzed independently: mechanical property degradation of the polymer substrate; degradation of the interlayer between substrate and oxide coating; and internal stress-induced cracks on the oxide coating.

  12. Orientation of One-Dimensional Silicon Polymer Films Studied by X-Ray Absorption Spectroscopy

    Directory of Open Access Journals (Sweden)

    Md. Abdul Mannan

    2012-01-01

    Full Text Available Molecular orientations for thin films of one-dimensional silicon polymers grown by vacuum evaporation have been assigned by near-edge X-ray absorption fine structure (NEXAFS using linearly polarized synchrotron radiation. The polymer investigated was polydimethylsilane (PDMS which is the simplest stable silicon polymer, and one of the candidate materials for one-dimensional molecular wire. For PDMS films deposited on highly oriented pyrolytic graphite (HOPG, four resonance peaks have been identified in the Si K-edge NEXAFS spectra. Among these peaks, the intensities of the two peaks lower-energy at 1842.0 eV and 1843.2 eV were found to be strongly polarization dependent. The peaks are assigned to the resonance excitations from the Si 1s to σ∗ pyz and σ∗ px orbitals localized at the Si–C and Si–Si bonds, respectively. Quantitative evaluation of the polarization dependence of the NEXAFS spectra revealed that the molecules are self-assembled on HOPG surface, and the backbones of the PDMS are oriented nearly parallel to the surface. The observed orientation is opposite to the previously observed results for PDMS on the other surfaces such as oxide (indium tin oxide and metal (polycrystalline copper. The flat-lying feature of PDMS observed only on HOPG surface is attributed to the interaction between CH bonds in PDMS and π orbitals in HOPG surface.

  13. Effect of oxygen to argon flow ratio on the properties of Al-doped ZnO films for amorphous silicon thin film solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yang-Shih [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402, Taiwan, ROC (China); Lien, Shui-Yang, E-mail: syl@mdu.edu.tw [Department of Materials Science and Engineering, MingDao University, ChangHua 52345, Taiwan, ROC (China); Huang, Yung-Chuan [Department of Materials Science and Engineering, MingDao University, ChangHua 52345, Taiwan, ROC (China); Wang, Chao-Chun [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402, Taiwan, ROC (China); Liu, Chueh-Yang [Department of Materials Science and Engineering, MingDao University, ChangHua 52345, Taiwan, ROC (China); Nautiyal, Asheesh [Department of Mechanical Engineering, Yuan Ze University, 135 Yuan-Tung Road, Chungli, 320 Taoyuan, Taiwan, ROC (China); Wuu, Dong-Sing [Department of Materials Science and Engineering, National Chung Hsing University, Taichung 402, Taiwan, ROC (China); Lee, Shuo-Jun [Department of Mechanical Engineering, Yuan Ze University, 135 Yuan-Tung Road, Chungli, 320 Taoyuan, Taiwan, ROC (China)

    2013-02-01

    Transparent conductive oxide thin films in solar cell fabrication have attracted much attention due to their high conductivity and transmittance. In this paper, we have investigated the aluminum-doped zinc oxide (AZO) thin films prepared by radiofrequency magnetron sputtering on Asahi U-type SnO{sub 2} glass with different O{sub 2}/Ar flow ratios in vacuum chamber. Furthermore, the micro-structural, electrical, and optical properties of AZO/SnO{sub 2} films were studied. The change in O{sub 2}/Ar flow ratios is found to significantly affect the haze value, and slightly affect electrical resistivity and transmittance of the films. Afterward, the fabricated AZO thin films with different O{sub 2}/Ar flow ratios were used for building the solar cell devices. The current–voltage and external quantum efficiency characteristics were investigated for the solar cell devices. The optimized O{sub 2}/Ar flow ratio of 3 for solar device shows the best efficiency of 10.41%, and a 20% increase in short-circuit current density compared to typical Asahi solar cells. - Highlights: ► A thin Al-doped zinc oxide (AZO) film has been deposited on SnO{sub 2} substrates. ► The AZO film deposited at an O{sub 2}/Ar ratio of 3 shows low resistivity and high haze. ► The AZO film contains tiny grains that enhance light scattering. ► The amorphous silicon solar cell with the AZO layer shows a 20% increase in Jsc.

  14. Oxidation films morphology

    International Nuclear Information System (INIS)

    Paidassi, J.

    1960-01-01

    After studying the oxidation of several pure polyvalent metals (Fe, Cu, Mn, Ni, U) and of their oxides at high temperature and atmospheric pressure, the author suggests how to modify the usual representation of the oxide film (a piling of different oxide layers, homogeneous on a micrographic scale with a equi-axial crystallisation, free of mechanical tensions, with flat boundary surfaces) to have it nearer to reality. In this first part, the author exposes the study of the real micrographic structure of the oxidation film and gives examples of precipitation in the oxides during the cooling of the oxidised sample. (author) [fr

  15. Sol-gel/hydrothermal synthesis of mixed metal oxide of Titanium and ...

    African Journals Online (AJOL)

    Mixed metal oxides of titanium and zinc nanocomposites were prepared through sol-gel method under hydrothermal condition using titanium oxy-(1, 2 - pentadione) and zinc acetate without hazardous additives. The resulting composites were characterized by X-Ray Diffractometer (XRD), Scanning Electron Microscope ...

  16. Low temperature sol-gel process for optical coatings based on magnesium fluoride and titanium dioxide; Niedertemperatur Sol-Gel Verfahren fuer optische Schichtsysteme auf Basis von Magnesiumfluorid und Titandioxid

    Energy Technology Data Exchange (ETDEWEB)

    Krueger, Hannes

    2009-09-24

    This work deals with the development of a low temperature sol-gel spincoating process for thin films with thicknesses in the nanometer range based on metal oxides and metal fluorides. Optical films such as anti-reflective (AR) or high reflective coatings are of much interest and consist of alternating dielectric layers of low and high refractive index materials. Regarding the general procedure for the metal fluorides a novel nonaqueous sol-gel synthesis starting from metal alkoxides and alcohol-dissolved HF was used. The coatings were dried and calcined at 100 C. The morphology of these films was characterised with REM, TEM and AFM. EDX and XPS were used to identify the chemical composition and ellipsometry and UV-vis spectroscopy to determine the optical properties of the films. This new process allows the preparation of homogeneous magnesium fluoride and titanium dioxide layers with low roughness (R{sub a} {<=} 1,9 nm) on silicon and quartz substrates. The magnesium fluoride layers are partially amorphous or microcrystalline with crystallite sizes from 2 nm to 10 nm. The titanium dioxide layers are predominantly amorphous. The thicknesses of the magnesium fluoride and titanium dioxide single layers were adjustable between 25 nm and 500 nm depending on the number of coating steps and on the concentration of the used sols. The magnesium fluoride layers had a refractive index of n{sub 500} = 1,36 and the titanium dioxide layers a refraction index of n{sub 500} = 2,05. For the first time, an alternating metal fluoride and oxide multilayer system was produced with a low temperature sol-gel method (consisting of magnesium fluoride and titanium dioxide). Based on the determined optical constants of the magnesium fluoride and titanium dioxide single layers, AR and HR multilayer systems were calculated and fabricated. The transmission spectra of the designs and the corresponding multilayer were in good agreement. Similar results were obtained with the reflection spectra

  17. Structural, optical and electrical properties of quasi-monocrystalline silicon thin films obtained by rapid thermal annealing of porous silicon layers

    International Nuclear Information System (INIS)

    Hajji, M.; Khardani, M.; Khedher, N.; Rahmouni, H.; Bessais, B.; Ezzaouia, H.; Bouchriha, H.

    2006-01-01

    Quasi-mono-crystalline silicon (QMS) layers have a top surface like crystalline silicon with small voids in the body. Such layers are reported to have a higher absorption coefficient than crystalline silicon at the interesting range of the solar spectrum for photovoltaic application. In this work we present a study of the structural, optical and electrical properties of quasimonocrystalline silicon thin films. Quasimonocrystalline silicon thin films were obtained from porous silicon, which has been annealed at a temperature ranging from 950 to 1050 deg. C under H 2 atmosphere for different annealing durations. The porous layers were prepared by conventional electrochemical anodization using a double tank cell and a HF / Ethanol electrolyte. Porous silicon is formed on highly doped p + -type silicon substrates that enable us to prevent back contacts for the anodization. Atomic Force Microscope (AFM) was used to study the morphological quality of the prepared layers. Optical properties were extracted from transmission and reflectivity spectra. Dark I-V characteristics were used to determine the electrical conductivity of quasimonocrystalline silicon thin films. Results show an important improvement of the absorption coefficient of the material and electrical conductivity reaches a value of twenty orders higher than that of starting mesoporous silicon

  18. Oxidation behaviour of the near α-titanium alloy IMI 834

    Indian Academy of Sciences (India)

    Unknown

    Oxidation behaviour of the near α-titanium alloy IMI 834 was investigated over a range of tem- peratures, from ... perties and adequate resistance against environmental degradation. ... the change of weight of the specimen. The oxidation data.

  19. Ferroelectricity in undoped hafnium oxide

    International Nuclear Information System (INIS)

    Polakowski, Patrick; Müller, Johannes

    2015-01-01

    We report the observation of ferroelectric characteristics in undoped hafnium oxide thin films in a thickness range of 4–20 nm. The undoped films were fabricated using atomic layer deposition (ALD) and embedded into titanium nitride based metal-insulator-metal (MIM) capacitors for electrical evaluation. Structural as well as electrical evidence for the appearance of a ferroelectric phase in pure hafnium oxide was collected with respect to film thickness and thermal budget applied during titanium nitride electrode formation. Using grazing incidence X-Ray diffraction (GIXRD) analysis, we observed an enhanced suppression of the monoclinic phase fraction in favor of an orthorhombic, potentially, ferroelectric phase with decreasing thickness/grain size and for a titanium nitride electrode formation below crystallization temperature. The electrical presence of ferroelectricity was confirmed using polarization measurements. A remanent polarization P r of up to 10 μC cm −2 as well as a read/write endurance of 1.6 × 10 5 cycles was measured for the pure oxide. The experimental results reported here strongly support the intrinsic nature of the ferroelectric phase in hafnium oxide and expand its applicability beyond the doped systems

  20. Titanium oxide nanoparticles as additives in engine oil

    Directory of Open Access Journals (Sweden)

    Meena Laad

    2018-04-01

    Full Text Available This research study investigates the tribological behaviour of titanium oxide (TiO2 nanoparticles as additives in mineral based multi-grade engine oil. All tests were performed under variable load and varying concentrations of nanoparticles in lubricating oil. The friction and wear experiments were performed using pin-on-disc tribotester. This study shows that mixing of TiO2 nanoparticles in engine oil significantly reduces the friction and wear rate and hence improves the lubricating properties of engine oil. The dispersion analysis of TiO2 nanoparticles in lubricating oil using UV spectrometer confirms that TiO2 nanoparticles possess good stability and solubility in the lubricant and improve the lubricating properties of the engine oil. Keywords: Titanium oxide, Nanoparticles, UV spectrometer, Tribotester, Engine oil

  1. Formation of titanium diboride coatings during the anodic polarization of titanium in a chloride melt with a low boron oxide content

    Science.gov (United States)

    Elshina, L. A.; Malkov, V. B.; Molchanova, N. G.

    2015-02-01

    The corrosion-electrochemical behavior of titanium in a molten eutectic mixture of cesium and sodium chlorides containing up to 1 wt % boron oxide is studied in the temperature range 810-870 K in an argon atmosphere. The potential, the current, and the rate of titanium corrosion are determined. The optimum conditions of forming a dense continuous titanium diboride coating on titanium with high adhesion to the metallic base are found for the anodic activation of titanium in the molten electrolyte under study.

  2. Characterization of Titanium Oxide Nanoparticles Obtained by Hydrolysis Reaction of Ethylene Glycol Solution of Alkoxide

    Directory of Open Access Journals (Sweden)

    Naofumi Uekawa

    2012-01-01

    Full Text Available Transparent and stable sols of titanium oxide nanoparticles were obtained by heating a mixture of ethylene glycol solution of titanium tetraisopropoxide (TIP and a NH3 aqueous solution at 368 K for 24 h. The concentration of NH3 aqueous solution affected the structure of the obtained titanium oxide nanoparticles. For NH3 aqueous solution concentrations higher than 0.2 mol/L, a mixture of anatase TiO2 nanoparticles and layered titanic acid nanoparticles was obtained. The obtained sol was very stable without formation of aggregated precipitates and gels. Coordination of ethylene glycol to Ti4+ ions inhibited the rapid hydrolysis reaction and aggregation of the obtained nanoparticles. The obtained titanium oxide nanoparticles had a large specific surface area: larger than 350 m2/g. The obtained titanium oxide nanoparticles showed an enhanced adsorption towards the cationic dye molecules. The selective adsorption corresponded to presence of layered titanic acid on the obtained anatase TiO2 nanoparticles.

  3. Characterization of Titanium Oxide Nanoparticles Obtained by Hydrolysis Reaction of Ethylene Glycol Solution of Alkoxide

    International Nuclear Information System (INIS)

    Uekawa, N.; Endo, N.; Ishii, K.; Kojima, T.; Kakegawa, K.

    2012-01-01

    Transparent and stable sols of titanium oxide nanoparticles were obtained by heating a mixture of ethylene glycol solution of titanium tetraisopropoxide (TIP) and a NH 3 aqueous solution at 368 K for 24 h. The concentration of NH 3 aqueous solution affected the structure of the obtained titanium oxide nanoparticles. For NH 3 aqueous solution concentrations higher than 0.2 mol/L, a mixture of anatase TiO 2 nanoparticles and layered titanic acid nanoparticles was obtained. The obtained sol was very stable without formation of aggregated precipitates and gels. Coordination of ethylene glycol to Ti4+ ions inhibited the rapid hydrolysis reaction and aggregation of the obtained nanoparticles. The obtained titanium oxide nanoparticles had a large specific surface area: larger than 350 m2/g. The obtained titanium oxide nanoparticles showed an enhanced adsorption towards the cationic dye molecules. The selective adsorption corresponded to presence of layered titanic acid on the obtained anatase TiO 2 nanoparticles.

  4. Electrochemical and morphological analyses on the titanium surface modified by shot blasting and anodic oxidation processes

    Energy Technology Data Exchange (ETDEWEB)

    Szesz, Eduardo M., E-mail: eszesz@neoortho.com.br [Neoortho Research Institute, Rua Ângelo Domingos Durigan, 607-Cascatinha, CEP 82025-100 Curitiba, PR (Brazil); Pereira, Bruno L., E-mail: brnl7@hotmail.com [Physics Department, Universidade Federal do Paraná, 81531-980 Curitiba, PR (Brazil); Kuromoto, Neide K., E-mail: kuromoto@fisica.ufpr.br [Physics Department, Universidade Federal do Paraná, 81531-980 Curitiba, PR (Brazil); Marino, Claudia E.B., E-mail: claudiamarino@yahoo.com [Mechanical Engineering Department, Universidade Federal do Paraná, 81531-980 Curitiba, PR (Brazil); Souza, Gelson B. de, E-mail: gelsonbs@uepg.br [Physics Department, Universidade Estadual de Ponta Grossa, 84051-510 Ponta Grossa, PR (Brazil); Soares, Paulo, E-mail: pa.soares@pucpr.br [Mechanical Engineering Department, Pontifícia Universidade Católica do Paraná, 80215-901 Curitiba, PR (Brazil)

    2013-01-01

    In recent years, many surface modification processes have been developed in order to induce the osseointegration on titanium surface and thus to improve the implants' biocompatibility. In this work, Ti surface has been modified by shot blasting followed by anodic oxidation process in order to associate the good surface characteristics of both processes to obtain a rough and porous surface able to promote the titanium surface bioactivity. Commercially pure titanium (grade 2) plates were used on the surface treatments that were as follows: Shot blasting (SB) performed using alumina (Al{sub 2}O{sub 3}) particles, and anodic oxidation (AO) using NaOH electrolyte. The morphology, structural changes and the open-circuit potentials (OCP) of the surfaces were analyzed. It can be observed that an increase on the roughness of the blasted surface and a rough and porous surface happens after the AO process. The anodic film produced is thin and followed the blasted surface topography. It can be observed that there are small pores with regular shape covering the entire surface. X-ray diffraction results showed the presence of the anatase and rutile phases on the blasted and anodized surface after heat treatment at 600 °C/1 h. Concerning electrochemical measurements, when the different samples were submitted to open-circuit conditions in a physiological electrolyte, the protective effect increases with the oxidation process due to the oxide layer. When the surface was blasted, the OCP was more negative when compared with the Ti surface without surface treatments. - Highlights: ► A combination of shot blasting and anodic oxidation surface treatments is proposed. ► Both processes produced an increase in roughness compared to the polished surface. ► The combination of processes produced a rough and porous surface. ► Open circuit results show that the protective effect increases with oxidation process. ► The combination of processes presents the better results in this

  5. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  6. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  7. Fabrication of Polymeric Antireflection Film Manufactured by Anodic Aluminum Oxide Template on Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Jenn-Kai Tsai

    2017-03-01

    Full Text Available In this study, high energy conversion efficient dye-sensitized solar cells (DSSCs were successfully fabricated by attaching a double anti-reflection (AR layer, which is composed of a subwavelength moth-eye structured polymethyl methacrylate (PMMA film and a polydimethylsiloxane (PDMS film. An efficiency of up to 6.79% was achieved. The moth-eye structured PMMA film was fabricated by using an anodic aluminum oxide (AAO template which is simple, low-cost and scalable. The nano-pattern of the AAO template was precisely reproduced onto the PMMA film. The photoanode was composed of Titanium dioxide (TiO2 nanoparticles (NPs with a diameter of 25 nm deposited on the fluorine-doped tin oxide (FTO glass substrate and the sensitizer N3. The double AR layer was proved to effectively improve the short-circuit current density (JSC and conversion efficiency from 14.77 to 15.79 mA/cm2 and from 6.26% to 6.79%, respectively.

  8. Difference in surface reactions between titanium and zirconium in Hanks' solution to elucidate mechanism of calcium phosphate formation on titanium using XPS and cathodic polarization

    International Nuclear Information System (INIS)

    Tsutsumi, Y.; Nishimura, D.; Doi, H.; Nomura, N.; Hanawa, T.

    2009-01-01

    Titanium and zirconium were immersed in Hanks' solution with and without calcium and phosphate ions, and the surfaces were characterized with X-ray photoelectron spectroscopy (XPS) to determine the mechanism of calcium phosphate formation on titanium in simulated body fluids and in a living body. In addition, they were cathodically polarized in the above solutions. XPS characterization and cathodic polarization revealed differences in the surface properties in the ability of calcium phosphate formation between titanium and zirconium. The surface oxide film on titanium is not completely oxidized and is relatively reactive; that on zirconium is more passive and protective than that on titanium. Neither calcium nor phosphate stably exists alone on titanium, and calcium phosphate is naturally formed on it; calcium phosphate formed on titanium is stable and protective. On the other hand, calcium is never incorporated on zirconium, while zirconium phosphate, which is easily formed on zirconium, is highly stable and protective. Our study presents new information regarding the surface property of titanium and demonstrates that the characteristics of titanium and zirconium may be applied to various medical devices and new surface modification techniques.

  9. Albumin adsorption on oxide thin films studied by spectroscopic ellipsometry

    Energy Technology Data Exchange (ETDEWEB)

    Silva-Bermudez, P., E-mail: suriel21@yahoo.com [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito Exterior s/n, C.U., 04510, Mexico D.F. (Mexico); Unidad de Posgrado, Facultad de Odontologia, Universidad Nacional Autonoma de Mexico, CU, 04510, Mexico D.F. (Mexico); Rodil, S.E.; Muhl, S. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito Exterior s/n, C.U., 04510, Mexico D.F. (Mexico)

    2011-12-15

    Thin films of tantalum, niobium, zirconium and titanium oxides were deposited by reactive magnetron sputtering and their wettability and surface energy, optical properties, roughness, chemical composition and microstructure were characterized using contact angle measurements, spectroscopic ellipsometry, profilometry, X-ray photoelectron spectroscopy and X-ray diffraction, respectively. The purpose of the work was to correlate the surface properties of the films to the Bovine Serum Albumin (BSA) adsorption, as a first step into the development of an initial in vitro test of the films biocompatibility, based on standardized protein adsorption essays. The films were immersed into BSA solutions with different protein concentrations and protein adsorption was monitored in situ by dynamic ellipsometry; the adsorption-rate was dependent on the solution concentration and the immersion time. The overall BSA adsorption was studied in situ using spectroscopic ellipsometry and it was found to be influenced by the wettability of the films; larger BSA adsorption occurred on the more hydrophobic surface, the ZrO{sub 2} film. On the Ta{sub 2}O{sub 5}, Nb{sub 2}O{sub 5} and TiO{sub 2} films, hydrophilic surfaces, the overall BSA adsorption increased with the surface roughness or the polar component of the surface energy.

  10. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  11. Growth of YBCO superconducting thin films on CaF sub 2 buffered silicon

    CERN Document Server

    Bhagwat, S S; Patil, J M; Shirodkar, V S

    2000-01-01

    CaF sub 2 films were grown on silicon using the neutral cluster beam deposition technique. These films were highly crystalline and c-axis oriented. Superconducting YBCO thin films were grown on the Ca F sub 2 buffered silicon using the laser ablation technique. These films showed T sub c (onset) at 90 K and Tc(zero) at 86 K. X-ray diffraction analysis showed that the YBCO films were also oriented along the c-axis.

  12. A Study on Organic-Metal Halide Perovskite Film Morphology, Interfacial Layers, Tandem Applications, and Encapsulation

    Science.gov (United States)

    Fisher, Dallas A.

    Organic-metal halide perovskites have brought about a new wave of research in the photovoltaic community due to their ideally suited optical and electronic parameters. In less than a decade, perovskite solar cell performance has skyrocketed to unprecedented efficiencies with numerous reported methodologies. Perovskites face many challenges with high-quality film morphology, interfacial layers, and long-term stability. In this work, these active areas are explored through a combination of studies. First, the importance of perovskite film precursor ratios is explored with an in-depth study of carrier lifetime and solvent-grain effects. It was found that excess lead iodide precursor greatly improves the film morphology by reducing pinholes in the solar absorber. Dimethyl sulfoxide (DMSO) solvent was found to mend grains, as well as improve carrier lifetime and device performance, possibly by passivation of grain boundary traps. Second, applications of perovskite with tandem cells is investigated, with an emphasis for silicon devices. Perovskites can easily be integrated with silicon, which already has strong market presence. Additionally, both materials' bandgaps are ideally suited for maximum tandem efficiency. The silicon/perovskite tandem device structure necessitated the optimization of inverted (p-i-n) structure devices. PEDOT:PSS, copper oxide, and nickel oxide p-type layers were explored through a combination of photoluminescent, chemical reactivity, and solar simulation results. Results were hindered due to resistive ITO and rough silicon substrates, but tandem devices displayed Voc indicative of proper monolithic performance. Third, replacement of titanium dioxide n-type layer with iron oxide (Fe 2O3, common rust) was studied. Iron oxide experiences less ultraviolet instability than that of titanium dioxide under solar illumination. It was found that current density slightly decreased due to parasitic absorption from the rust, but that open circuit voltage

  13. Indium tin oxide thin-films prepared by vapor phase pyrolysis for efficient silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Simashkevich, Alexei, E-mail: alexeisimashkevich@hotmail.com [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Serban, Dormidont; Bruc, Leonid; Curmei, Nicolai [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Hinrichs, Volker [Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Rusu, Marin [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany)

    2016-07-01

    The vapor phase pyrolysis deposition method was developed for the preparation of indium tin oxide (ITO) thin films with thicknesses ranging between 300 and 400 nm with the sheet resistance of 10–15 Ω/sq. and the transparency in the visible region of the spectrum over 80%. The layers were deposited on the (100) surface of the n-type silicon wafers with the charge carriers concentration of ~ 10{sup 15} cm{sup −3}. The morphology of the ITO layers deposited on Si wafers with different surface morphologies, e.g., smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) was investigated. The as-deposited ITO thin films consist of crystalline columns with the height of 300–400 nm and the width of 50–100 nm. Photovoltaic parameters of mono- and bifacial solar cells of Cu/ITO/SiO{sub 2}/n–n{sup +} Si/Cu prepared on Si (100) wafers with different surface structures were studied and compared. A maximum efficiency of 15.8% was achieved on monofacial solar cell devices with the textured Si surface. Bifacial photovoltaic devices from 100 μm thick Si wafers with the smooth surface have demonstrated efficiencies of 13.0% at frontal illumination and 10% at rear illumination. - Highlights: • ITO thin films prepared by vapor phase pyrolysis on Si (100) wafers with a smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) surface. • Monofacial ITO/SiO2/n-n+Si solar cells with an efficiency of 15.8% prepared and bifacial PV devices with front- and rear-side efficiencies up to 13% demonstrated. • Comparative studies of photovoltaic properties of solar cells with different morphologies of the Si wafer surface presented.

  14. Electron and ion beam degradation effects in AES analysis of silicon nitride thin films

    International Nuclear Information System (INIS)

    Fransen, F.; Vanden Berghe, R.; Vlaeminck, R.; Hinoul, M.; Remmerie, J.; Maes, H.E.

    1985-01-01

    Silicon nitride films are currently investigated by AES combined with ion profiling techniques for their stoichiometry and oxygen content. During this analysis, ion beam and primary electron effects were observed. The effect of argon ion bombardment is the preferential sputtering of nitrogen, forming 'covalent' silicon at the surface layer (AES peak at 91 eV). The electron beam irradiation results in a decrease of the covalent silicon peak, either by an electron beam annealing effect in the bulk of the silicon nitride film, or by an ionization enhanced surface diffusion process of the silicon (electromigration). By the electron beam annealing, nitrogen species are liberated in the bulk of the silicon nitride film and migrate towards the surface where they react with the covalent silicon. The ionization enhanced diffusion originates from local charging of the surface, induced by the electron beam. (author)

  15. Oxidation under electron bombardment. A tool for studying the initial states of silicon oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Carriere, B.; Deville, J.P.; El Maachi, A.

    1987-06-01

    The exciting beam of an Auger electron spectrometer has been used to monitor the oxidation of silicon single crystals at room temperature and very low pressures of oxygen (approx. 10/sup -7/ Torr). This process allows us to build ultra-thin layers of silica on silicon (down to 30 A) but it is mostly used to investigate the mechanisms of the initial stages of oxidation. Auger spectra recorded continuously during the oxidation process provide information on (1) the nature of the silicon-oxygen chemical bonds which are interpreted through fine structure in the Auger peak, and (2) the kinetics of oxide formation which are deduced from curves of Auger signal versus time. An account is given of the contribution of these Auger studies to the description of the intermediate oxide layer during the reaction between silicon and oxygen and the influence of surface structural disorder, induced mainly by argon-ion bombardment, is discussed in terms of reactivity and oxide coverage.

  16. Self-organized nickel nanoparticles on nanostructured silicon substrate intermediated by a titanium oxynitride (TiNxOy) interface

    Science.gov (United States)

    Morales, M.; Droppa, R., Jr.; de Mello, S. R. S.; Figueroa, C. A.; Zanatta, A. R.; Alvarez, F.

    2018-01-01

    In this work we report an experimental approach by combining in situ sequential top-down and bottom-up processes to induce the organization of nanosized nickel particles. The top-down process consists in xenon ion bombardment of a crystalline silicon substrate to generate a pattern, followed by depositing a ˜15 nm titanium oxynitride thin film to act as a metallic diffusion barrier. Then, metallic nanoparticles are deposited by argon ion sputtering a pure nickel target, and the sample is annealed to promote the organization of the nickel nanoparticles (a bottom-up process). According to the experimental results, the surface pattern and the substrate biaxial surface strain are the driving forces behind the alignment and organization of the nickel nanoparticles. Moreover, the ratio between the F of metallic atoms arriving at the substrate relative to its surface diffusion mobility determines the nucleation regime of the nickel nanoparticles. These features are presented and discussed considering the existing technical literature on the subject.

  17. Plasma-enhanced growth, composition, and refractive index of silicon oxy-nitride films

    DEFF Research Database (Denmark)

    Mattsson, Kent Erik

    1995-01-01

    Secondary ion mass spectrometry and refractive index measurements have been carried out on silicon oxy-nitride produced by plasma-enhanced chemical vapor deposition (PECVD). Nitrous oxide and ammonia were added to a constant flow of 2% silane in nitrogen, to produce oxy-nitride films with atomic...... nitrogen concentrations between 2 and 10 at. %. A simple atomic valence model is found to describe both the measured atomic concentrations and published material compositions for silicon oxy-nitride produced by PECVD. A relation between the Si–N bond concentration and the refractive index is found......-product. A model, that combine the chemical net reaction and the stoichiometric rules, is found to agree with measured deposition rates for given material compositions. Effects of annealing in a nitrogen atmosphere has been investigated for the 400 °C– 1100 °C temperature range. It is observed that PECVD oxy...

  18. Filmes de titânio-silício preparados por "spin" e "dip-coating"

    Directory of Open Access Journals (Sweden)

    Nassar Eduardo J.

    2003-01-01

    Full Text Available The conditions for the preparation of luminescent materials, consisting of Eu3+ ions entrapped in a titanium matrix, in the forma of a thin film, using the sol-gel process, are described. The films were obtained from sols prepared with TEOS and TEOT, in the presence of acetylacetone as the hidrolysis-retarding agent, using the dip-coating and spin-coating techniques. The influence of these techniques on the films based on titanium and silicon are presented. The Eu3+ was used as a luminescent probe. The films have been characterized by luminescence, reflection and transmittance. The thickness of the films could be related to the preparation procedure. Transparent thin films have been prepared by dip-coating technique.

  19. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  20. A hybrid tandem solar cell based on hydrogenated amorphous silicon and dye-sensitized TiO{sub 2} film

    Energy Technology Data Exchange (ETDEWEB)

    Hao Sancun [Institute of Materials Physical Chemistry, Huaqiao University, Quanzhou, 362021 (China); Institute of Photo-Electronics of Nankai University, Tianjin 300071 (China); Jiangsu Shuangdeng Group Co. Ltd, Thaizhou, Jiangsu, 225526 (China); Wu Jihuai, E-mail: jhwu@hqu.edu.cn [Institute of Materials Physical Chemistry, Huaqiao University, Quanzhou, 362021 (China); Sun Zhonglin [Institute of Photo-Electronics of Nankai University, Tianjin 300071 (China)

    2012-01-01

    Hydrogenated amorphous silicon film (a-Si:H) as top cell is introduced to dye-sensitized titanium dioxide nanocrystalline solar cell (DSSC) as bottom cell to assemble a hybrid tandem solar cell. The hybrid tandem solar cell fabricated with the thicknesses a-Si:H layer of 235 nm, ZnO/Pt interlayer of 100 nm and DSSC layer of 8.5 {mu}m achieves a photo-to-electric energy conversion efficiency of 8.31%, a short circuit current density of 10.61 mA{center_dot}cm{sup -2} and an open-circuit voltage of 1.45 V under a simulated solar light irradiation of 100 mW{center_dot}cm{sup -2}.

  1. Biofunctionalization on Alkylated Silicon Substrate Surfaces via “Click” Chemistry

    OpenAIRE

    Qin, Guoting; Santos, Catherine; Zhang, Wen; Li, Yan; Kumar, Amit; Erasquin, Uriel J.; Liu, Kai; Muradov, Pavel; Trautner, Barbara Wells; Cai, Chengzhi

    2010-01-01

    Biofunctionalization of silicon substrates is important to the development of silicon-based biosensors and devices. Compared to conventional organosiloxane films on silicon oxide intermediate layers, organic monolayers directly bound to the non-oxidized silicon substrates via Si-C bonds enhance the sensitivity of detection and the stability against hydrolytic cleavage. Such monolayers presenting a high density of terminal alkynyl groups for bioconjugation via copper-catalyzed azide-alkyne 1,3...

  2. Semi-transparent ordered TiO_2 nanostructures prepared by anodization of titanium thin films deposited onto the FTO substrate

    International Nuclear Information System (INIS)

    Szkoda, Mariusz; Lisowska-Oleksiak, Anna; Grochowska, Katarzyna; Skowroński, Łukasz; Karczewski, Jakub; Siuzdak, Katarzyna

    2016-01-01

    Highlights: • High quality titanium coatings were doposited using industrial magnetron sputtering equipment. • Semi-transparent TiO_2 were prepared via anodization realized in various conditions. • Depending on electrolyte type, ordered tubular or porous TiO_2 layers were obtained. • Prepared material can act as semiconducting layer in photovoltaic cells. - Abstract: In a significant amount of cases, the highly ordered TiO_2 nanotube arrays grow through anodic oxidation of a titanium metal plate immersed in electrolyte containing fluoride ions. However, for some practical applications, e.g. solar cells or electrochromic windows, the semi-transparent TiO_2 formed directly on the transparent, conductive substrate is very much desired. This work shows that high-quality Ti coating could be formed at room temperature using an industrial magnetron sputtering system within 50 min. Under optimized conditions, the anodization process was performed on 2 μm titanium films deposited onto the FTO (fluorine-tin-oxide) support. Depending on the electrolyte type, highly ordered tubular or porous titania layers were obtained. The fabricated samples, after their thermal annealing, were investigated using scanning electron microscopy, Raman spectroscopy and UV–vis spectroscopy in order to investigate their morphology, crystallinity and absorbance ability. The photocurrent response curves indicate that materials are resistant to the photocorrosion process and their activity is strongly connected to optical properties. The most transparent TiO_2 films were fabricated when Ti was anodized in water electrolyte, whereas the highest photocurrent densities (12 μA cm"−"2) were registered for titania received after Ti anodization in ethylene glycol solution. The obtained results are of significant importance in the production of thin, semi-transparent titania nanostructures on a commercial scale.

  3. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  4. Broadband dielectric spectroscopy of oxidized porous silicon

    International Nuclear Information System (INIS)

    Axelrod, Ekaterina; Urbach, Benayahu; Sa'ar, Amir; Feldman, Yuri

    2006-01-01

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals

  5. Broadband dielectric spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Axelrod, Ekaterina [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Urbach, Benayahu [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Sa' ar, Amir [Racah Institute of Physics and the Center for Nanoscience and Nanotechnology, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel); Feldman, Yuri [Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, 91904 (Israel)

    2006-04-07

    Dielectric measurements accompanied by infrared absorption and photoluminescence (PL) spectroscopy were used to investigate the electrical and optical properties of oxidized porous silicon (PS). As opposed to non-oxidized PS, only high temperature relaxation processes could be resolved for oxidized PS. Two relaxation processes have been observed. The first process is related to dc-conductivity that dominates at high temperatures and low frequencies. After subtraction of dc-conductivity we could analyse a second high-temperature relaxation process that is related to interface polarization induced by charge carriers trapped at the host matrix-pore interfaces. We found that, while the main effect of the oxidation on the PL appears to be a size reduction in the silicon nanocrystals that gives rise to a blue shift of the PL spectrum, its main contribution to the dielectric properties turns out to be blocking of transport channels in the host tissue and activation of hopping conductivity between silicon nanocrystals.

  6. Large-grain polycrystalline silicon film by sequential lateral solidification on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, Yong-Hae; Chung, Choong-Heui; Yun, Sun Jin; Moon, Jaehyun; Park, Dong-Jin; Kim, Dae-Won; Lim, Jung Wook; Song, Yoon-Ho; Lee, Jin Ho

    2005-01-01

    A large-grain polycrystalline silicon film was obtained on a plastic substrate by sequential lateral solidification. With various combinations of sputtering powers and Ar working gas pressures, the conditions for producing dense amorphous silicon (a-Si) and SiO 2 films were optimized. The successful crystallization of the a-Si film is attributed to the production of a dense a-Si film that has low argon content and can endure high-intensity laser irradiation

  7. Glow discharge-deposited amorphous silicon films for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Grabmaier, J G; Plaettner, R D; Stetter, W [Siemens A.G., Muenchen (Germany, F.R.). Forschungslaboratorien

    1980-01-01

    Due to their high absorption constant, glow discharge-deposited amorphous silicon (a-Si) films are of great interest for low-cost solar cells. Using SiH/sub 4/ and SiX/sub 4//H/sub 2/ (X = Cl or F) gas mixtures in an inductively or capacitively excited reactor, a-Si films with thicknesses up to several micrometers were deposited on substrates of glass, silica and silicon. The optical and electrical properties of the films were determined by measuring the IR absorption spectra, dark conductivity, photoconductivity, and photoluminescence. Hydrogen, chlorine, or fluorine were incorporated in the films in order to passivate dangling bonds in the amorphous network.

  8. Preparation of Porous F-WO3/TiO2 Films with Visible-Light Photocatalytic Activity by Microarc Oxidation

    OpenAIRE

    Yeh, Chung-Wei; Wu, Kee-Rong; Hung, Chung-Hsuang; Chang, Hao-Cheng; Hsu, Chuan-Jen

    2012-01-01

    Porous F-WO3/TiO2 (mTiO2) films are prepared on titanium sheet substrates using microarc oxidation (MAO) technique. The X-ray diffraction patterns show that visible-light (Vis) enabling mTiO2 films with a very high content of anatase TiO2 and high loading of WO3 are successfully synthesized at a low applied voltage of 300 V using electrolyte contenting NaF and Na2WO4 without subsequent heat treatment. The cross-sectional transmission electron microscopy micrograph reveals that the mTiO2 films...

  9. Thermal oxidation of silicon with two oxidizing species

    International Nuclear Information System (INIS)

    Vild-Maior, A.A.; Filimon, S.

    1979-01-01

    A theoretical model for the thermal oxidation of silicon in wet oxygen is presented. It is shown that the presence of oxygen in the oxidation furnace has an important effect when the water temperature is not too high (less than about 65 deg C). The model is in good agreement with the experimental data. (author)

  10. Photocatalytic activity of bipolar pulsed magnetron sputter deposited TiO{sub 2}/TiWO{sub x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Weng, Ko-Wei; Hu, Chung-Hsuan; Hua, Li-Yu; Lee, Chin-Tan [Department of Electronic Engineering, National Quemoy University, 1 Daxue Road, Jinning Township, Kinmen 89250, Taiwan, ROC (China); Zhao, Yu-Xiang [Department of Computer Science and Information Engineering, National Quemoy University, Taiwan, ROC (China); Chang, Julian; Yang, Shu-Yi [Department of Applied English, National Quemoy University, Taiwan, ROC (China); Han, Sheng, E-mail: shenghan@nutc.edu.tw [Center for General Education, National Taichung University of Science and Technology, 129 San-min Road, Section 3, Taichung 40401, Taiwan, ROC (China)

    2016-08-15

    Highlights: • TiO{sub 2}/TiWO{sub x} films were fabricated by a bipolar pulsed magnetron sputtering apparatus. • Titanium oxide being sputtered tungsten enhanced the highly oriented of TiO{sub 2} (1 0 1) plane of the specimen assemblies. • The mechanism WO{sub 3}(h{sup +}, e{sup −})/TiO{sub 2}(h{sup +}, e{sup −}) → WO{sub 3}(e{sup −})/TiO{sub 2}(h{sup +}) shows the higher hydrophilicity and lower contact angle. - Abstract: Titanium oxide films were formed by sputtering and then TiWO{sub x} films were deposited by bipolar pulsed magnetron sputtering with pure titanium and tungsten metal targets. The sputtering of titanium oxide with tungsten enhanced the orientation of the TiO{sub 2} (1 0 1) plane of the specimen assemblies. The main varying parameter was the tungsten pulse power. Titanium oxide sputtered with tungsten using a pulsing power of 50 W exhibited a superior hydrophilic property, and a contact angle of 13.1°. This fabrication conditions maximized the photocatalytic decomposition of methylene blue solution. The mechanism by which the titanium oxide was sputtered with tungsten involves the photogeneration of holes and electron traps, inhibiting the hole–electron recombination, enhancing hydrophilicity and reducing the contact angle.

  11. Dewetting of nickel oxide-films on silicon under swift heavy ion irradiation

    International Nuclear Information System (INIS)

    Bolse, Thunu; Elsanousi, Ammar; Paulus, Hartmut; Bolse, Wolfgang

    2006-01-01

    Dewetting, occurring when a thin film on a non-wettable substrate turns into its liquid state, has gained strong interest during the last decade, since it results in nano-scale, large-area covering pattern formation. Recently we found that swift heavy ion (SHI) irradiation of thin NiO films on Si substrates at 80 K results in similar dewetting pattern, although in this case the coating has never reached its melting point. Careful inspection of the SEM images clearly revealed that the same nucleation mechanisms as observed for molten polymer films on Si (heterogeneous and homogeneous nucleation) were active. AFM shows that the circular holes formed in the early stages of the dewetting process exhibit a high and asymmetric rim-structure. RBS analysis was used to measure the coverage of the surface by the oxide films and revealed that the holes grow at constant velocity. This, and the shape of the rims, indicate that the material removed from the substrate surface piles up by plastic deformation, which points at a balance of the capillary driving forces and the hindered material dissipation

  12. Nanoscale compositional analysis of NiTi shape memory alloy films deposited by DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, S. K.; Mohan, S. [Centre for Nano Science and Engineering, Indian Institute of Science, Bangalore-560012 (India); Bysakh, S. [Central Glass and Ceramics Research Institute, Kolkata-700032 (India); Kumar, A.; Kamat, S. V. [Defence Metallurgical Research Laboratory, Hyderabad-500058 (India)

    2013-11-15

    The formation of surface oxide layer as well as compositional changes along the thickness for NiTi shape memory alloy thin films deposited by direct current magnetron sputtering at substrate temperature of 300 °C in the as-deposited condition as well as in the postannealed (at 600 °C) condition have been thoroughly studied by using secondary ion mass spectroscopy, x-ray photoelectron spectroscopy, and scanning transmission electron microscopy-energy dispersive x-ray spectroscopy techniques. Formation of titanium oxide (predominantly titanium dioxide) layer was observed in both as-deposited and postannealed NiTi films, although the oxide layer was much thinner (8 nm) in as-deposited condition. The depletion of Ti and enrichment of Ni below the oxide layer in postannealed films also resulted in the formation of a graded microstructure consisting of titanium oxide, Ni{sub 3}Ti, and B2 NiTi. A uniform composition of B2 NiTi was obtained in the postannealed film only below a depth of 200–250 nm from the surface. Postannealed film also exhibited formation of a ternary silicide (Ni{sub x}Ti{sub y}Si) at the film–substrate interface, whereas no silicide was seen in the as-deposited film. The formation of silicide also caused a depletion of Ni in the film in a region ∼250–300 nm just above the film substrate interface.

  13. Silicon-Light: a European FP7 Project Aiming at High Efficiency Thin Film Silicon Solar Cells on Foil

    DEFF Research Database (Denmark)

    Soppe, W.; Haug, F.-J.; Couty, P.

    2011-01-01

    Silicon-Light is a European FP7 project, which started January 1st, 2010 and aims at development of low cost, high-efficiency thin film silicon solar cells on foil. Three main routes are explored to achieve these goals: a) advanced light trapping by implementing nanotexturization through UV Nano...... calculations of ideal nanotextures for light trapping in thin film silicon solar cells; the fabrication of masters and the replication and roll-to-roll fabrication of these nanotextures. Further, results on ITO variants with improved work function are presented. Finally, the status of cell fabrication on foils...

  14. Oxochloroalkoxide of the Cerium (IV and Titanium (IV as oxides precursor

    Directory of Open Access Journals (Sweden)

    Machado Luiz Carlos

    2002-01-01

    Full Text Available The Cerium (IV and Titanium (IV oxides mixture (CeO2-3TiO2 was prepared by thermal treatment of the oxochloroisopropoxide of Cerium (IV and Titanium (IV. The chemical route utilizing the Cerium (III chloride alcoholic complex and Titanium (IV isopropoxide is presented. The compound Ce5Ti15Cl16O30 (iOPr4(OH-Et15 was characterized by elemental analysis, FTIR and TG/DTG. The X-ray diffraction patterns of the oxides resulting from the thermal decomposition of the precursor at 1000 degreesC for 36 h indicated the formation of cubic cerianite (a = 5.417Å and tetragonal rutile (a = 4.592Å and (c = 2.962 Å, with apparent crystallite sizes around 38 and 55nm, respectively.

  15. Rare Earth Oxide Thin Films

    CERN Document Server

    Fanciulli, Marco

    2007-01-01

    Thin rare earth (RE) oxide films are emerging materials for microelectronic, nanoelectronic, and spintronic applications. The state-of-the-art of thin film deposition techniques as well as the structural, physical, chemical, and electrical properties of thin RE oxide films and of their interface with semiconducting substrates are discussed. The aim is to identify proper methodologies for the development of RE oxides thin films and to evaluate their effectiveness as innovative materials in different applications.

  16. Thin film metal-oxides

    CERN Document Server

    Ramanathan, Shriram

    2009-01-01

    Presents an account of the fundamental structure-property relations in oxide thin films. This title discusses the functional properties of thin film oxides in the context of applications in the electronics and renewable energy technologies.

  17. Thin film oxygen partial pressure sensor

    Science.gov (United States)

    Wortman, J. J.; Harrison, J. W.; Honbarrier, H. L.; Yen, J.

    1972-01-01

    The development is described of a laboratory model oxygen partial pressure sensor using a sputtered zinc oxide thin film. The film is operated at about 400 C through the use of a miniature silicon bar. Because of the unique resistance versus temperature relation of the silicon bar, control of the operational temperature is achieved by controlling the resistance. A circuit for accomplishing this is described. The response of sputtered zinc oxide films of various thicknesses to oxygen, nitrogen, argon, carbon dioxide, and water vapor caused a change in the film resistance. Over a large range, film conductance varied approximately as the square root of the oxygen partial pressure. The presence of water vapor in the gas stream caused a shift in the film conductance at a given oxygen partial pressure. A theoretical model is presented to explain the characteristic features of the zinc oxide response to oxygen.

  18. Formation of pyridine N-oxides using mesoporous titanium silicalite-1

    DEFF Research Database (Denmark)

    Mielby, Jerrik Jørgen; Abildstrøm, Jacob Oskar; Perez-Ferreras, Susana

    2014-01-01

    Mesoporous titanium silicalite-1 (TS-1) prepared by carbon-templating is significantly more active than conventional TS-1 for the oxidation of pyridine derivatives using aqueous hydrogen peroxide as oxidant. The catalytic activity is increased by the system of mesopores that helps to overcome the...

  19. Physical and electrical properties of thermal oxidized Sm{sub 2}O{sub 3} gate oxide thin film on Si substrate: Influence of oxidation durations

    Energy Technology Data Exchange (ETDEWEB)

    Goh, Kian Heng; Haseeb, A.S.M.A.; Wong, Yew Hoong, E-mail: yhwong@um.edu.my

    2016-05-01

    Growth of 150 nm Sm{sub 2}O{sub 3} films by sputtered pure samarium metal film on silicon substrates and followed by thermal oxidation process in oxygen ambient at 700 °C through various oxidation durations (5 min, 10 min, 15 min and 20 min) has been carried out. The crystallinity of Sm{sub 2}O{sub 3} film and existence of interfacial layer have been evaluated by X-ray diffraction, Fourier transform infrared and Raman analysis. Crystallite size and microstrain of Sm{sub 2}O{sub 3} were estimated by Williamson–Hall plot analysis. Calculated crystallite size of Sm{sub 2}O{sub 3} from Scherrer equation has similar trend with the value from Williamson–Hall plot. The presence of interfacial layer is supported by composition line scan by energy dispersive X-ray spectroscopy analysis. The surface roughness and surface topography of Sm{sub 2}O{sub 3} film were examined by atomic force microscopy analysis. The electrical characterization revealed that 15 min of oxidation durations with smoothest surface has highest breakdown voltage, lowest leakage current density and highest barrier height value. - Highlights: • Thermal oxidation of sputtered pure metallic Sm in oxygen ambient • Formation of polycrystalline Sm{sub 2}O{sub 3} and semi-polycrystalline interfacial layers • Optimization of oxidation duration of pure metallic Sm in oxygen ambient • Enhanced electrical performance with smooth surface and increased barrier height.

  20. Investigation of carbon nanotube-containing film on silicon substrates and its tribological behavior

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Zhiyong [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-11-15

    Highlights: • CNT-containing film was self-assembled on silicon substrates. • CNTs are strongly bonded with the substrates by chemical combination between La and oxygen-containing functional groups. • CNT-containing film has excellent friction reduction, load-carrying capacity and anti-wear ability. - Abstract: Carbon nanotubes (CNTs) were functionalized with Lanthanum (La) modifier and appropriate acid-treatment methods. CNT-containing film was deposited on silicon substrates via a self-assembly process. The formation and microstructure of La treated CNTs and CNT-containing film were characterized by high-resolution transmission electron microscopy (HRTEM), scanning electron microscopy (SEM), X-ray photoelectron spectrometry (XPS) and water contact angle (WCA). Its tribological properties were evaluated with a UMT-2MT reciprocating friction tester. The results show that CNTs were adsorbed on silicon substrates by means of chemically bonding between La and oxygen-containing functional groups. The friction coefficient of the silicon substrates is reduced from 0.87 to 0.12 after the deposition of CNT-containing film on its surface. CNT-containing film shows excellent antiwear, friction reducing ability and load-carrying capacity due to excellent mechanical and self-lubrication properties of CNTs.

  1. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  2. Morphological and optical properties of silicon thin films by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Schwarz, R.; Melo, L.V.; Ramalho, R.; Alves, E.; Marques, C.P.; Santos, L.; Almeida, R.; Conde, O.

    2009-01-01

    Silicon thin films have been prepared on sapphire substrates by pulsed laser deposition (PLD) technique. The films were deposited in vacuum from a silicon target at a base pressure of 10 -6 mbar in the temperature range from 400 to 800 deg. C. A Q-switched Nd:YAG laser (1064 nm, 5 ns duration, 10 Hz) at a constant energy density of 2 J x cm -2 has been used. The influence of the substrate temperature on the structural, morphological and optical properties of the Si thin films was investigated. Spectral ellipsometry and atomic force microscopy (AFM) were used to study the thickness and the surface roughness of the deposited films. Surface roughness values measured by AFM and ellipsometry show the same tendency of increasing roughness with increased deposition temperature

  3. Stress and phase changes in a low-thermal-expansion Al-3at.%Ge alloy film on oxidized silicon wafers

    International Nuclear Information System (INIS)

    Tu, K.N.; Rodbell, K.P.; Herd, S.R.; Mikalsen, D.J.

    1993-01-01

    The alloy of Al-3at.%Ge has been found to have a low thermal expansion and contraction in the temperature range of room temperature to 400 C. The reason for the low thermal contraction (or expansion) is the precipitation (or dissolution) of Ge in the alloy. The Ge precipitates have a diamond structure in which each Ge atom occupies a much larger atomic volume than a Ge atom dissolved substitutionally in Al. The volume difference compensates for the effect of thermal expansion and contraction with changing temperature which in turn reduces the thermal stress due to thermal mismatch. The technique of wafer bending was used to determine the stress of the alloy film on oxidized silicon wafers upon thermal cycling; indeed, it is much lower than that of pure Al on identical wafers. The morphology of precipitation and dissolution of Ge in Al has been studied by transmission and scanning electron microscopy. It is found that the precipitation follows a discontinuous mode and occurs predominantly along grain boundaries. In dissolving the Ge precipitates into Al, voids are left behind because of the volume difference. It is proposed that this may explain the enhancement of nucleation of voids in the alloy film upon thermal cycling. (orig.)

  4. Physicochemical state of the nanotopographic surface of commercially pure titanium following anodization-hydrothermal treatment reveals significantly improved hydrophilicity and surface energy profiles.

    Science.gov (United States)

    Takebe, Jun; Ito, Shigeki; Miura, Shingo; Miyata, Kyohei; Ishibashi, Kanji

    2012-01-01

    A method of coating commercially pure titanium (cpTi) implants with a highly crystalline, thin hydroxyapatite (HA) layer using discharge anodic oxidation followed by hydrothermal treatment (Spark discharged Anodic oxidation treatment ; SA-treated cpTi) has been reported for use in clinical dentistry. We hypothesized that a thin HA layer with high crystallinity and nanostructured anodic titanium oxide film on such SA-treated cpTi implant surfaces might be a crucial function of their surface-specific potential energy. To test this, we analyzed anodic oxide (AO) cpTi and SA-treated cpTi disks by SEM and AFM. Contact angles and surface free energy of each disk surface was measured using FAMAS software. High-magnification SEM and AFM revealed the nanotopographic structure of the anodic titanium oxide film on SA-treated cpTi; however, this was not observed on the AO cpTi surface. The contact angle and surface free energy measurements were also significantly different between AO cpTi and SA-treated cpTi surfaces (Tukey's, P<0.05). These data indicated that the change of physicochemical properties of an anodic titanium oxide film with HA crystals on an SA-treated cpTi surface may play a key role in the phenomenon of osteoconduction during the process of osseointegration. Copyright © 2011 Elsevier B.V. All rights reserved.

  5. Zirconates heteroepitaxy on silicon

    Science.gov (United States)

    Fompeyrine, Jean; Seo, Jin Won; Seigwart, Heinz; Rossel, Christophe; Locquet, Jean-Pierre

    2002-03-01

    In the coming years, agressive scaling in CMOS technology will probably trigger the transition to more advanced materials, for example alternate gate dielectrics. Epitaxial thin films are attractive candidates, as long as the difficult chemical and structural issues can be solved, and superior properties can be obtained. Since very few binary oxides can match the electrical, physical and structural requirements which are needed, a combination of those binaries are used here to investigate other lattice matched oxides. We will report on the growth of crystalline zirconium oxide thin films stabilized with different cationic substitutions. All films have been grown in an oxide-MBE system by direct evaporation of the elements on silicon substrates and exposure to molecular or atomic oxygen. The conditions required to obtain epitaxial thin films will be discussed, and successful examples will be presented.

  6. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  7. Structural and electrical studies on sol-gel derived spun TiO2 thin films

    International Nuclear Information System (INIS)

    Hassan, A K; Chaure, N B; Ray, A K; Nabok, A V; Habesch, S

    2003-01-01

    Titanium dioxide thin films were prepared by spin coating of sol precursor onto microscopic glass slides, silicon and indium tin oxide (ITO) coated glass substrates. Spin speed was varied between 1000 and 6000 rpm. From the morphological analysis, it is found that thin films spun with speed ω≤4000 rpm assume higher ordered structure than those spun at a speed higher than 4000 rpm. Conduction at low voltages is believed to be variable range hopping at temperatures T a = 0.46 eV below the conduction band edge becomes dominant at temperatures higher than 220 K. At high field charge transport is due to trap-controlled space charge limited mechanism. Traps with a density N t ∼1x10 22 m -3 are thought to be situated at energy level E t 0.3 eV below the conduction band and are associated with film nonstoichiometry and interface states

  8. Influence of the sputtering system's vacuum level on the properties of indium tin oxide films

    International Nuclear Information System (INIS)

    Zebaze Kana, M.G.; Centurioni, E.; Iencinella, D.; Summonte, C.

    2006-01-01

    The influence of the chamber residual pressure level in the radio frequency magnetron sputtering process on the electrical, optical and structural properties of indium thin oxide (ITO) is investigated. Several ITO films were deposited at various residual pressure levels on Corning glass using In 2 O 3 :SnO 2 target in argon atmosphere and without the addition of oxygen partial pressure. It is found that a very good vacuum is associated to metallic films and results in less transparent ITO films, with some powder formation on the surface. On the contrary highly transparent and conducting films are produced at a higher residual pressure. The best deposition conditions are addressed for ITO films as transparent conducting oxide layers in silicon heterojunction solar cells. Using the optimal vacuum level for ITO fabrication, a maximum short circuit current of 36.6 mA/cm 2 and a fill-factor of 0.78 are obtained for solar cells on textured substrates with a device conversion efficiency of 16.2%

  9. Isolation and characterisation of barium sulphate and titanium oxides in monument crusts

    Energy Technology Data Exchange (ETDEWEB)

    Luis Perez-Rodriguez, Jose; Carmen Jimenez de Haro, Maria del; Maqueda, Celia

    2004-10-25

    Black crusts from historical ornamental materials contain Ba and Ti. These elements are in low proportion, making their determination difficult and especially the characterisation of the phases in which they are present. For this reason, works on the mineralogical composition of the two elements in black crusts is scarce. Thus the isolation, previous to their characterisation, is important for the study of the surface layer in altered monuments. An acid attack for the isolation of barium sulphate and titanium oxides in black crusts from polluted areas has been used. The acid employed is a mixture of HF, HNO{sub 3} and HClO{sub 4}. The residue isolated by acid attack was analysed by energy dispersive X-ray fluorescence and X-ray diffraction. It was characterised, and the percentages of barite (barium sulphate), anatase (titanium oxide), and rutile (titanium oxide) phases present in the surface layers were calculated.

  10. Electronic transport in mixed-phase hydrogenated amorphous/nanocrystalline silicon thin films

    Science.gov (United States)

    Wienkes, Lee Raymond

    Interest in mixed-phase silicon thin film materials, composed of an amorphous semiconductor matrix in which nanocrystalline inclusions are embedded, stems in part from potential technological applications, including photovoltaic and thin film transistor technologies. Conventional mixed-phase silicon films are produced in a single plasma reactor, where the conditions of the plasma must be precisely tuned, limiting the ability to adjust the film and nanoparticle parameters independently. The films presented in this thesis are deposited using a novel dual-plasma co-deposition approach in which the nanoparticles are produced separately in an upstream reactor and then injected into a secondary reactor where an amorphous silicon film is being grown. The degree of crystallinity and grain sizes of the films are evaluated using Raman spectroscopy and X-ray diffraction respectively. I describe detailed electronic measurements which reveal three distinct conduction mechanisms in n-type doped mixed-phase amorphous/nanocrystalline silicon thin films over a range of nanocrystallite concentrations and temperatures, covering the transition from fully amorphous to ~30% nanocrystalline. As the temperature is varied from 470 to 10 K, we observe activated conduction, multiphonon hopping (MPH) and Mott variable range hopping (VRH) as the nanocrystal content is increased. The transition from MPH to Mott-VRH hopping around 100K is ascribed to the freeze out of the phonon modes. A conduction model involving the parallel contributions of these three distinct conduction mechanisms is shown to describe both the conductivity and the reduced activation energy data to a high accuracy. Additional support is provided by measurements of thermal equilibration effects and noise spectroscopy, both done above room temperature (>300 K). This thesis provides a clear link between measurement and theory in these complex materials.

  11. Quantitative analyses of impurity silicon-carbide (SiC) and high-purity-titanium by neutron activation analyses based on k0-standardization method. Development of irradiation silicon technology in productivity using research reactor (Joint research)

    International Nuclear Information System (INIS)

    Motohashi, Jun; Takahashi, Hiroyuki; Magome, Hirokatsu; Sasajima, Fumio; Tokunaga, Okihiro; Kawasaki, Kozo; Onizawa, Koji; Isshiki, Masahiko

    2009-07-01

    JRR-3 and JRR-4 have been providing neutron-transmutation-doped silicon (NTD-Si) by using the silicon NTD process, which is a method to produce a high quality semiconductor. The domestic supply of NTD-Si is insufficient for the demand, and the market of NTD-Si is significantly growing at present. It is very important to increase achieve the production. To fulfill the requirement, we have been investigating a neutron filter, which is made of high-purity-titanium, for uniform doping. Silicon-carbide (SiC) semiconductor doped with NTD technology is considered suitable for high power devices with superior performances to conventional Si-based devices. We are very interested in the SiC as well. This report presents the results obtained after the impurity contents in the high-purity-titanium and SiC were analyzed by neutron activation analyses (NAA) using k 0 -standardization method. There were 6 and 9 impurity elements detected from the high-purity-titanium and SiC, respectively. Among those Sc from the high-purity-titanium and Fe from SiC were comparatively long half life nuclides. From the viewpoint of exposure in handling them, we need to examine the impurity control of materials. (author)

  12. The effect of fiber microstructure on evolution of residual stresses in silicon carbide/titanium aluminide composites

    Science.gov (United States)

    Pindera, Marek-Jerzy; Freed, Alan D.

    1992-01-01

    This paper examines the effect of the morphology of the SCS6 silicon carbide fiber on the evolution of residual stresses in SiC/Ti composites. A micromechanics model based on the concentric cylinder concept is presented which is used to calculate residual stresses in a SiC/Ti composite during axisymmetric cooling by a spatially uniform temperature change. The silicon carbide fiber is modeled as a layered material with five distinct transversely isotropic and orthotropic, elastic layers, whereas the titanium matrix is taken to be isotropic, with temperature-dependent elastoplastic properties. The results arc compared with those obtained based on the assumption that the silicon carbide fiber is isotropic and homogeneous.

  13. Low-temperature growth and electronic structures of ambipolar Yb-doped zinc tin oxide transparent thin films

    Science.gov (United States)

    Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William

    2018-05-01

    The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.

  14. Highly n-Type Titanium Oxide as an Electronically Active Support for Platinum in the Catalytic Oxidation of Carbon Monoxide

    KAUST Repository

    Baker, L. Robert

    2011-08-18

    The role of the oxide-metal interface in determining the activity and selectivity of chemical reactions catalyzed by metal particles on an oxide support is an important topic in science and industry. A proposed mechanism for this strong metal-support interaction is electronic activation of surface adsorbates by charge carriers. Motivated by the goal of using electronic activation to drive nonthermal chemistry, we investigated the ability of the oxide support to mediate charge transfer. We report an approximately 2-fold increase in the turnover rate of catalytic carbon monoxide oxidation on platinum nanoparticles supported on stoichiometric titanium dioxide (TiO2) when the TiO2 is made highly n-type by fluorine (F) doping. However, for nonstoichiometric titanium oxide (TiOX<2) the effect of F on the turnover rate is negligible. Studies of the titanium oxide electronic structure show that the energy of free electrons in the oxide determines the rate of reaction. These results suggest that highly n-type TiO2 electronically activates adsorbed oxygen (O) by electron spillover to form an active O- intermediate. © 2011 American Chemical Society.

  15. Nanocomposites Based on Polyethylene and Nanocrystalline Silicon Films

    Directory of Open Access Journals (Sweden)

    Olkhov Anatoliy Aleksandrovich

    2014-12-01

    Full Text Available High-strength polyethylene films containing 0.5-1.0 wt. % of nanocrystalline silicon (nc-Si were synthesized. Samples of nc-Si with an average core diameter of 7-10 nm were produced by plasmochemical method and by laser-induced decomposition of monosilane. Spectral studies revealed almost complete (up to ~95 % absorption of UV radiation in 200- 400 nm spectral region by 85 micron thick film if the nc-Si content approaches to 1.0 wt. %. The density function of particle size in the starting powders and polymer films containing immobilized silicon nanocrystallites were obtained using the modeling a complete profile of X-ray diffraction patterns, assuming spherical grains and the lognormal distribution. The results of X-ray analysis shown that the crystallite size distribution function remains almost unchanged and the crystallinity of the original polymer increases to about 10 % with the implantation of the initial nc-Si samples in the polymer matrix.

  16. Silicon oxide nanoimprint stamp fabrication by edge lithography reinforced with silicon nitride

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2007-01-01

    The fabrication of silicon oxide nanoimprint stamp employing edge lithography in combination with silicon nitride deposition is presented. The fabrication process is based on conventional photolithography an weg etching methods. Nanoridges with width dimension of sub-20 nm were fabricated by edge

  17. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. Aceves-Mijares

    2012-01-01

    Full Text Available Silicon Rich Oxide (SRO has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD. In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept that SRO emission properties are due to oxidation state nanoagglomerates rather than to nanocrystals. The emission mechanism is similar to Donor-Acceptor decay in semiconductors, and a wide emission spectrum, from 450 to 850 nm, has been observed. The results show that emission is a function of both silicon excess in the film and excitation energy. As a result different color emissions can be obtained by selecting the suitable excitation energy.

  18. Structure and amphoteric properties of titanium dioxide gels

    International Nuclear Information System (INIS)

    Kertesz, C.

    1991-01-01

    Mechanisms responsible for the in-solution ion retention by titanium dioxide are studied. Mineral oxide gel formation and structure are described and various titanium dioxide gel synthesis modes are presented. A two-phase model, taking into account the porous nature of the solid and allowing for the application of the mass action law, is adopted. The oxide amphoteric properties are studied with the potentiometric titration technique. Hysteresis loops are imputed to the slowness of the acid-basic neutralization reaction. The main characteristics are determined: isoelectric point, cation and anion retention capacity. Depending on the suspension agitation, the speed limiting factor may be the diffusion in the film or the diffusion in the particle. 60 fig., 128 ref

  19. Feasibility study on the sol-gel deposition of nanostructured materials based on oxides and fluorides for coatings on solar collector glazing

    Energy Technology Data Exchange (ETDEWEB)

    Schueler, A.; Chambrier, E. De

    2005-10-15

    This illustrated annual report reviews work done at the Federal Institute of Technology (EPFL) in Lausanne, Switzerland, on the architectural integration of thermal solar collectors into buildings. This is often limited by their black colour and the visibility of the tubes and corrugations of the absorber sheets. Although a certain freedom in the choice of colour would be desirable, the coloured appearance should not cause excessive performance degradation. Multi-layered thin film interference filters on the collector glazing can produce a coloured reflection while transmitting the non-reflected radiation entirely to the absorber. The paper describes suitable optical interference filters which have been designed and optimised by numerical simulation and that will be manufactured by the sol-gel dip-coating process. Light scattering has to be avoided, which implies a need for particle sizes much smaller than the wavelengths of the incoming light. The paper proposes that corresponding thin films should therefore consist of nano-structured materials. The sol-gel deposition of all proposed materials has been demonstrated successfully. The paper presents the results of the work using various materials including titanium-silicon mixed oxides, gold-silicon dioxide, porous silicon dioxide, magnesium fluoride and quaternary films.

  20. Modification of titanium oxide membranes by Pt electrodeposition

    International Nuclear Information System (INIS)

    Avalle, L.; Santos, E.; Leiva, E.P.M.; Macagno, V.A.

    1990-01-01

    Electrochemistry techniques mainly voltamperometry and measures of impedance with titanium oxides changed by platinum atoms incorporation, were studied. This changes production some alteration in the physical chemical and electrocatalytic properties, as an example the improvement of corrosion resistance and the uses in nuclear industry. (author)