WorldWideScience

Sample records for tio2 films deposited

  1. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  2. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  3. Liquid phase deposition of WO3/TiO2 heterojunction films with high photoelectrocatalytic activity under visible light irradiation

    International Nuclear Information System (INIS)

    Zhang, Man; Yang, Changzhu; Pu, Wenhong; Tan, Yuanbin; Yang, Kun; Zhang, Jingdong

    2014-01-01

    Highlights: • Liquid phase deposition is developed for preparing WO 3 /TiO 2 heterojunction films. • TiO 2 film provides an excellent platform for WO 3 deposition. • WO 3 expands the absorption band edge of TiO 2 film to visible light region. • WO 3 /TiO 2 heterojunction film shows high photoelectrocatalytic activity. - ABSTRACT: The heterojunction films of WO 3 /TiO 2 were prepared by liquid phase deposition (LPD) method via two-step processes. The scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopic analysis indicated that flower-like WO 3 film was successfully deposited on TiO 2 film with the LPD processes. The TiO 2 film provided an excellent platform for WO 3 deposition while WO 3 obviously expanded the absorption of TiO 2 film to visible light. As the result, the heterojunction film of WO 3 /TiO 2 exhibited higher photocurrent response to visible light illumination than pure TiO 2 or WO 3 film. The photoelectrocatalytic (PEC) activity of WO 3 /TiO 2 film was evaluated by degrading Rhodamin B (RhB) and 4-chlorophenol (4-CP) under visible light irradiation. The results showed that the LPD WO 3 /TiO 2 film possessed high PEC activity for efficient removal of various refractory organic pollutants

  4. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  5. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  6. Raman spectra of TiO2 thin films deposited electrochemically and by spray pyrolysis

    International Nuclear Information System (INIS)

    Shishiyanu, S.; Vartic, V.; Shishiyanu, T.; Stratan, Gh.; Rusu, E.; Zarrelli, M.; Giordano, M.

    2013-01-01

    In this paper we present our experimental results concerning the fabrication of TiO 2 thin films by spray pyrolysis and electrochemical deposition method onto different substrates - Corning glass, Si and optical fibers. The surface morphology of the TiO 2 thin films have been investigated by Atomic Force Microscopy. Raman shift spectra measurements have been done for the optical characterization of the fabricated titania thin films. The post-growth rapid photothermal processing (RPP) at temperatures of 100-800 degrees Celsius for 1-3 min have been applied. Our experimental results prove that by the application of post-growth RPP is possible to essentially improve the crystallinity of the deposited TiO 2 films. (authors)

  7. Morphological study of electrophoretically deposited TiO2 film for DSSC application

    Science.gov (United States)

    Patel, Alkesh B.; Patel, K. D.; Soni, S. S.; Sonigara, K. K.

    2018-05-01

    In the immerging field of eco-friendly and low cost photovoltaic devices, dye sensitized solar cell (DSSC) [1] has been investigated as promising alternative to the conventional silicon-based solar cells. In the DSSC device, photoanode is crucial component that take charge of holding sensitizer on it and inject the electrons from the sensitizer to current collector. Nanoporous TiO2 is the most relevant candidate for the preparation of photoanode in DSSCs. Surface properties, morphology, porosity and thickness of TiO2 film as well as preparation technique determine the performance of device. In the present work we have report the study of an effect of nanoporous anatase titanium dioxide (TiO2) film thickness on DSSC performance. Photoanode TiO2 (P25) film was deposited on conducting substrate by electrophoresis technique (EPD) and film thickness was controlled during deposition by applying different current density for a constant time interval. Thickness and surface morphology of prepared films was studied by SEM and transmittance analysis. The same set of photoanode was utilized in DSSC devices using metal free organic dye sensitizer to evaluate the photovoltaic performance. Devices were characterized through Current-Voltage (I-V) characteristic, electrochemical impedance spectroscopy (EIS) and open circuit voltage decay curves. Dependency of device performance corresponding to TiO2 film thickness is investigated through the lifetime kinetics of electron charge transfer mechanism trough impedance fitting. It is concluded that appropriate thickness along with uniformity and porosity are required to align the dye molecules to respond efficiently the incident light photons.

  8. Biocompatibility and Surface Properties of TiO2 Thin Films Deposited by DC Magnetron Sputtering

    Science.gov (United States)

    López-Huerta, Francisco; Cervantes, Blanca; González, Octavio; Hernández-Torres, Julián; García-González, Leandro; Vega, Rosario; Herrera-May, Agustín L.; Soto, Enrique

    2014-01-01

    We present the study of the biocompatibility and surface properties of titanium dioxide (TiO2) thin films deposited by direct current magnetron sputtering. These films are deposited on a quartz substrate at room temperature and annealed with different temperatures (100, 300, 500, 800 and 1100 °C). The biocompatibility of the TiO2 thin films is analyzed using primary cultures of dorsal root ganglion (DRG) of Wistar rats, whose neurons are incubated on the TiO2 thin films and on a control substrate during 18 to 24 h. These neurons are activated by electrical stimuli and its ionic currents and action potential activity recorded. Through X-ray diffraction (XRD), the surface of TiO2 thin films showed a good quality, homogeneity and roughness. The XRD results showed the anatase to rutile phase transition in TiO2 thin films at temperatures between 500 and 1100 °C. This phase had a grain size from 15 to 38 nm, which allowed a suitable structural and crystal phase stability of the TiO2 thin films for low and high temperature. The biocompatibility experiments of these films indicated that they were appropriated for culture of living neurons which displayed normal electrical behavior. PMID:28788667

  9. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  10. TiO2 nanoparticle thin film deposition by matrix assisted pulsed laser evaporation for sensing applications

    International Nuclear Information System (INIS)

    Caricato, A.P.; Capone, S.; Ciccarella, G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Taurino, A.; Tunno, T.; Valerini, D.

    2007-01-01

    The MAPLE technique has been used for the deposition of nanostructured titania (TiO 2 ) nanoparticles thin films to be used for gas sensors applications. An aqueous solution of TiO 2 nanoparticles, synthesised by a novel chemical route, was frozen at liquid nitrogen temperature and irradiated with a pulsed ArF excimer laser in a vacuum chamber. A uniform distribution of TiO 2 nanoparticles with an average size of about 10 nm was deposited on Si and interdigitated Al 2 O 3 substrates as demonstrated by high resolution scanning electron microscopy-field emission gun inspection (SEM-FEG). Energy dispersive X-ray (EDX) analysis revealed the presence of only the titanium and oxygen signals and FTIR (Fourier transform infra-red) revealed the TiO 2 characteristic composition and bond. A comparison with a spin coated thin film obtained from the same solution of TiO 2 nanoparticles is reported. The sensing properties of the films deposited on interdigitated substrates were investigated, too

  11. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  12. Uniform thin films of TiO2 nanoparticles deposited by matrix-assisted pulsed laser evaporation

    International Nuclear Information System (INIS)

    Caricato, A.P.; Manera, M.G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Tunno, T.; Valerini, D.

    2007-01-01

    We report morphological and optical properties of a colloidal TiO 2 nanoparticle film, deposited on a quartz substrate by using the Matrix-Assisted Pulsed Laser Evaporation (MAPLE) technique. Atomic Force Microscopy demonstrated that a good uniformity of the deposition can be obtained. The presence of agglomerates with dimensions of about 1 μm in size was noticed. Form UV-vis transmission spectra, recorded in the 200-800 nm range, the optical constants and the energy gap were determined besides the film thickness. The optical constants resulted in agreement with the values reported in literature for TiO 2 nanoparticle thin films

  13. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  14. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    Science.gov (United States)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  15. Effect of N_2 flow rate on the properties of N doped TiO_2 films deposited by DC coupled RF magnetron sputtering

    International Nuclear Information System (INIS)

    Peng, Shou; Yang, Yong; Li, Gang; Jiang, Jiwen; Jin, Kewu; Yao, TingTing; Zhang, Kuanxiang; Cao, Xin; Wang, Yun; Xu, Genbao

    2016-01-01

    N doped TiO_2 films were deposited on glass substrates at room temperature using DC coupled RF magnetron sputtering with a TiO_2 ceramic target. The influences of N_2 flow rate on the deposition rate, crystal structure, chemical composition and band gap of the deposited films were investigated by Optical profiler, X-ray diffraction, X-ray photoelectron spectroscope and ultraviolet-visible spectrophotometer. The film growth rate gradually decreased with increasing N_2 flow rate. As N_2 flow rate increased, the crystallization of the films deteriorated, and the films tended to form amorphous structure. XPS analysis revealed that N dopant atoms were added at the substitutional sites into TiO_2 lattice structure. FE-SEM results showed that the grain size of the film decreased and the crystallinity degraded as N_2 flow rate increases. In addition, N doping caused an obvious red shift in the optical absorption edge. - Highlights: • N doped TiO_2 films were deposited by DC coupled RF magnetron reactive sputtering. • As N_2 flow rate increases, the crystallization of the deposited films degrades. • The higher N_2 flow rate is beneficial to form more substituted N in the film. • N doping causes an obvious red shift in the absorption wavelength.

  16. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  17. Visible light active TiO2 films prepared by electron beam deposition of noble metals

    International Nuclear Information System (INIS)

    Hou Xinggang; Ma Jun; Liu Andong; Li Dejun; Huang Meidong; Deng Xiangyun

    2010-01-01

    TiO 2 films prepared by sol-gel method were modified by electron beam deposition of noble metals (Pt, Pd, and Ag). Effects of noble metals on the chemical and surface characteristics of the films were studied using XPS, TEM and UV-Vis spectroscopy techniques. Photocatalytic activity of modified TiO 2 films was evaluated by studying the degradation of methyl orange dye solution under visible light UV irradiation. The result of TEM reveals that most of the surface area of TiO 2 is covered by tiny particles of noble metals with diameter less than 1 nm. Broad red shift of UV-Visible absorption band of modified photocatalysts was observed. The catalytic degradation of methyl orange in aqueous solutions under visible light illumination demonstrates a significant enhancement of photocatalytic activity of these films compared with the un-loaded films. The photocatalytic efficiency of modified TiO 2 films by this method is affected by the concentration of impregnating solution.

  18. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  19. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  20. Effect of substrate type on the electrical and structural properties of TiO2 thin films deposited by reactive DC sputtering

    Science.gov (United States)

    Cheng, Xuemei; Gotoh, Kazuhiro; Nakagawa, Yoshihiko; Usami, Noritaka

    2018-06-01

    Electrical and structural properties of TiO2 thin films deposited at room temperature by reactive DC sputtering have been investigated on three different substrates: high resistivity (>1000 Ω cm) float zone Si(1 1 1), float zone Si(1 0 0) and alkali free glass. As-deposited TiO2 films on glass substrate showed extremely high resistivity of (∼5.5 × 103 Ω cm). In contrast, lower resistivities of ∼2 Ω cm and ∼5 Ω cm were obtained for films on Si(1 1 1) and Si(1 0 0), respectively. The as-deposited films were found to be oxygen-rich amorphous TiO2 for all the substrates as evidenced by X-ray photoemission spectroscopy and X-ray diffraction. Subsequent annealing led to appearance of anatase TiO2 on Si but not on glass. The surface of as-deposited TiO2 on Si was found to be rougher than that on glass. These results suggest that the big difference of electrical resistivity of TiO2 would be related with existence of more anatase nuclei forming on crystalline substrates, which is consistent with the theory of charged clusters that smaller clusters tend to adopt the substrate structure.

  1. Formation of textured microstructure by mist deposition of TiO2 nanoparticles

    International Nuclear Information System (INIS)

    Qin, Gang; Watanabe, Akira

    2013-01-01

    Unique and various textured TiO 2 films have been easily fabricated by mist deposition method on silicon and glass substrates with mild preparation conditions. Two kinds of TiO 2 nanoparticle with different shape, size, and crystal form were used as starting material, which resulted in a simple preparation process under low temperature and ordinary pressure. It was easy to control the thickness, morphology, and roughness of textured TiO 2 film by adjusting the mist deposition conditions such as deposition time, temperature, and the shape and size of nanoparticles. The optical properties of textured TiO 2 films before and after spin coating of Ag nanoparticles were investigated. The angular dependence of the reflectance was obviously reduced by textured TiO 2 surface and such effect was enhanced by Ag nanoparticles coating. A broad plasmon band of Ag grains was observed in the absorption spectrum of the textured Ag nanoparticle-coated TiO 2 film

  2. Initial deposition and electron paramagnetic resonance defects characterization of TiO2 films prepared using successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Wu Yiyong; Shi Yaping; Xu Xianbin; Sun Chengyue

    2012-01-01

    Successive ionic layer adsorption and reaction (SILAR) technique was considered promisingly to deposit ultra thin titanium dioxide (TiO 2 ) films under ambient condition. In this paper, the growth process, structures and paramagnetic defects of the films were characterized by complementary techniques of atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and electron paramagnetic resonance spectroscopy. The results indicate that on glass substrate the SILAR TiO 2 film nucleates in an island mode within the initial five deposition cycles but grows in a layer-by-layer mode afterwards. The growth rate was measured as 4.6 Å/cycle. In the as-deposited films, a kind of paramagnetic defects is detected at g (2.0029) and it can be attributed to oxygen vacancies. These as-received oxygen vacancies could be annealed out at 473 K. Ultraviolet irradiation on the as-deposited films can also decrease the density of the defects. The relative mechanisms on the phenomenon were discussed in this paper. - Highlights: ► TiO 2 films are deposited on glass at 25 °C by successive ionic layer adsorption and reaction method with a rate of 4.6 Å/cycle. ► The films nucleate in an island mode initially but grow in a layer mode afterwards. ► The SILAR TiO 2 films nucleation period is five cycles. ► Electron paramagnetic resonance spectroscopy shows that TiO 2 films paramagnetic defects are attributed to oxygen vacancies. ► They will decrease by anneal or ultraviolet radiation and form hydroxyl or superoxide radicals.

  3. Structural, Electrical and Optical Properties of TiO2 Thin Film Deposited on the Nano Porous Silicon Template

    Science.gov (United States)

    Bahar, Mahmood; Dermani, Ensieh Khalili

    The porous silicon (PSi), which is produced by the electrochemical etching, has been used as a substrate for the growth of the titanium oxide (TiO2) thin films. By using the EBPVD method, TiO2 thin films have been deposited on the surface of the PSi substrate. TiO2/PSi layers were annealed at the temperature of 400∘C, 500∘C and 600∘C for different tests. The morphology and structures of layers were investigated by the scanning electron microscopy (SEM) and X-ray diffraction (XRD). The current-voltage characteristic curves of samples and the ideality factor of heterojunction were studied. The results showed that the electrical properties of the samples change with increase in the annealing temperature. The optical properties of the prepared samples were investigated by using UV-Vis and photoluminescence (PL) spectroscopy. Green light emission of the PSi combined with the blue light and violet-blue emission obtained from the TiO2/PSi PL spectra. The results showed that the optical band gap energy of the PSi has increased from 1.86eV to 2.93eV due to the deposition of TiO2 thin film.

  4. TiO2 and SiC nanostructured films, organized CNT structures

    Indian Academy of Sciences (India)

    sized nanostructured TiO2 films through hydrolysis of titanium tetra-isopropoxide. (TTIP) [9 ... structured TiO2 as a photocatalyst is as follows [15]:. TiO2(ns) ... The deposited films were easily detached from the silica tube and subjected to. SEM.

  5. Polythiophene thin films electrochemically deposited on sol-gel based TiO2 for photovoltaic applications

    International Nuclear Information System (INIS)

    Valaski, R.; Yamamoto, N.A.D.; Canestraro, C.D.; Micaroni, L.; Mello, R.M.Q.; Quirino, W.G.; Legani, C.; Achete, C.A.; Roman, L.S.; Cremona, M.

    2010-01-01

    In this work, the influence of titanium dioxide (TiO 2 ) thin films on the efficiency of organic photovoltaic devices based on electrochemically synthesized polythiophene (PT) was investigated. TiO 2 films were produced by sol-gel methods with controlled thickness. The best TiO 2 annealing condition was determined through the investigation of the temperature influence on the electron charge mobility and resistivity in a range between 723 K and 923 K. The PT films were produced by chronoamperometric method in a 3-electrode cell under a controlled atmosphere. High quality PT films were produced onto 40 nm thick TiO 2 layer previously deposited onto fluorine doped tin oxide (FTO) substrate. The morphology of PT films grown on both substrates and its strong influence on the device performance and PT minimum thickness were also investigated. The maximum external quantum efficiency (IPCE) reached was 9% under monochromatic irradiation (λ = 610 nm; 1 W/m 2 ) that is three orders of magnitude higher than that presented by PT-homolayer devices with similar PT thickness. In addition, the open-circuit voltage (V oc ) was about 700 mV and the short-circuit current density (J sc ) was 0.03 A/m 2 (λ = 610 nm; 7 W/m 2 ). However, as for the PT-homolayer also the TiO 2 /PT based devices are characterized by antibatic response when illuminated through FTO. Finally, the Fill Factor (FF) of these devices is low (25%), indicating that the series resistance (R s ), which is strongly dependent of the PT thickness, is too large. This large R s value is compensated by TiO 2 /PT interface morphology and by FTO/TiO 2 and TiO 2 /PT interface phenomena producing preferential paths in which the internal electrical field is higher, improving the device efficiency.

  6. High-rate deposition of photocatalytic TiO2 films by oxygen plasma assist reactive evaporation method

    International Nuclear Information System (INIS)

    Sakai, Tetsuya; Kuniyoshi, Yuji; Aoki, Wataru; Ezoe, Sho; Endo, Tatsuya; Hoshi, Yoichi

    2008-01-01

    High-rate deposition of titanium dioxide (TiO 2 ) film was attempted using oxygen plasma assisted reactive evaporation (OPARE) method. Photocatalytic properties of the film were investigated. During the deposition, the substrate temperature was fixed at 400 deg. C. The film deposition rate can be increased by increasing the supply of titanium atoms to the substrate, although oversupply of the titanium atoms causes oxygen deficiency in the films, which limits the deposition rate. The film structure depends strongly on the supply ratio of oxygen molecules to titanium atoms O 2 /Ti and changes from anatase to rutile structure as the O 2 /Ti supply ratio increased. Consequently, the maximum deposition rates of 77.0 nm min -1 and 145.0 nm min -1 were obtained, respectively, for the anatase and rutile film. Both films deposited at such high rates showed excellent hydrophilicity and organic decomposition performance. Even the film with rutile structure deposited at 145.0 nm min -1 had a contact angle of less than 2.5 deg. by UV irradiation for 5.0 h and an organics-decomposition performance index of 8.9 [μmol l -1 min -1 ] for methylene blue

  7. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    Directory of Open Access Journals (Sweden)

    Jing Guo

    2018-01-01

    Full Text Available This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2 pigment powders by extremely thin aluminum oxide (Al2O3 films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development.

  8. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  9. Enhanced Optical and Electrical Properties of TiO_2 Buffered IGZO/TiO_2 Bi-Layered Films

    International Nuclear Information System (INIS)

    Moon, Hyun-Joo; Kim, Daeil

    2016-01-01

    In and Ga doped ZnO (IGZO, 100-nm thick) thin films were deposited by radio frequency magnetron sputtering without intentional substrate heating on a bare glass substrate and a TiO_2-deposited glass substrate to determine the effect of the thickness of a thin TiO_2 buffer layer on the structural, optical, and electrical properties of the films. The thicknesses of the TiO_2 buffer layers were 5, 10 and 15 nm, respectively. As-deposited IGZO films with a 10 nm-thick TiO_2 buffer layer had an average optical transmittance of 85.0% with lower resistivity (1.83×10-2 Ω cm) than that of IGZO single layer films. The figure of merit (FOM) reached a maximum of 1.44×10-4 Ω-1 for IGZO/10 nm-thick TiO_2 bi-layered films, which is higher than the FOM of 6.85×10-5 Ω-1 for IGZO single layer films. Because a higher FOM value indicates better quality transparent conducting oxide (TCO) films, the IGZO/10 nm-thick TiO_2 bi-layered films are likely to perform better in TCO applications than IGZO single layer films.

  10. A chemical route to room-temperature synthesis of nanocrystalline TiO2 thin films

    International Nuclear Information System (INIS)

    Pathan, Habib M.; Kim, Woo Young; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    A lot of methods are developed for the deposition of TiO 2 thin films; however, in each of these methods as-deposited films are amorphous and need further heat treatment at high temperature. In the present article, a chemical bath deposition (CBD) method was used for the preparation of TiO 2 thin films. We investigated nanocrystalline TiO 2 thin films using CBD at room temperature onto glass and ITO coated glass substrate. The films were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), and high-resolution transmission electron microscopy (HRTEM) techniques. The chemically synthesized films were nanocrystalline and composed of crystal grains of 2-3 nm

  11. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    International Nuclear Information System (INIS)

    Mohanty, P.; Kabiraj, D.; Mandal, R.K.; Kulriya, P.K.; Sinha, A.S.K.; Rath, Chandana

    2014-01-01

    TiO 2 thin films deposited by electron beam evaporation technique annealed in either O 2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O 2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O 2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford’s backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O 2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O 2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O 2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO 2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]). - Highlights: • TiO 2 films were deposited by e-beam evaporation technique and post annealed under O 2 /Ar at 500 °C. • The pristine film shows SPM behavior where as O 2 and Ar annealed films demonstrate RTFM. • The presence of magnetic impurities has been discarded by various characterization techniques. • The magnetic moment is found to be higher in O 2 annealed film than the Ar annealed one. • The higher M s in O 2 annealed film is attributed to oxygen vacancies as well as crystallinity

  12. Influence of annealing temperature on the structural, mechanical and wetting property of TiO2 films deposited by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Pradhan, Swati S.; Sahoo, Sambita; Pradhan, S.K.

    2010-01-01

    TiO 2 films have been deposited on silicon substrates by radio frequency magnetron sputtering of a pure Ti target in Ar/O 2 plasma. The TiO 2 films deposited at room temperature were annealed for 1 h at different temperatures ranging from 400 o C to 800 o C. The structural, morphological, mechanical properties and the wetting behavior of the as deposited and annealed films were obtained using Raman spectroscopy, atomic force microscopy, transmission electron microscopy, nanoindentation and water contact angle (CA) measurements. The as deposited films were amorphous, and the Raman results showed that anatase phase crystallization was initiated at annealing temperature close to 400 o C. The film annealed at 400 o C showed higher hardness than the film annealed at 600 o C. In addition, the wettability of film surface was enhanced with an increase in annealing temperature from 400 o C to 800 o C, as revealed by a decrease in water CA from 87 o to 50 o . Moreover, the water CA of the films obtained before and after UV light irradiation revealed that the annealed films remained more hydrophilic than the as deposited film after irradiation.

  13. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  14. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  15. Performance of Erbium-doped TiO2 thin film grown by physical vapor deposition technique

    Science.gov (United States)

    Lahiri, Rini; Ghosh, Anupam; Dwivedi, Shyam Murli Manohar Dhar; Chakrabartty, Shubhro; Chinnamuthu, P.; Mondal, Aniruddha

    2017-09-01

    Undoped and Erbium-doped TiO2 thin films (Er:TiO2 TFs) were fabricated on the n-type Si substrate using physical vapour deposition technique. Field emission scanning electron microscope showed the morphological change in the structure of Er:TiO2 TF as compared to undoped sample. Energy dispersive X-ray spectroscopy (EDX) confirmed the Er doping in the TiO2 thin film (TF). The XRD and Raman spectrum showed the presence of anatase phase TiO2 and Er2O3 in the Er:TiO2 TF. The Raman scattering depicted additional number of vibrational modes for Er:TiO2 TF due to the presence of Er as compared to the undoped TiO2 TF. The UV-Vis absorption measurement showed that Er:TiO2 TF had approximately 1.2 times more absorption over the undoped TiO2 TF in the range of 300-400 nm. The main band transition, i.e., the transition between the oxygen (2p) state and the Ti (3d) state was obtained at 3.0 eV for undoped TiO2 and at 3.2 eV for Er:TiO2 TF, respectively. The photo responsivity measurement was done on both the detectors, where Er:TiO2 TF detector showed better detectivity ( D *), noise equivalent power and temporal response as compared to undoped detector under ultra-violet illumination.

  16. Preparation of anatase TiO2 thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Miyata, Toshihiro; Tsukada, Satoshi; Minami, Tadatsugu

    2006-01-01

    Anatase titanium dioxide (TiO 2 ) thin films with high photocatalytic activity have been prepared with deposition rates as high as 16 nm/min by a newly developed vacuum arc plasma evaporation (VAPE) method using sintered TiO 2 pellets as the source material. Highly transparent TiO 2 thin films prepared at substrate temperatures from room temperature to 400 deg. C exhibited photocatalytic activity, regardless whether oxygen (O 2 ) gas was introduced during the VAPE deposition. The highest photocatalytic activity and photo-induced hydrophilicity were obtained in anatase TiO 2 thin films prepared at 300 deg. C, which correlated to the best crystallinity of the films, as evidenced from X-ray diffraction. In addition, a transparent and conductive anatase TiO 2 thin film with a resistivity of 2.6 x 10 -1 Ω cm was prepared at a substrate temperature of 400 deg. C without the introduction of O 2 gas

  17. Structural study of TiO2-based transparent conducting films

    International Nuclear Information System (INIS)

    Hitosugi, T.; Yamada, N.; Nakao, S.; Hatabayashi, K.; Shimada, T.; Hasegawa, T.

    2008-01-01

    We have investigated microscopic structures of sputter and pulsed laser deposited (PLD) anatase Nb-doped TiO 2 transparent conducting films, and discuss what causes the degradation of resistivity in sputter-deposited films. Cross-sectional transmission electron microscope and polarized optical microscope images show inhomogeneous intragrain structures and small grains of ∼10 μm in sputter-deposited films. From comparison with PLD films, these results suggest that homogeneous film growth is the important factor to obtain highly conducting sputter-deposited film

  18. Low-temperature sputtering of crystalline TiO2 films

    International Nuclear Information System (INIS)

    Musil, J.; Herman, D.; Sicha, J.

    2006-01-01

    This article reports on the investigation of reactive magnetron sputtering of transparent, crystalline titanium dioxide films. The aim of this investigation is to determine a minimum substrate surface temperature T surf necessary to form crystalline TiO 2 films with anatase structure. Films were prepared by dc pulsed reactive magnetron sputtering using a dual magnetron operating in bipolar mode and equipped with Ti(99.5) and ceramic Ti 5 O 9 targets. The films were deposited on unheated glass substrates and their structure was characterized by x-ray diffraction and surface morphology by atomic force microscopy. Special attention is devoted to the measurement of T surf using thermostrips pasted to the glass substrate. It was found that (1) T surf is considerably higher (approximately by 100 deg. C or more) than the substrate temperature T s measured by the thermocouple incorporated into the substrate holder and (2) T surf strongly depends on the substrate-to-target distance d s-t , the magnetron target power loading, and the thermal conductivity of the target and its cooling. The main result of this study is the finding that (1) the crystallization of sputtered TiO 2 films depends not only on T surf but also on the total pressure p T of sputtering gas (Ar+O 2 ), partial pressure of oxygen p O 2 , the film deposition rate a D , and the film thickness h (2) crystalline TiO 2 films with well developed anatase structure can be formed at T surf =160 deg. C and low values of a D ≅5 nm/min (3) the crystalline structure of TiO 2 film gradually changes from (i) anatase through (ii) anatase+rutile mixture, and (iii) pure rutile to x-ray amorphous structure at T surf =160 deg. C and p T =0.75 Pa when p O 2 decreases and a D increases above 5 nm/min, and (4) crystallinity of the TiO 2 films decreases with decreasing h and T surf . Interrelationships between the structure of TiO 2 film, its roughness, T surf , and a D are discussed in detail. Trends of next development are

  19. Electrophoretic deposition of nanocrystalline TiO2 films on Ti substrates for use in flexible dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Tan Weiwei; Yin Xiong; Zhou Xiaowen; Zhang Jingbo; Xiao Xurui; Lin Yuan

    2009-01-01

    Nanocrystalline TiO 2 films were prepared on flexible Ti-metal sheets by electrophoretic deposition followed by chemical treatment with tetra-n-butyl titanate (TBT) and sintering at 450 deg. C. X-ray diffraction (XRD) analysis indicates that TBT treatment led to the formation of additional anatase TiO 2 , which plays an important role in improving the interconnection between TiO 2 particles, as well as the adherence of the film to the substrate, and in modifying the surface properties of the nanocrystalline particles. The effect of TBT treatment on the electron transport in the nanocrystalline films was studied by intensity-modulated photocurrent spectroscopy (IMPS). An increase in the conversion efficiency was obtained for the dye-sensitized solar cells with TBT-treated nanocrystalline TiO 2 films. The cell performance was further optimized by designing nanocrystalline TiO 2 films with a double-layer structure composed of a light-scattering layer and a transparent layer. The light-scattering effect of the double-layer nanocrystalline films was evaluated by diffuse reflectance spectra. Employing the double-layer nanocrystalline films as the photoelectrodes resulted in a significant improvement in the incident photo-to-current conversion efficiency of the corresponding cells due to enhanced solar absorption by light scattering. A high conversion efficiency of 6.33% was measured under illumination with 100 mW cm -2 (AM 1.5) simulated sunlight.

  20. Effect of precursor concentration and film thickness deposited by layer on nanostructured TiO2 thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Sol-gel spin coating method is used in the production of nanostructured TiO2 thin film. The surface topology and morphology was observed using the Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscopy (FESEM). The electrical properties were investigated by using two probe current-voltage (I-V) measurements to study the electrical resistivity behavior, hence the conductivity of the thin film. The solution concentration will be varied from 14.0 to 0.01wt% with 0.02wt% interval where the last concentration of 0.02 to 0.01wt% have 0.01wt% interval to find which concentrations have the highest conductivity then the optimized concentration's sample were chosen for the thickness parameter based on layer by layer deposition from 1 to 6 layer. Based on the result, the lowest concentration of TiO2, the surface becomes more uniform and the conductivity will increase. As the result, sample of 0.01wt% concentration have conductivity value of 1.77E-10 S/m and will be advanced in thickness parameter. Whereas in thickness parameter, the 3layer deposition were chosen as its conductivity is the highest at 3.9098E9 S/m.

  1. Development of TiO2 containing hardmasks through PEALD deposition

    Science.gov (United States)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hao; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-03-01

    With the increasing prevalence of complex device integration schemes, tri layer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination, and are limited in their ability to scale down thickness without comprising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of PEALD deposited TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a tri layer scheme patterned with PEALD based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited vs a spin-on metal hardmask.

  2. EGFET pH Sensor Performance Dependence on Sputtered TiO2 Sensing Membrane Deposition Temperature

    Directory of Open Access Journals (Sweden)

    Khairul Aimi Yusof

    2016-01-01

    Full Text Available Titanium dioxide (TiO2 thin films were sputtered by radio frequency (RF magnetron sputtering method and have been employed as the sensing membrane of an extended gate field effect transistor (EGFET for pH sensing detection application. The TiO2 thin films were deposited onto indium tin oxide (ITO coated glass substrates at room temperature and 200°C, respectively. The effect of deposition temperature on thin film properties and pH detection application was analyzed. The TiO2 samples used as the sensing membrane for EGFET pH-sensor and the current-voltage (I-V, hysteresis, and drift characteristics were examined. The sensitivity of TiO2 EGFET sensing membrane was obtained from the transfer characteristic (I-V curves for different substrate heating temperatures. TiO2 thin film sputtered at room temperature achieved higher sensitivity of 59.89 mV/pH compared to the one deposited at 200°C indicating lower sensitivity of 37.60 mV/pH. Moreover the hysteresis and the drift of TiO2 thin film deposited at room temperature showed lower values compared to the one at 200°C. We have also tested the effect of operating temperature on the performance of the EGFET pH-sensing and found that the temperature effect was very minimal.

  3. Phase transformations in sputter-deposited W-doped TiO2 films during annealing in air

    International Nuclear Information System (INIS)

    Saladukhin, I. A.; Abadias, G.

    2013-01-01

    Pure and tungsten-doped TiO 2 films are characterized as amorphous in the as-deposited state by XRD. A crystallization of titanium dioxide occurs during their annealing in air. Depending on the tungsten and nitrogen doping level, anatase or rutile phase formation is observed. Both of these phases are thermally stable in all interval of the temperatures used during annealing. Phase composition and lattice parameter analysis indicates on the formation of substitutional Ti 1 -xW x O 2 films. N-doped Ti 0 .75W 0 .25O 2 film is more resistant against high-temperature oxidation as compared to Ti 0 .74W 0 .26O 2 film and, especially, as compared to Ti 0 .60W 0 .40O 2 film. (authors)

  4. Production of TiO2 films with bactericidal properties deposited on paper substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lisboa, A.J.T.; Vasconcelos, J.S.; Vasconcelos, A.C.S.; Vasconcelos, N.S.L.S.; Rangel, J.H.G.; Oliveira, M.M.O. [Universidade Federal do Maranha (UFMA), MA (Brazil); Longo, E.; Varela, J. A. [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), SP (Brazil)

    2014-07-01

    The main objective of this work was to obtain anatase-phase titanium oxide films deposited on paper substrates, using the polymeric precursor (Pechini) method. The oxide was mixed with a polyvinyl alcohol (PVA) solution and deposited on a paper substrate. The samples were then characterized by X-ray diffraction (XRD), field emission gun scanning electron microscopy (FEG-SEM), and energy dispersive spectroscopy (EDS), to check their surface phase. Bactericidal assays using Staphylococcus aureus and Escherichia coli bacteria for the anatase TiO2 film deposited on paper substrate indicated that the method was efficient, since the bacteria were eliminated after a given exposure time. However, the method proved to be more efficient when exposing samples contaminated with E. coli to UV irradiation for 30 and 45 min and then to sunlight for 90 min, since this resulted in the elimination of all the bacteria. (author)

  5. Production of TiO2 films with bactericidal properties deposited on paper substrate

    International Nuclear Information System (INIS)

    Lisboa, A.J.T.; Vasconcelos, J.S.; Vasconcelos, A.C.S.; Vasconcelos, N.S.L.S.; Rangel, J.H.G.; Oliveira, M.M.O.; Longo, E.; Varela, J. A.

    2014-01-01

    The main objective of this work was to obtain anatase-phase titanium oxide films deposited on paper substrates, using the polymeric precursor (Pechini) method. The oxide was mixed with a polyvinyl alcohol (PVA) solution and deposited on a paper substrate. The samples were then characterized by X-ray diffraction (XRD), field emission gun scanning electron microscopy (FEG-SEM), and energy dispersive spectroscopy (EDS), to check their surface phase. Bactericidal assays using Staphylococcus aureus and Escherichia coli bacteria for the anatase TiO2 film deposited on paper substrate indicated that the method was efficient, since the bacteria were eliminated after a given exposure time. However, the method proved to be more efficient when exposing samples contaminated with E. coli to UV irradiation for 30 and 45 min and then to sunlight for 90 min, since this resulted in the elimination of all the bacteria. (author)

  6. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  7. Sol-Gel TiO2 thin films sensitized with the mulberry pigment cyanidin

    Directory of Open Access Journals (Sweden)

    Emerson Henrique de Faria

    2007-12-01

    Full Text Available TiO2 films have various applications, among them solar cells and photodegradation of pollutants. In this study, we investigated TiO2 films functionalized with the organic dye cyanidin extracted from black mulberry (Morus nigra. The TiO2 was functionalized by the sol-gel method and the film was deposited on glass substrates by dip-coating. Our aim was to investigate the interaction between the semiconductor and the dye, as well as the influence of the velocity and number of deposits on the characteristics of the film. Using ultraviolet-visible spectroscopy, we observed a shift from the maximum absorption band at 545 nm for the dye’s ethanol solution to 595 nm for the film, indicating interaction of the cyanidin with the TiO2. The absorption spectra in the infrared region of the functionalized TiO2 particles showed bands characteristic of the oxide and indicated their interaction with the dye. Using profilometry and m-line techniques, we found that the films presented thicknesses in the order of 100 nm. A SEM analysis confirmed the high density of the films.

  8. The photocatalytic application and regeneration of anatase thin films with embedded commercial TiO2 particles deposited on glass microrods

    International Nuclear Information System (INIS)

    Medina-Valtierra, Jorge; Garcia-Servin, Josafat; Frausto-Reyes, Claudio; Calixto, Sergio

    2006-01-01

    Anatase thin films ( 2 were prepared by sol-gel method. TiO 2 -anatase thin films were deposited on a fiberglass substrate and then ground to obtain glass microrods containing the composite films. The film structure was characterized using Raman spectroscopy, atomic absorption and UV-vis spectrophotometry, and atomic force microscopy. The photocatalytic activity of the composite films, calcined at 450 deg. C, and the regeneration of the activity under the same experimental conditions, were assessed using gas chromatography to study the photodegradation of phenol, an industrial pollutant, in water under 365 nm irradiation. The film with 15.0 wt.% of P25 TiO 2 was found to be more photoactive (54 ppm of degraded phenol at 6 h of illumination) than the other ones

  9. Structural, morphological and local electric properties of TiO2 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gyoergy, E; Pino, A Perez del; Sauthier, G; Figueras, A; Alsina, F; Pascual, J

    2007-01-01

    Titanium dioxide (TiO 2 ) thin films were synthesized on (1 0 0) Si substrates by reactive pulsed laser deposition (PLD) technique. A frequency quadrupled Nd : YAG (λ = 266 nm, τ FWHM ≅ 5 ns, ν = 10 Hz) laser source was used for the irradiations of metallic Ti targets. The experiments were performed in controlled oxygen atmosphere. Crystallinity, surface morphology and local electric properties of the obtained oxide thin films were investigated by x-ray diffractometry, micro-Raman spectroscopy and current sensing atomic force microscopy. An inter-relation was found between the surface morphology, the crystalline structure and the nano-scale electric properties which open the possibility of synthesizing by the PLD technique TiO 2 thin films with tunable functional properties for future applications such as photocatalysts, gas sensors or solar energy converters

  10. Crystal Structure And Optical Properties Of TiO2 Thin Films Prepared By Reactive RF Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Goto S.

    2015-06-01

    Full Text Available In sputtering deposition process of TiO2, metal Ti or sintered TiO2 target is used as deposition source. In this study, we have compared the characteristic of target materials. When TiO2 target was used, stoichiometric TiO2 films was deposited under the Ar atmosphere containing 1.0% of oxygen. The highest sputtering rate under this atmosphere was 3.9nm/min at 3.4W/cm2. But, sintered TiO2 target is fragile and cannot endure higher density of input power than 3.4W/cm2. On the other hand, Ti target needs higher oxygen concentration (8% in sputtering gas atmosphere for obtaining rutile/anatase. Even though Ti target can be input twice power density of 7.9W/cm2, the highest deposition rate for Ti target was 1.4/nm, which was ~35% of the highest rate for TiO2 target. Then we have study out the composite target consisting of Ti plate and TiO2 chips. Using the composite target, stoichiometric TiO2 films were prepared in the rate of 9.6nm/min at 6.8 W/cm2 under the atmosphere of Ar/2.5%O2. Furthermore, we have found that the TiO2 films obtained from the composite target consisted of about 100% anatase, whereas TiO2 films obtained from other target have rutile dominant structure. The optical band gap energy of the film is determined by using the Tauc plot. The calculated band gap energies for the films deposited by Ti target and composite target were 2.95 and 3.24eV, which are equivalent to that of rutile and anatase structure, respectively.

  11. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    NARCIS (Netherlands)

    Guo, J.; Bui, H.V.; Valdesueiro Gonzalez, D.; Yuan, Shaojun; Liang, Bin; van Ommen, J.R.

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on

  12. Visible photoenhanced current-voltage characteristics of Au : TiO2 nanocomposite thin films as photoanodes

    International Nuclear Information System (INIS)

    Naseri, N; Amiri, M; Moshfegh, A Z

    2010-01-01

    In this investigation, the effect of annealing temperature and concentration of gold nanoparticles on the photoelectrochemical properties of sol-gel deposited Au : TiO 2 nanocomposite thin films is studied. Various gold concentrations have been added to the TiO 2 thin films and their properties are compared. All the deposited samples are annealed at different temperatures. The optical density spectra of the films show the formation of gold nanoparticles in the films. The optical bandgap energy of the Au : TiO 2 films decreases with increasing Au concentration. The crystalline structure of the nanocomposite films is studied by x-ray diffractometry indicating the formation of gold nanocrystals in the anatase TiO 2 nanocrystalline thin films. X-ray photoelectron spectroscopy reveals that the presence of gold in the metallic state and the formation of TiO 2 are stoichiometric. The photoelectrochemical properties of the Au : TiO 2 samples are characterized using a compartment cell containing H 2 SO 4 and KOH as cathodic and anodic electrolytes, respectively. It is found that the addition of Au nanoparticles in TiO 2 films enhances the photoresponse of the layer and the addition of gold nanocrystals with an optimum value of 5 mol% resulted in the highest photoelectrochemical activity. Moreover, the photoresponse of the samples is also enhanced with an increase in the annealing temperature.

  13. TiO2 thin film growth using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M.I.B.

    2001-01-01

    Full Text Available Titanium oxide (TiO2 thin films were obtained using the MOCVD method. In this report we discuss the properties of a film, produced using a ordinary deposition apparatus, as a function of the deposition time, with constant deposition temperature (90 °C, oxygen flow (7,0 L/min and substrate temperature (400 °C. The films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and visible and ultra-violet region spectroscopy (UV-Vis. The films deposited on Si (100 substrates showed the anatase polycrystalline phase, while the films grown on glass substrates showed no crystallinity. Film thickness increased with deposition time as expected, while the transmittance varied from 72 to 91% and the refractive index remained close to 2.6.

  14. Influence of substrate temperature and silver-doping on the structural and optical properties of TiO_2 films

    International Nuclear Information System (INIS)

    Fischer, Dieter

    2016-01-01

    Evaporation of titanium together with activated oxygen is used to grow TiO_2 films and simultaneously with silver to grow Ag–TiO_2 films (5 at.% Ag) onto sapphire substrates at three different substrate temperatures: − 190, 30, and 200 °C. The obtained films were characterized by X-ray powder diffraction, Raman, X-ray photoelectron, ultraviolet–visible spectroscopy, and transmission electron microscope investigations. The properties of TiO_2 films varied with the substrate temperature. Amorphous, transparent TiO_2 films were grown at − 190 °C and opaque, polycrystalline films at 200 °C, respectively. Surprisingly, at room temperature black, amorphous TiO_2 films are obtained which transform at 350 °C into a mixture of the anatase and brookite polymorph. In the amorphous state of the TiO_2 films a predefined rutile arrangement is suggested by Raman investigations, and the contraction of the lattice constant c of anatase phases (tetragonal, space group I 4_1/amd) depending on the substrate temperature is experimentally observed. The silver-doped TiO_2 films deposited at − 190 and 30 °C contain Ag-particles with 2 nm in size inside the TiO_2 matrix, which after annealing segregate under increasing particle sizes. The silver-doping stabilizes the anatase polymorph and yields to reduced titanium species in the films especially during deposition at 30 °C. The Ag–TiO_2 films deposited at − 190 °C are transparent up to 350 °C. In the undoped as well as silver-doped TiO_2 films the rutile polymorph is directly formed at 200 °C as main phase. - Highlights: • At room temperature black, amorphous TiO_2 films are obtained. • A predefined rutile arrangement is suggested in amorphous TiO_2 films. • Annealed TiO_2 films crystallize to a mixture of the anatase and brookite polymorph. • In TiO_2 and Ag-doped TiO_2 films the rutile polymorph is directly formed at 200 °C. • Ag-doped TiO_2 films stabilize the anatase polymorph and reduced titanium

  15. In-situ co-doping of sputter-deposited TiO2:WN films for the development of photoanodes intended for visible-light electro-photocatalytic degradation of emerging pollutants

    Science.gov (United States)

    Delegan, N.; Pandiyan, R.; Komtchou, S.; Dirany, A.; Drogui, P.; El Khakani, M. A.

    2018-05-01

    We report on the magnetron sputtering deposition of in-situ codoped TiO2:WN films intended for electro-photocatalytic (EPC) applications under solar irradiation. By varying the RF-magnetron sputtering deposition parameters, we were able to tune the in-situ incorporation of both N and W dopants in the TiO2 films over a wide concentration range (i.e., 0-9 at. % for N and 0-3 at. % for W). X-ray photoelectron spectroscopy analysis revealed that both dopants are mostly of a substitutional nature. The analysis of the UV-Vis transmission spectra of the films confirmed that the optical bandgap of both TiO2:N and TiO2:WN films can be significantly narrowed (from 3.2 eV for undoped-TiO2 down to ˜2.3 eV for the doped ones) by tuning their dopant concentrations. We were thus able to pinpoint an optimal window for both dopants (N and W) where the TiO2:WN films exhibit the narrowest bandgap. Moreover, the optimal codoping conditions greatly reduce the recombination defect state density compared to the monodoped TiO2:N films. These electronically passivated TiO2:WN films are shown to be highly effective for the EPC degradation of atrazine (pesticide pollutant) under sunlight irradiation (93% atrazine degraded after only 30 min of EPC treatment). Indeed, the optimally codoped TiO2:WN photoanodes were found to be more efficient than both the undoped-TiO2 and equally photosensitized TiO2:N photoanodes (by ˜70% and ˜25%, respectively) under AM1.5 irradiation.

  16. Thin TiO2 films deposited by implantation and sputtering in RF inductively coupled plasmas

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2012-01-01

    The achievement of titanium dioxide (TiO 2 ) thin films in the rutile crystalline phase is reported. The samples result from the implantation of oxygen ions of Ti in argon/oxygen plasma generated by inductively coupled RF at a commercial 13.56 MHz frequency. Simultaneously, a sputtering process is conducted on the titanium target in order to produce TiO 2 thin films in the anatase phase over silicon and glass substrates. Both implantation and sputtering processes shared the same 500 W plasma with the target, polarized between 0 and -3 kV. The substrates were placed between 2 and 3 cm from the target, this distance being found to be determinant of the TiO 2 deposition rate. The rutile phase in the target was obtained at temperatures in the order of 680 degrees C and the anatase (unbiased) one at about 300 degrees C without any auxiliary heating. The crystalline phases were characterized by x ray diffraction and Raman spectroscopy. The morphology and average roughness were established by means of scanning electronic and atomic force microscopy, whereas the reaction products generated during the oxidation process were analyzed by mass spectrometry. Finally, the stoichiometric composition was measured by means of X-ray photoelectron spectroscopy.

  17. Synthesis of photosensitive nanograined TiO2 thin films by SILAR method

    International Nuclear Information System (INIS)

    Patil, U.M.; Gurav, K.V.; Joo, Oh-Shim; Lokhande, C.D.

    2009-01-01

    Nanocrystalline TiO 2 thin films are deposited by simple successive ionic layer adsorption and reaction (SILAR) method on glass and fluorine-doped tin oxide (FTO)-coated glass substrate from aqueous solution. The as-deposited films are heat treated at 673 K for 2 h in air. The change in structural, morphological and optical properties are studied by means of X-ray diffraction (XRD), selected area electron diffraction (SAED), scanning electron microscopy (SEM), Fourier transform infrared (FTIR), transmission electron microscopy (TEM) and UV-vis-NIR spectrophotometer. The results show that the SILAR method allows the formation of anatase, nanocrystalline, and porous TiO 2 thin films. The heat-treated film showed conversion efficiency of 0.047% in photoelectrochemical cell with 1 M NaOH electrolyte.

  18. Growth and characterization of nitrogen-doped TiO2 thin films prepared by reactive pulsed laser deposition

    International Nuclear Information System (INIS)

    Sauthier, G.; Ferrer, F.J.; Figueras, A.; Gyoergy, E.

    2010-01-01

    Nitrogen-doped titanium dioxide (TiO 2 ) thin films were grown on (001) SiO 2 substrates by reactive pulsed laser deposition. A KrF* excimer laser source (λ = 248 nm, τ FWHM ≅ 10 ns, ν = 10 Hz) was used for the irradiations of pressed powder targets composed by both anatase and rutile phase TiO 2 . The experiments were performed in a controlled reactive atmosphere consisting of oxygen or mixtures of oxygen and nitrogen gases. The obtained thin film crystal structure was investigated by X-ray diffraction, while their chemical composition as well as chemical bonding states between the elements were studied by X-ray photoelectron spectroscopy. An interrelation was found between nitrogen concentration, crystalline structure, bonding states between the elements, and the formation of titanium oxinitride compounds. Moreover, as a result of the nitrogen incorporation in the films a continuous red-shift of the optical absorption edge accompanied by absorption in the visible spectral range between 400 and 500 nm wavelength was observed.

  19. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    Science.gov (United States)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  20. The influence of metal interlayers on the structural and optical properties of nano-crystalline TiO 2 films

    KAUST Repository

    Yang, Yong

    2012-03-01

    TiO 2-M-TiO 2 (M = W, Co and Ag) multilayer films have been deposited on glass substrates using reactive magnetron sputtering, then annealed in air for 2 h at 500°C. The structure, surface morphology and optical properties of the films have been studied using X-ray diffraction, Raman spectroscopy, atomic force microscopy and UV-vis spectroscopy. The TiO 2-W-TiO 2 and TiO 2-Co-TiO 2 films showed crystalline phases, whereas the TiO 2-Ag-TiO 2 films remained in the amorphous state. The crystallization temperature for the TiO 2-M-TiO 2 films decreased significantly compared with pure TiO 2 film deposited on quartz. Detailed analysis of the Raman spectra suggested that the crystallization of TiO 2-M-TiO 2 films was associated with the large structural deformation imposed by the oxidation of intermediate metal layers. Moreover, the optical band gap of the films narrowed due to the appearance of impurity levels as the metal ions migrated into the TiO 2 matrix. These results indicate that the insertion of intermediate metal layers provides a feasible access to improve the structural and optical properties of anatase TiO 2 films, leading to promising applications in the field of photocatalysis. © 2011 Elsevier B.V. All rights reserved.

  1. Nano structured TiO2 thin films by polymeric precursor method

    International Nuclear Information System (INIS)

    Stroppa, Daniel Grando; Giraldi, Tania Regina; Leite, Edson Roberto; Varela, Jose Arana; Longo, Elson

    2008-01-01

    This work focuses in optimizing setup for obtaining TiO 2 thin films by polymeric precursor route due to its advantages on stoichiometric and morphological control. Precursor stoichiometry, synthesis pH, solids concentration and rotation speed at deposition were optimized evaluating thin films morphology and thickness. Thermogravimetry and NMR were applied for precursor's characterization and AFM, XRD and ellipsometry for thin films evaluation. Results showed successful attainment of homogeneous nanocrystalline anatase TiO 2 thin films with outstanding control over morphological characteristics, mean grain size of 17 nm, packing densities between 57 and 75%, estimated surface areas of 90 m 2 /g and monolayers thickness within 20 and 128 nm. (author)

  2. The Photocatalytic Activity and Compact Layer Characteristics of TiO2 Films Prepared Using Radio Frequency Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    H. C. Chang

    2014-01-01

    Full Text Available TiO2 compact layers are used in dye-sensitized solar cells (DSSCs to prevent charge recombination between the electrolyte and the transparent conductive substrate (indium tin oxide, ITO; fluorine-doped tin oxide, FTO. Thin TiO2 compact layers are deposited onto ITO/glass by means of radio frequency (rf magnetron sputtering, using deposition parameters that ensure greater photocatalytic activity and increased DSSC conversion efficiency. The photoinduced decomposition of methylene blue (MB and the photoinduced hydrophilicity of the TiO2 thin films are also investigated. The photocatalytic performance characteristics for the deposition of TiO2 films are improved by using the Grey-Taguchi method. The average transmittance in the visible region exceeds 85% for all samples. The XRD patterns of the TiO2 films, for sol-gel with spin coating of porous TiO2/TiO2 compact/ITO/glass, show a good crystalline structure. In contrast, without the TiO2 compact layer (only porous TiO2, the peak intensity of the anatase (101 plane in the XRD patterns for the TiO2 film has a lower value, which demonstrates inferior crystalline quality. With a TiO2 compact layer to prevent charge recombination, a higher short-circuit current density is obtained. The DSSC with the FTO/glass and Pt counter electrode demonstrates the energy conversion efficiency increased.

  3. Films of brookite TiO2 nanorods/nanoparticles deposited by matrix-assisted pulsed laser evaporation as NO2 gas-sensing layers

    Science.gov (United States)

    Caricato, A. P.; Buonsanti, R.; Catalano, M.; Cesaria, M.; Cozzoli, P. D.; Luches, A.; Manera, M. G.; Martino, M.; Taurino, A.; Rella, R.

    2011-09-01

    Titanium dioxide (TiO2) nanorods in the brookite phase, with average dimensions of 3-4 nm × 20-50 nm, were synthesized by a wet-chemical aminolysis route and used as precursors for thin films that were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. A nanorod solution in toluene (0.016 wt% TiO2) was frozen at the liquid-nitrogen temperature and irradiated with a KrF excimer laser at a fluence of 350 mJ/cm2 and repetition rate of 10 Hz. Single-crystal Si wafers, silica slides, carbon-coated Cu grids and alumina interdigitated slabs were used as substrates to allow performing different characterizations. Films fabricated with 6000 laser pulses had an average thickness of ˜150 nm, and a complete coverage of the selected substrate as achieved. High-resolution scanning and transmission electron microscopy investigations evidenced the formation of quite rough films incorporating individually distinguishable TiO2 nanorods and crystalline spherical nanoparticles with an average diameter of ˜13 nm. Spectrophotometric analysis showed high transparency through the UV-Vis spectral range. Promising resistive sensing responses to 1 ppm of NO2 mixed in dry air were obtained.

  4. Effect of deposition temperature of TiO2 on the piezoelectric property of PbTiO3 film grown by PbO gas phase reaction sputtering

    International Nuclear Information System (INIS)

    Kim, Jiyoon; Kim, Yunseok; Park, Moonkyu; No, Kwangsoo; Hong, Seungbum; Buehlmann, Simon; Kim, Yong Kwan

    2010-01-01

    A 17 nm thick PbTiO 3 (PTO) films were fabricated via PbO gas phase reaction with TiO 2 starting layer in a sputtering chamber. The influence of deposition temperature of TiO 2 on the piezoelectric properties of PTO thin films was investigated. The remnant piezoresponse of PTO films nonlinearly increased as a function of TiO 2 deposition temperature, which is correlated with the increase in average grain diameter of PTO film. As grain size increases, the restriction on remnant piezoresponse imposed by the grain boundary via coupling between local strain and polarization becomes less pronounced, which results in the increase in remnant piezoresponse. Furthermore, we found that the vertical shift in piezoresponse hysteresis loops is closely related to the residual stress state. A strong correlation between the negative vertical shift and the residual tensile stress reveals that residual stress on the resulting PTO film contributed to the asymmetric piezoelectric property.

  5. Nanocrystalline SnO2-TiO2 thin film deposited on base of equilateral prism as an opto-electronic humidity sensor

    Science.gov (United States)

    Yadav, B. C.; Verma, Nidhi; Singh, Satyendra

    2012-09-01

    Present paper reports the synthesis of SnO2-TiO2 nanocomposite, its characterization and performance as opto-electronic humidity sensor. Nanocrystalline SnO2-TiO2 film was deposited on the base of an equilateral prism using a photo resist spinner and the as prepared film was annealed at 200 °C for 2 h. The crystal structure of the prepared film was investigated using X-ray diffraction (XRD). Minimum crystallite size of the material was found 7 nm. Surface morphology of the film was investigated by Scanning electron microscope (SEM LEO-0430, Cambridge). SEM image shows that the film is porous. Differential scanning calorimetry (DSC) of as synthesized material shows two exothermic peaks at about 40 and 110 °C, respectively which are due to the evaporation of chemical impurities and water. Further the prepared film was investigated through the exposure of humidity and relative humidity (%RH) was measured directly in terms of modulation in the intensity of light recorded on a digital power meter. The maximum sensitivity of sensor was found 4.14 μW/%RH, which is quite significant for sensor fabrication purposes.

  6. Cytotoxicity Evaluation of Anatase and Rutile TiO2 Thin Films on CHO-K1 Cells in Vitro

    Directory of Open Access Journals (Sweden)

    Blanca Cervantes

    2016-07-01

    Full Text Available Cytotoxicity of titanium dioxide (TiO2 thin films on Chinese hamster ovary (CHO-K1 cells was evaluated after 24, 48 and 72 h of culture. The TiO2 thin films were deposited using direct current magnetron sputtering. These films were post-deposition annealed at different temperatures (300, 500 and 800 °C toward the anatase to rutile phase transformation. The root-mean-square (RMS surface roughness of TiO2 films went from 2.8 to 8.08 nm when the annealing temperature was increased from 300 to 800 °C. Field emission scanning electron microscopy (FESEM results showed that the TiO2 films’ thickness values fell within the nanometer range (290–310 nm. Based on the results of the tetrazolium dye and trypan blue assays, we found that TiO2 thin films showed no cytotoxicity after the aforementioned culture times at which cell viability was greater than 98%. Independently of the annealing temperature of the TiO2 thin films, the number of CHO-K1 cells on the control substrate and on all TiO2 thin films was greater after 48 or 72 h than it was after 24 h; the highest cell survival rate was observed in TiO2 films annealed at 800 °C. These results indicate that TiO2 thin films do not affect mitochondrial function and proliferation of CHO-K1 cells, and back up the use of TiO2 thin films in biomedical science.

  7. Cytotoxicity Evaluation of Anatase and Rutile TiO2 Thin Films on CHO-K1 Cells in Vitro

    Science.gov (United States)

    Cervantes, Blanca; López-Huerta, Francisco; Vega, Rosario; Hernández-Torres, Julián; García-González, Leandro; Salceda, Emilio; Herrera-May, Agustín L.; Soto, Enrique

    2016-01-01

    Cytotoxicity of titanium dioxide (TiO2) thin films on Chinese hamster ovary (CHO-K1) cells was evaluated after 24, 48 and 72 h of culture. The TiO2 thin films were deposited using direct current magnetron sputtering. These films were post-deposition annealed at different temperatures (300, 500 and 800 °C) toward the anatase to rutile phase transformation. The root-mean-square (RMS) surface roughness of TiO2 films went from 2.8 to 8.08 nm when the annealing temperature was increased from 300 to 800 °C. Field emission scanning electron microscopy (FESEM) results showed that the TiO2 films’ thickness values fell within the nanometer range (290–310 nm). Based on the results of the tetrazolium dye and trypan blue assays, we found that TiO2 thin films showed no cytotoxicity after the aforementioned culture times at which cell viability was greater than 98%. Independently of the annealing temperature of the TiO2 thin films, the number of CHO-K1 cells on the control substrate and on all TiO2 thin films was greater after 48 or 72 h than it was after 24 h; the highest cell survival rate was observed in TiO2 films annealed at 800 °C. These results indicate that TiO2 thin films do not affect mitochondrial function and proliferation of CHO-K1 cells, and back up the use of TiO2 thin films in biomedical science. PMID:28773740

  8. Characterization of TiO2 Thin Films on Glass Substrate Growth Using DC Sputtering Technique

    International Nuclear Information System (INIS)

    Agus Santoso; Tjipto Sujitno; Sayono

    2002-01-01

    It has been fabricated and characterization a TiO 2 thin films deposited on glass substrate using DC sputtering technique. Fabrication of TiO 2 thin films were carried out at electrode voltage 4 kV, sputtering current 5 mA, vacuum pressure 5 x 10 -4 torr, deposition time 150 minutes, and temperature of the substrate were varied from 150 -350 o C, while as a gas sputter was argon. The results was tested their micro structure using SEM, and crystal structure using XRD and found that the crystal structure of TiO 2 powder before deposited on glass substrate was rutile and anatase with orientation (110) and (200) for anatase and (100) and (111) rutile structure. While the crystal structure which deposited at temperature 150 o C and deposition time 2.5 hours was anatase with orientation (001) and (200). (author)

  9. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    Science.gov (United States)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  10. Elaboration of TiO2 films by PECVD for use in photocatalysis

    International Nuclear Information System (INIS)

    Di Lalla, N; Lasorsa, C; Pineda Ramos, P

    2012-01-01

    We present the first results in the production of films of titanium dioxide (TiO 2 ) deposited by Plasma enhanced chemical vapor deposition (PECVD). The films are destined for use in photocatalysis for water treatment. The deposits were made on glass from titanium isopropoxide as precursor (Ti[OCH(CH 3 ) 2 ]4) and a controlled flow of O 2 . The films were grown at room temperature and 300 o C to compare properties. The characterization of the deposits was performed using scanning electron microscopy, UV-visible transmittance and infrared absorbance. The deposits were obtained with very good adhesion to substrates showing energy values of band gap of 2.83 eV

  11. Characteristics of TiO_2/ZnO bilayer film towards pH sensitivity prepared by different spin coating deposition process

    International Nuclear Information System (INIS)

    Rahman, Rohanieza Abdul; Zulkefle, Muhammad Al Hadi; Abdullah, Wan Fazlida Hanim; Rusop, M.; Herman, Sukreen Hana

    2016-01-01

    In this study, titanium dioxide (TiO_2) and zinc oxide (ZnO) bilayer film for pH sensing application will be presented. TiO_2/ZnO bilayer film with different speed of spin-coating process was deposited on Indium Tin Oxide (ITO), prepared by sol-gel method. This fabricated bilayer film was used as sensing membrane for Extended Gate Field-Effect Transistor (EGFET) for pH sensing application. Experimental results indicated that the sensor is able to detect the sensitivity towards pH buffer solution. In order to obtained the result, sensitivity measurement was done by using the EGFET setup equipment with constant-current (100 µA) and constant-voltage (0.3 V) biasing interfacing circuit. TiO_2/ZnO bilayer film which the working electrode, act as the pH-sensitive membrane was connected to a commercial metal-oxide semiconductor FET (MOSFET). This MOSFET then was connected to the interfacing circuit. The sensitivity of the TiO2 thin film towards pH buffer solution was measured by dipping the sensing membrane in pH4, pH7 and pH10 buffer solution. These thin films were characterized by using Field Emission Scanning Electron Microscope (FESEM) to obtain the surface morphology of the composite bilayer films. In addition, I-V measurement was done in order to determine the electrical properties of the bilayer films. According to the result obtained in this experiment, bilayer film that spin at 4000 rpm, gave highest sensitivity which is 52.1 mV/pH. Relating the I-V characteristic of the thin films and sensitivity, the sensing membrane with higher conductivity gave better sensitivity.

  12. Photocathodic Protection of 304 Stainless Steel by Bi2S3/TiO2 Nanotube Films Under Visible Light.

    Science.gov (United States)

    Li, Hong; Wang, Xiutong; Wei, Qinyi; Hou, Baorong

    2017-12-01

    We report the preparation of TiO 2 nanotubes coupled with a narrow bandgap semiconductor, i.e., Bi 2 S 3 , to improve the photocathodic protection property of TiO 2 for metals under visible light. Bi 2 S 3 /TiO 2 nanotube films were successfully synthesized using the successive ionic layer adsorption and reaction (SILAR) method. The morphology and structure of the composite films were studied by scanning electron microscopy and X-ray diffraction, respectively. UV-visible diffuse reflectance spectra were recorded to analyze the optical absorption property of the composite films. In addition, the influence of Bi 2 S 3 deposition cycles on the photoelectrochemical and photocathodic protection properties of the composite films was also studied. Results revealed that the heterostructure comprised crystalline anatase TiO 2 and orthorhombic Bi 2 S 3 and exhibited a high visible light response. The photocurrent density of Bi 2 S 3 /TiO 2 was significantly higher than that of pure TiO 2 under visible light. The sensitization of Bi 2 S 3 enhanced the separation efficiency of the photogenerated charges and photocathodic protection properties of TiO 2 . The Bi 2 S 3 /TiO 2 nanotubes prepared by SILAR deposition with 20 cycles exhibited the optimal photogenerated cathodic protection performance on the 304 stainless steel under visible light.

  13. Photocathodic Protection of 304 Stainless Steel by Bi2S3/TiO2 Nanotube Films Under Visible Light

    Science.gov (United States)

    Li, Hong; Wang, Xiutong; Wei, Qinyi; Hou, Baorong

    2017-01-01

    We report the preparation of TiO2 nanotubes coupled with a narrow bandgap semiconductor, i.e., Bi2S3, to improve the photocathodic protection property of TiO2 for metals under visible light. Bi2S3/TiO2 nanotube films were successfully synthesized using the successive ionic layer adsorption and reaction (SILAR) method. The morphology and structure of the composite films were studied by scanning electron microscopy and X-ray diffraction, respectively. UV-visible diffuse reflectance spectra were recorded to analyze the optical absorption property of the composite films. In addition, the influence of Bi2S3 deposition cycles on the photoelectrochemical and photocathodic protection properties of the composite films was also studied. Results revealed that the heterostructure comprised crystalline anatase TiO2 and orthorhombic Bi2S3 and exhibited a high visible light response. The photocurrent density of Bi2S3/TiO2 was significantly higher than that of pure TiO2 under visible light. The sensitization of Bi2S3 enhanced the separation efficiency of the photogenerated charges and photocathodic protection properties of TiO2. The Bi2S3/TiO2 nanotubes prepared by SILAR deposition with 20 cycles exhibited the optimal photogenerated cathodic protection performance on the 304 stainless steel under visible light.

  14. Magnetic and structural study of Cu-doped TiO2 thin films

    International Nuclear Information System (INIS)

    Torres, C.E. Rodriguez; Golmar, F.; Cabrera, A.F.; Errico, L.; Navarro, A.M. Mudarra; Renteria, M.; Sanchez, F.H.; Duhalde, S.

    2007-01-01

    Transparent pure and Cu-doped (2.5, 5 and 10 at.%) anatase TiO 2 thin films were grown by pulsed laser deposition technique on LaAlO 3 substrates. The samples were structurally characterized by X-ray absorption spectroscopy and X-ray diffraction. The magnetic properties were measured using a SQUID. All films have a FM-like behaviour. In the case of the Cu-doped samples, the magnetic cycles are almost independent of the Cu concentration. Cu atoms are forming CuO and/or substituting Ti in TiO 2 . The thermal treatment in air promotes the CuO segregation. Since CuO is antiferromagnetic, the magnetic signals present in the films could be assigned to Cu substitutionally replacing cations in TiO 2

  15. In vitro corrosion behavior of Ti-O film deposited on fluoride-treated Mg-Zn-Y-Nd alloy

    Energy Technology Data Exchange (ETDEWEB)

    Hou, S.S.; Zhang, R.R. [Materials Research Center, School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450002 (China); Guan, S.K., E-mail: skguan@zzu.edu.cn [Materials Research Center, School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450002 (China); Ren, C.X.; Gao, J.H.; Lu, Q.B.; Cui, X.Z. [Materials Research Center, School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450002 (China)

    2012-02-01

    In this paper, a new composite coating was fabricated on magnesium alloy by a two-step approach, to improve the corrosion resistance and biocompatibility of Mg-Zn-Y-Nd alloy. First, fluoride conversion layer was synthesized on magnesium alloy surface by immersion treatment in hydrofluoric acid and then, Ti-O film was deposited on the preceding fluoride layer by magnetron sputtering. FE-SEM images revealed a smooth and uniform surface consisting of aggregated nano-particles with average size of 100 nm, and a total coating thickness of {approx}1.5 {mu}m, including an outer Ti-O film of {approx}250 nm. The surface EDS and XRD data indicated that the composite coating was mainly composed of crystalline magnesium fluoride (MgF{sub 2}), and non-crystalline Ti-O. Potentiodynamic polarization tests revealed that the composite coated sample have a corrosion potential (E{sub corr}) of -1.60 V and a corrosion current density (I{sub corr}) of 0.17 {mu}A/cm{sup 2}, which improved by 100 mV and reduced by two orders of magnitude, compared with the sample only coated by Ti-O. EIS results showed a polarization resistance of 3.98 k{Omega} cm{sup 2} for the Ti-O coated sample and 0.42 k{Omega} cm{sup 2} for the composite coated sample, giving an improvement of about 100 times. After 72 h immersion in SBF, widespread damage and deep corrosion holes were observed on the Ti-O coated sample surface, while the integrity of composite coating remained well after 7 d. In brief, the data suggested that single Ti-O film on degradable magnesium alloys was apt to become failure prematurely in corrosion environment. Ti-O film deposited on fluoride-treated magnesium alloys might potentially meet the requirements for future clinical magnesium alloy stent application.

  16. In vitro corrosion behavior of Ti-O film deposited on fluoride-treated Mg-Zn-Y-Nd alloy

    International Nuclear Information System (INIS)

    Hou, S.S.; Zhang, R.R.; Guan, S.K.; Ren, C.X.; Gao, J.H.; Lu, Q.B.; Cui, X.Z.

    2012-01-01

    In this paper, a new composite coating was fabricated on magnesium alloy by a two-step approach, to improve the corrosion resistance and biocompatibility of Mg-Zn-Y-Nd alloy. First, fluoride conversion layer was synthesized on magnesium alloy surface by immersion treatment in hydrofluoric acid and then, Ti-O film was deposited on the preceding fluoride layer by magnetron sputtering. FE-SEM images revealed a smooth and uniform surface consisting of aggregated nano-particles with average size of 100 nm, and a total coating thickness of ∼1.5 μm, including an outer Ti-O film of ∼250 nm. The surface EDS and XRD data indicated that the composite coating was mainly composed of crystalline magnesium fluoride (MgF 2 ), and non-crystalline Ti-O. Potentiodynamic polarization tests revealed that the composite coated sample have a corrosion potential (E corr ) of -1.60 V and a corrosion current density (I corr ) of 0.17 μA/cm 2 , which improved by 100 mV and reduced by two orders of magnitude, compared with the sample only coated by Ti-O. EIS results showed a polarization resistance of 3.98 kΩ cm 2 for the Ti-O coated sample and 0.42 kΩ cm 2 for the composite coated sample, giving an improvement of about 100 times. After 72 h immersion in SBF, widespread damage and deep corrosion holes were observed on the Ti-O coated sample surface, while the integrity of composite coating remained well after 7 d. In brief, the data suggested that single Ti-O film on degradable magnesium alloys was apt to become failure prematurely in corrosion environment. Ti-O film deposited on fluoride-treated magnesium alloys might potentially meet the requirements for future clinical magnesium alloy stent application.

  17. Transparent nanostructured Fe-doped TiO2 thin films prepared by ultrasonic assisted spray pyrolysis technique

    Science.gov (United States)

    Rasoulnezhad, Hossein; Hosseinzadeh, Ghader; Ghasemian, Naser; Hosseinzadeh, Reza; Homayoun Keihan, Amir

    2018-05-01

    Nanostructured TiO2 and Fe-doped TiO2 thin films with high transparency were deposited on glass substrate through ultrasonic-assisted spray pyrolysis technique and were used in the visible light photocatalytic degradation of MB dye. The resulting thin films were characterized by scanning electron microscopy (SEM), Raman spectroscopy, photoluminescence spectroscopy, x-ray diffraction (XRD), and UV-visible absorption spectroscopy techniques. Based on Raman spectroscopy results, both of the TiO2 and Fe-doped TiO2 films have anatase crystal structure, however, because of the insertion of Fe in the structure of TiO2 some point defects and oxygen vacancies are formed in the Fe-doped TiO2 thin film. Presence of Fe in the structure of TiO2 decreases the band gap energy of TiO2 and also reduces the electron–hole recombination rate. Decreasing of the electron–hole recombination rate and band gap energy result in the enhancement of the visible light photocatalytic activity of the Fe-doped TiO2 thin film.

  18. Effect of chemisorbed surface species on the photocatalytic activity of TiO2 nanoparticulate films

    International Nuclear Information System (INIS)

    Cao Yaan; Yang Wensheng; Chen Yongmei; Du Hui; Yue, Polock

    2004-01-01

    TiO 2 sols prepared in acidic and basic medium were deposited into films by a spin coating method. Photodegradation experiments showed that photocatalytic activity of the films prepared from acidic sol was much higher than that from basic sol. It is identified that there are more chemisorbed species of CO 2 on the surface of the TiO 2 films from the basic sol than on the surface of the TiO 2 films from the acidic sol. The chemisorbed species of CO 2 reduce the concentration of active species such as hydroxyl group and bridging oxygen on surface of the TiO 2 film and contribute to the formation of surface electron traps in the band gap which are detrimental to charge separation, thus lowering the photocatalytic activity

  19. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    International Nuclear Information System (INIS)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-01-01

    The (Ba, Sr) TiO 3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 deg. C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 deg. C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO 3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO 3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 deg. C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 deg. C. The (Ba, Sr) TiO 3 film deposited at higher temperatures (upwards of 400 deg. C) shows preferred orientation, while the film deposited at 330 deg. C with the 10 nm initial layer shows a preferred orientation on a -oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO 3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO 3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO 3 film on the ruthenium electrode at low temperatures of less than 400 deg. C

  20. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    Science.gov (United States)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-05-01

    The (Ba, Sr) TiO3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 °C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 °C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 °C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 °C. The (Ba, Sr) TiO3 film deposited at higher temperatures (upwards of 400 °C) shows preferred orientation, while the film deposited at 330 °C with the 10 nm initial layer shows a preferred orientation on a -oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO3 film on the ruthenium electrode at low temperatures of less than 400 °C.

  1. Pt Catalyst Supported within TiO2 Mesoporous Films for Oxygen Reduction Reaction

    International Nuclear Information System (INIS)

    Huang, Dekang; Zhang, Bingyan; Bai, Jie; Zhang, Yibo; Wittstock, Gunther; Wang, Mingkui; Shen, Yan

    2014-01-01

    In this study, dispersed Pt nanoparticles into mesoporous TiO 2 thin films are fabricated by a facile electrochemical deposition method as electro-catalysts for oxygen reduction reaction. The mesoporous TiO 2 thin films coated on the fluorine-doped tin oxide glass by screen printing allow a facile transport of reactants and products. The structural properties of the resulted Pt/TiO 2 electrode are evaluated by field emission scanning electron microscopy, energy dispersive X-ray spectrometry, X-ray diffraction, and X-ray photoelectron spectroscopy. Cyclic voltammetry measurements are performed to study the electrochemical properties of the Pt/TiO 2 electrode. Further study demonstrates the stability of the Pt catalyst supported within TiO 2 mesoporous films for the oxygen reduction reaction

  2. Physics properties of TiO_2 films produced by dip-coating technique

    International Nuclear Information System (INIS)

    Teloeken, A.C.; Alves, A.K.; Berutti, F.A.; Tabarelli, A.; Bergmann, C.P.

    2014-01-01

    The use of titanium dioxide (TiO_2) as a photocatalyst to produce hydrogen has been of great interest because of their chemical stability, low cost and non-toxicity. TiO_2 occurs in three different crystal forms: rutile, anatase and brokita. Among these, the anatase phase generally exhibits the best photocatalytic behavior, while the rutile phase is the most stable. Among the various techniques of deposition, dip-coating technique produces films with good photocatalytic properties, using simple and inexpensive equipment. In this work TiO_2 films were obtained by dip-coating. The films were characterized using X-ray diffraction, scanning electron microscopy, profilometry, contact angle measurements and photocurrent. The microstructure and physical properties were evaluated in relation of the temperature and the addition of an additive. (author)

  3. Annealing effect on the structural, morphological and electrical properties of TiO2/ZnO bilayer thin films

    Science.gov (United States)

    Khan, M. I.; Imran, S.; Shahnawaz; Saleem, Muhammad; Ur Rehman, Saif

    2018-03-01

    The effect of annealing temperature on the structural, morphological and electrical properties of TiO2/ZnO (TZ) thin films has been observed. Bilayer thin films of TiO2/ZnO are deposited on FTO glass substrate by spray pyrolysis method. After deposition, these films are annealed at 573 K, 723 K and 873 K. XRD shows that TiO2 is present in anatase phase only and ZnO is present in hexagonal phase. No other phases of TiO2 and ZnO are present. Also, there is no evidence of other compounds like Zn-Ti etc. It also shows that the average grain size of TiO2/ZnO films is increased by increasing annealing temperature. AFM (Atomic force microscope) showed that the average roughness of TiO2/ZnO films is decreased at temperature 573-723 K and then increased at 873 K. The calculated average sheet resistivity of thin films annealed at 573 K, 723 K and 873 K is 152.28 × 102, 75.29 × 102 and 63.34 × 102 ohm-m respectively. This decrease in sheet resistivity might be due to the increment of electron concentration with increasing thickness and the temperature of thin films.

  4. Low-temperature preparation of rutile-type TiO2 thin films for optical coatings by aluminum doping

    Science.gov (United States)

    Ishii, Akihiro; Kobayashi, Kosei; Oikawa, Itaru; Kamegawa, Atsunori; Imura, Masaaki; Kanai, Toshimasa; Takamura, Hitoshi

    2017-08-01

    A rutile-type TiO2 thin film with a high refractive index (n), a low extinction coefficient (k) and small surface roughness (Ra) is required for use in a variety of optical coatings to improve the controllability of the reflection spectrum. In this study, Al-doped TiO2 thin films were prepared by pulsed laser deposition, and the effects of Al doping on their phases, optical properties, surface roughness and nanoscale microstructure, including Al distribution, were investigated. By doping 5 and 10 mol%Al, rutile-type TiO2 was successfully prepared under a PO2 of 0.5 Pa at 350-600 °C. The nanoscale phase separation in the Al-doped TiO2 thin films plays an important role in the formation of the rutile phase. The 10 mol%Al-doped rutile-type TiO2 thin film deposited at 350 °C showed excellent optical properties of n ≈ 3.05, k ≈ 0.01 (at λ = 400 nm) and negligible surface roughness, at Ra ≈ 0.8 nm. The advantages of the superior optical properties and small surface roughness of the 10 mol%Al-doped TiO2 thin film were confirmed by fabricating a ten-layered dielectric mirror.

  5. TiO2 Nanotubes on Transparent Substrates: Control of Film Microstructure and Photoelectrochemical Water Splitting Performance

    Directory of Open Access Journals (Sweden)

    Matus Zelny

    2018-01-01

    Full Text Available Transfer of semiconductor thin films on transparent and or flexible substrates is a highly desirable process to enable photonic, catalytic, and sensing technologies. A promising approach to fabricate nanostructured TiO2 films on transparent substrates is self-ordering by anodizing of thin metal films on fluorine-doped tin oxide (FTO. Here, we report pulsed direct current (DC magnetron sputtering for the deposition of titanium thin films on conductive glass substrates at temperatures ranging from room temperature to 450 °C. We describe in detail the influence that deposition temperature has on mechanical, adhesion and microstructural properties of titanium film, as well as on the corresponding TiO2 nanotube array obtained after anodization and annealing. Finally, we measure the photoelectrochemical water splitting activity of different TiO2 nanotube samples showing that the film deposited at 150 °C has much higher activity correlating well with the lower crystallite size and the higher degree of self-organization observed in comparison with the nanotubes obtained at different temperatures. Importantly, the film showing higher water splitting activity does not have the best adhesion on glass substrate, highlighting an important trade-off for future optimization.

  6. ELECTROPHORETIC DEPOSITION OF TIO2-MULTI-WALLED CARBON NANOTUBE COMPOSITE COATINGS: MORPHOLOGICAL STUDY

    Directory of Open Access Journals (Sweden)

    M. S. MAHMOUDI JOZEE

    2016-09-01

    Full Text Available A homogenous TiO2 / multi-walled carbon nanotubes(MWCNTs composite film were prepared by electrophoretic co-deposition from organic suspension on a stainless steel substrate.  In this study, MWCNTs was incorporated to the coating because of their long structure and their capability to be functionalized by different inorganic groups on the surface. FTIR spectroscopy showed the existence of carboxylic groups on the modified carbon nanotubes surface. The effect of applied electrical fields, deposition time and concentration of nanoparticulates on coatings morphology were investigated by scanning electron microscopy. It was found that combination of MWCNTs within TiO2 matrix eliminating micro cracks presented on TiO2 coating. Also, by increasing the deposition voltages, micro cracks were increased. SEM observation of the coatings revealed that TiO2/multi-walled carbon nanotubes coatings produced from optimized electric field was uniform and had good adhesive to the substrate.

  7. Increased photocatalytic activity induced by TiO2/Pt/SnO2 heterostructured films

    Science.gov (United States)

    Testoni, Glaucio O.; Amoresi, Rafael A. C.; Lustosa, Glauco M. M. M.; Costa, João P. C.; Nogueira, Marcelo V.; Ruiz, Miguel; Zaghete, Maria A.; Perazolli, Leinig A.

    2018-02-01

    In this work, a high photocatalytic activity was attained by intercalating a Pt layer between SnO2 and TiO2 semiconductors, which yielded a TiO2/Pt/SnO2 - type heterostructure used in the discoloration of blue methylene (MB) solution. The porous films and platinum layer were obtained by electrophoretic deposition and DC Sputtering, respectively, and were both characterized morphologically and structurally by FE-SEM and XRD. The films with the Pt interlayer were evaluated by photocatalytic activity through exposure to UV light. An increase in efficiency of 22% was obtained for these films compared to those without platinum deposition. Studies on the reutilization of the films pointed out high efficiency and recovery of the photocatalyst, rendering the methodology favorable for the construction of fixed bed photocatalytic reactors. A proposal associated with the mechanism is discussed in this work in terms of the difference in Schottky barrier between the semiconductors and the electrons transfer and trapping cycle. These are fundamental factors for boosting photocatalytic efficiency.

  8. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  9. Preparation of rutile TiO(2) coating by thermal chemical vapor deposition for anticoking applications.

    Science.gov (United States)

    Tang, Shiyun; Wang, Jianli; Zhu, Quan; Chen, Yaoqiang; Li, Xiangyuan

    2014-10-08

    To inhibit the metal catalytic coking and improve the oxidation resistance of TiN coating, rutile TiO2 coating has been directly designed as an efficient anticoking coating for n-hexane pyrolysis. TiO2 coatings were prepared on the inner surface of SS304 tubes by a thermal CVD method under varied temperatures from 650 to 900 °C. The rutile TiO2 coating was obtained by annealing the as-deposited TiO2 coating, which is an alternative route for the deposition of rutile TiO2 coating. The morphology, elemental and phase composition of TiO2 coatings were characterized by SEM, EDX and XRD, respectively. The results show that deposition temperature of TiO2 coatings has a strong effect on the morphology and thickness of as-deposited TiO2 coatings. Fe, Cr and Ni at.% of the substrate gradually changes to 0 when the temperature is increased to 800 °C. The thickness of TiO2 coating is more than 6 μm and uniform by metalloscopy, and the films have a nonstoichiometric composition of Ti3O8 when the deposition temperature is above 800 °C. The anticoking tests show that the TiO2 coating at a deposition temperature of 800 °C is sufficiently thick to cover the cracks and gaps on the surface of blank substrate and cut off the catalytic coke growth effect of the metal substrate. The anticoking ratio of TiO2 coating corresponding to each 5 cm segments is above 65% and the average anticoking ratio of TiO2 coating is up to 76%. Thus, the TiO2 coating can provide a very good protective layer to prevent the substrate from severe coking efficiently.

  10. Effect of laser irradiation on the structural, morphological and electrical properties of polycrystalline TiO2 thin films

    Directory of Open Access Journals (Sweden)

    M.I. Khan

    Full Text Available TiO2 thin film is deposited on glass substrate by sol-gel dip coating technique. After deposition, films were irradiated by continuous wave (CW diode laser at an angle of 45°. XRD shows both the anatase and brookite phases of TiO2. Nano particles of regular and control sizes are appeared in SEM micrographs. Therefore, shape and size of nano particles can be control by using Laser irradiation. The average sheet resistivity of TiO2 thin film irradiated by 0, 2, 4 and 6 min are 6.72 × 105, 5.32 × 105, 3.44 × 105 and 4.95 × 105 (ohm-m respectively, according to four point probe. Keywords: TiO2, Diode laser, XRD, SEM

  11. High Transparent and Conductive TiO2/Ag/TiO2 Multilayer Electrode Films Deposited on Sapphire Substrate

    Science.gov (United States)

    Loka, Chadrasekhar; Moon, Sung Whan; Choi, YiSik; Lee, Kee-Sun

    2018-03-01

    Transparent conducting oxides attract intense interests due to its diverse industrial applications. In this study, we report sapphire substrate-based TiO2/Ag/TiO2 (TAT) multilayer structure of indium-free transparent conductive multilayer coatings. The TAT thin films were deposited at room temperature on sapphire substrates and a rigorous analysis has been presented on the electrical and optical properties of the films as a function of Ag thickness. The optical and electrical properties were mainly controlled by the Ag mid-layer thickness of the TAT tri-layer. The TAT films showed high luminous transmittance 84% at 550 nm along with noteworthy low electrical resistance 3.65 × 10-5 Ω-cm and sheet resistance of 3.77 Ω/square, which is better are than those of amorphous ITO films and any sapphire-based dielectric/metal/dielectric multilayer stack. The carrier concentration of the films was increased with respect to Ag thickness. We obtained highest Hackke's figure of merit 43.97 × 10-3 Ω-1 from the TAT multilayer thin film with a 16 nm thick Ag mid-layer.

  12. Influence of interface layer on optical properties of sub-20 nm-thick TiO2 films

    Science.gov (United States)

    Shi, Yue-Jie; Zhang, Rong-Jun; Li, Da-Hai; Zhan, Yi-Qiang; Lu, Hong-Liang; Jiang, An-Quan; Chen, Xin; Liu, Juan; Zheng, Yu-Xiang; Wang, Song-You; Chen, Liang-Yao

    2018-02-01

    The sub-20 nm ultrathin titanium dioxide (TiO2) films with tunable thickness were deposited on Si substrates by atomic layer deposition (ALD). The structural and optical properties were acquired by transmission electron microscopy, atomic force microscopy and spectroscopic ellipsometry. Afterwards, a constructive and effective method of analyzing interfaces by applying two different optical models consisting of air/TiO2/Ti x Si y O2/Si and air/effective TiO2 layer/Si, respectively, was proposed to investigate the influence of interface layer (IL) on the analysis of optical constants and the determination of band gap of TiO2 ultrathin films. It was found that two factors including optical constants and changing components of the nonstoichiometric IL could contribute to the extent of the influence. Furthermore, the investigated TiO2 ultrathin films of 600 ALD cycles were selected and then annealed at the temperature range of 400-900 °C by rapid thermal annealing. Thicker IL and phase transition cause the variation of optical properties of TiO2 films after annealing and a shorter electron relaxation time reveals the strengthened electron-electron and electron-phonon interactions in the TiO2 ultrathin films at high temperature. The as-obtained results in this paper will play a role in other studies of high dielectric constants materials grown on Si substrates and in the applications of next generation metal-oxide-semiconductor devices.

  13. Optical Properties and Surface Morphology of Nano-composite PMMA: TiO2 Thin Films

    International Nuclear Information System (INIS)

    Lyly Nyl Ismail; Ahmad Fairoz Aziz; Habibah Zulkefle

    2011-01-01

    There are two nano-composite PMMA: TiO 2 solutions were prepared in this research. First solution is nano-composite PMMA commercially available TiO 2 nanopowder and the second solution is nano-composite PMMA with self-prepared TiO 2 powder. The self-prepared TiO 2 powder is obtained by preparing the TiO 2 sol-gel. Solvo thermal method were used to dry the TiO 2 sol-gel and obtained TiO 2 crystal. Ball millers were used to grind the TiO 2 crystal in order to obtained nano sized powder. Triton-X was used as surfactant to stabilizer the composite between PMMA: TiO 2 . Besides comparing the nano-composite solution, we also studied the effect of the thin films thickness on the optical properties and surface morphology of the thin films. The thin films were deposited by sol-gel spin coating method on glass substrates. The optical properties and surface characterization were measured with UV-VIS spectrometer equipment and atomic force microscopy (AFM). The result showed that nano-composite PMMA with self prepared TiO 2 give high optical transparency than nano-composite PMMA with commercially available TiO 2 nano powder. The results also indicate as the thickness is increased the optical transparency are decreased. Both AFM images showed that the agglomerations of TiO 2 particles are occurred on the thin films and the surface roughness is increased when the thickness is increased. High agglomeration particles exist in the AFM images for nano-composite PMMA: TiO 2 with TiO 2 nano powder compare to the other nano-composite solution. (author)

  14. Post-deposition annealing temperature dependence TiO_2-based EGFET pH sensor sensitivity

    International Nuclear Information System (INIS)

    Zulkefle, M. A.; Rahman, R. A.; Yusoff, K. A.; Abdullah, W. F. H.; Rusop, M.; Herman, S. H.

    2016-01-01

    EGFET pH sensor is one type of pH sensor that is used to measure and determine pH of a solution. The sensing membrane of EGFET pH sensor plays vital role in the overall performance of the sensor. This paper studies the effects of different annealing temperature of the TiO_2 sensing membranes towards sensitivity of EGFET pH sensor. Sol-gel spin coating was chosen as TiO_2 deposition techniques since it is cost-effective and produces thin film with uniform thickness. Deposited TiO_2 thin films were then annealed at different annealing temperatures and then were connected to the gate of MOSFET as a part of the EGFET pH sensor structure. The thin films now act as sensing membranes of the EGFET pH sensor and sensitivity of each sensing membrane towards pH was measured. From the results it was determined that sensing membrane annealed at 300 °C gave the highest sensitivity followed by sample annealed at 400 °C and 500 °C.

  15. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  16. Synthesis and photocatalytic properties of porous TiO2 films prepared by ODA/sol-gel method

    International Nuclear Information System (INIS)

    Zhang Wenjie; Bai Jiawei

    2012-01-01

    Porous TiO 2 films were deposited on SiO 2 pre-coated glass-slides by sol-gel method using octadecylamine (ODA) as template. The amount of ODA in the sol played an important role on the physicochemical properties and photocatalytic performance of the TiO 2 films. The films prepared at different conditions were all composed of anatase titanium dioxide crystals, and TiO 2 crystalline size got larger with increasing ODA amount. The maximum specific surface area of 41.5 m 2 /g was obtained for TiO 2 powders prepared from titanium sol containing 2.0 g ODA. Methyl orange degradation rate was enhanced along with increasing ODA amount and reached the maximal value at 2.0 g addition of ODA. After 40 min of UV-light irradiation, methyl orange degradation rate reached 30.5% on the porous film, which was about 10% higher than that on the smooth film. Porous TiO 2 film showed almost constant activity with slight decrease from 30.5% to 28.5% after 4 times of recycles.

  17. Effect of laser irradiation on the structural, morphological and electrical properties of polycrystalline TiO2 thin films

    Science.gov (United States)

    Khan, M. I.; Ali, Asghar

    TiO2 thin film is deposited on glass substrate by sol-gel dip coating technique. After deposition, films were irradiated by continuous wave (CW) diode laser at an angle of 45°. XRD shows both the anatase and brookite phases of TiO2. Nano particles of regular and control sizes are appeared in SEM micrographs. Therefore, shape and size of nano particles can be control by using Laser irradiation. The average sheet resistivity of TiO2 thin film irradiated by 0, 2, 4 and 6 min are 6.72 × 105, 5.32 × 105, 3.44 × 105 and 4.95 × 105 (ohm-m) respectively, according to four point probe.

  18. Enhanced photoelectrochemical and photocatalytic activity of WO3-surface modified TiO2 thin film

    Science.gov (United States)

    Qamar, Mohammad; Drmosh, Qasem; Ahmed, Muhammad I.; Qamaruddin, Muhammad; Yamani, Zain H.

    2015-02-01

    Development of nanostructured photocatalysts for harnessing solar energy in energy-efficient and environmentally benign way remains an important area of research. Pure and WO3-surface modified thin films of TiO2 were prepared by magnetron sputtering on indium tin oxide glass, and photoelectrochemical and photocatalytic activities of these films were studied. TiO2 particles were <50 nm, while deposited WO3 particles were <20 nm in size. An enhancement in the photocurrent was observed when the TiO2 surface was modified WO3 nanoparticles. Effect of potential, WO3 amount, and radiations of different wavelengths on the photoelectrochemical activity of TiO2 electrodes was investigated. Photocatalytic activity of TiO2 and WO3-modified TiO2 for the decolorization of methyl orange was tested.

  19. Preparation of TiO2/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    Science.gov (United States)

    Shi, Chao; Li, Hongji; Li, Cuiping; Li, Mingji; Qu, Changqing; Yang, Baohe

    2015-12-01

    We report nanostructured TiO2/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO2/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO2 and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO2/BDD/Ta film was used as the working electrode with 0.1 M Na2SO4 as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm-2 at a scan rate of 5 mV s-1 for a B/C ratio of 0.1% w/w. Furthermore, the TiO2/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO2 layer and Ta nanoporous structures, and the synergies between them. These results show that TiO2/BDD/Ta films are promising as capacitor electrodes for special applications.

  20. Microporous TiO2-WO3/TiO2 films with visible-light photocatalytic activity synthesized by micro arc oxidation and DC magnetron sputtering

    International Nuclear Information System (INIS)

    Wu, Kee-Rong; Hung, Chung-Hsuang; Yeh, Chung-Wei; Wu, Jiing-Kae

    2012-01-01

    Highlights: ► A simple MAO is used to prepare porous WO 3 /TiO 2 layer on Ti sheet as a visible-light enabled catalyst. ► The photocatalytic activity of the WO 3 /TiO 2 is enhanced by sputtering over an N,C-TiO 2 layer. ► This is ascribed to the synergetic effect of hybrid sample prepared by two-step method. - Abstract: This study reports the preparation of microporous TiO 2 -WO 3 /TiO 2 films with a high surface area using a two-step approach. A porous WO 3 /TiO 2 template was synthesized by oxidizing a titanium sheet using a micro arc oxidation (MAO) process. This sheet was subsequently overlaid with a visible light (Vis)-enabled TiO 2 (N,C-TiO 2 ) film, which was deposited by codoping nitrogen (N) and carbon (C) ions into a TiO 2 lattice using direct current magnetron sputtering. The resulting microporous TiO 2 -WO 3 /TiO 2 film with a 0.38-μm-thick N,C-TiO 2 top-layer exhibited high photocatalytic activity in methylene blue (MB) degradation among samples under ultraviolet (UV) and Vis irradiation. This is attributable to the synergetic effect of two-step preparation method, which provides a highly porous microstructure and the well-crystallized N,C-TiO 2 top-layer. This is because a higher surface area with high crystallinity favors the adsorption of more MB molecules and more photocatalytic active areas. Thus, the microporous TiO 2 -WO 3 /TiO 2 film has promising applications in the photocatalytic degradation of dye solution under UV and Vis irradiation. These results imply that the microporous WO 3 /TiO 2 can be used as a template of hybrid electrode because it enables rapid fabrication.

  1. Synthesis of nanocrystalline TiO 2 thin films by liquid phase ...

    Indian Academy of Sciences (India)

    A transparent, high purity titanium dioxide thin film composed of densely packed nanometer sized grains has been successfully deposited on a glass substrate at 30°C from an aqueous solution of TiO2–HF with the addition of boric acid as a scavenger by liquid phase deposition technique. From X-ray diffraction ...

  2. Nanocrystalline TiO2 Composite Films for the Photodegradation of Formaldehyde and Oxytetracycline under Visible Light Irradiation

    Directory of Open Access Journals (Sweden)

    Min Wei

    2017-06-01

    Full Text Available In order to effectively photodegradate organic pollutants, ZnO composite and Co-B codoped TiO2 films were successfully deposited on glass substrates via a modified sol-gel method and a controllable dip-coating technique. Combining with UV–Vis diffuse reflectance spectroscopy (DRS and photoluminescence spectra (PL analyses, the multi-modification could not only extend the optical response of TiO2 to visible light region but also decrease the recombination rate of electron-hole pairs. XRD results revealed that the multi-modified TiO2 film had an anatase-brookite biphase heterostructure. FE-SEM results indicated that the multi-modified TiO2 film without cracks was composed of smaller round-like nanoparticles compared to pure TiO2. BET surface area results showed that the specific surface area of pure TiO2 and the multi-modified TiO2 sample was 47.8 and 115.8 m2/g, respectively. By degradation of formaldehyde and oxytetracycline, experimental results showed that the multi-modified TiO2 film had excellent photodegradation performance under visible light irradiation.

  3. Photocatalytic activity of Al2O3-doped TiO2 thin films activated with visible light on the bacteria Escherichia coli

    International Nuclear Information System (INIS)

    Barajas-Ledesma, E.; Garcia-Benjume, M.L.; Espitia-Cabrera, I.; Bravo-Patino, A.; Espinoza-Beltran, F.J.; Mostaghimi, J.; Contreras-Garcia, M.E.

    2010-01-01

    Al 2 O 3 -doped TiO 2 thin films were prepared by combining electrophoretic deposition (EPD) with sputtering. A Corning* glass was used as a substrate, in which a titanium film was deposited by sputtering. Then, a precursor sol was prepared with Ti(n-OBu) 4 and Al(s-OBu) 3 and used as the medium for EPD. Next, the thin films were sintered and, finally, characterised by scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). Several cultures of Escherichia coli, strain XL1-Blue, were prepared. Nine experiments were carried out. In three of them, an inoculum (a low amount of a product that contains bacteria) was prepared without a film; in the other six Al 2 O 3 -doped TiO 2 film-coated glass substrates were irradiated with visible light before they were introduced in the inoculum. The SEM and EDS results showed that TiO 2 -Al 2 O 3 films were obtained, covering all the glass substrate and with uniform size of particles forming them, and that the aluminium was distributed uniformly on the film. XRD results showed that rutile phase was obtained. By TEM, the structure of TiO 2 was demonstrated. Al 2 O 3 -doped TiO 2 thin films were successful at eliminating E. coli.

  4. SAXS Studies of TiO2 Nanoparticles in Polymer Electrolytes and in Nanostructured Films

    Directory of Open Access Journals (Sweden)

    Sigrid Bernstorff

    2010-11-01

    Full Text Available Polymer electrolytes as nanostructured materials are very attractive components for batteries and opto-electronic devices. (PEO8ZnCl2 polymer electrolytes were prepared from PEO and ZnCl2. The nanocomposites (PEO8ZnCl2/TiO2 themselves contained TiO2 nanograins. In this work, the influence of the TiO2 nanograins on the morphology and ionic conductivity of the nanocomposite was systematically studied by transmission small-angle X-ray scattering (SAXS simultaneously recorded with wide-angle X-ray diffraction (WAXD and differential scanning calorimetry (DSC at the synchrotron ELETTRA. Films containing nanosized grains of titanium dioxide (TiO2 are widely used in the research of optical and photovoltaic devices. The TiO2 films, prepared by chemical vapor deposition and e-beam epitaxy, were annealed in hydrogen atmospheres in the temperature range between 20 °C and 900 °C in order to study anatase-rutile phase transition at 740 °C. Also, grazing-incidence small angle X-ray scattering (GISAXS spectra for each TiO2 film were measured in reflection geometry at different grazing incident angles. Environmentally friendly galvanic cells, as well as solar cells of the second generation, are to be constructed with TiO2 film as working electrode, and nanocomposite polymer as electrolyte.

  5. Photocatalytic properties of porous TiO2/Ag thin films

    International Nuclear Information System (INIS)

    Chang, C.-C.; Chen, J.-Y.; Hsu, T.-L.; Lin, C.-K.; Chan, C.-C.

    2008-01-01

    In this study, nanocrystalline TiO 2 /Ag composite thin films were prepared by a sol-gel spin-coating technique. By introducing polystyrene (PS) spheres into the precursor solution, porous TiO 2 /Ag thin films were prepared after calcination at a temperature of 500 deg. C for 4 h. Three different sizes (50, 200, and 400 nm) of PS spheres were used to prepare porous TiO 2 films. The as-prepared TiO 2 and TiO 2 /Ag thin films were characterized by X-ray diffractometry (XRD) and by scanning electron microscopy to reveal structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. When PS spheres of different sizes were introduced after calcination, the as-prepared TiO 2 films exhibited different porous structures. XRD results showed that all TiO 2 /Ag films exhibited a major anatase phase. The photodegradation of porous TiO 2 thin films prepared with 200 nm PS spheres and doped with 1 mol% Ag exhibited the best photocatalytic efficiency where ∼ 100% methylene blue was decomposed within 8 h under UV exposure

  6. Photocatalytically active Au/TiO2 films deposited by two-step spray pyrolysis

    International Nuclear Information System (INIS)

    Balashev, Konstantin; Georgiev, Petar; Simeonova, Sylvia; Stambolova, Irina; Blaskov, Vladimir; Vassilev, Sasho; Eliyas, Alexander

    2016-01-01

    Nanocrystalline TiO 2 and surface gold-modified films (Au/TiO 2 ) are obtained by two step spray pyrolysis process. Titanium tetrachloride (TiCl 4 ) was used as inorganic titanium precursor. The Au nanoparticles were deposited on the surface of sprayed TiO 2 films, obtained by the classical Turkevich method. The AFM analyses have revealed that the roughness of Au/TiO 2 is twice lower than that of the reference titania film. Some globular species are visible on the surface, which could be either individual Au nanoparticles or Au nanoparticles’ agglomerates embedded into the TiO 2 film. The photocatalytic activity in the oxidative degradation of Reactive Black 5 dye under visible light of the Au/TiO 2 films was estimated in a semi-batch reactor. Surface gold modified TiO 2 films revealed higher photocatalytic efficiency than the reference sample. Key words: Au nanoparticles, photocatalysis, azo dye, titania, nanosized

  7. An in-situ real-time optical fiber sensor based on surface plasmon resonance for monitoring the growth of TiO2 thin films.

    Science.gov (United States)

    Tsao, Yu-Chia; Tsai, Woo-Hu; Shih, Wen-Ching; Wu, Mu-Shiang

    2013-07-23

    An optical fiber sensor based on surface plasmon resonance (SPR) is proposed for monitoring the thickness of deposited nano-thin films. A side-polished multimode SPR optical fiber sensor with an 850 nm-LD is used as the transducing element for real-time monitoring of the deposited TiO2 thin films. The SPR optical fiber sensor was installed in the TiO2 sputtering system in order to measure the thickness of the deposited sample during TiO2 deposition. The SPR response declined in real-time in relation to the growth of the thickness of the TiO2 thin film. Our results show the same trend of the SPR response in real-time and in spectra taken before and after deposition. The SPR transmitted intensity changes by approximately 18.76% corresponding to 50 nm of deposited TiO2 thin film. We have shown that optical fiber sensors utilizing SPR have the potential for real-time monitoring of the SPR technology of nanometer film thickness. The compact size of the SPR fiber sensor enables it to be positioned inside the deposition chamber, and it could thus measure the film thickness directly in real-time. This technology also has potential application for monitoring the deposition of other materials. Moreover, in-situ real-time SPR optical fiber sensor technology is in inexpensive, disposable technique that has anti-interference properties, and the potential to enable on-line monitoring and monitoring of organic coatings.

  8. Effect of Oxygen Partial Pressure on the Electrical and Optical Properties of DC Magnetron Sputtered Amorphous TiO2 Films

    OpenAIRE

    Chandra Sekhar, M.; Kondaiah, P.; Radha Krishna, B.; Uthanna, S.

    2013-01-01

    Titanium dioxide (TiO2) thin films were deposited on p-Si (100) and Corning glass substrates held at room temperature by DC magnetron sputtering at different oxygen partial pressures in the range 9 × 10−3–9 × 10−2 Pa. The influence of oxygen partial pressure on the structural, electrical, and optical properties of the deposited films was systematically studied. XPS studies confirmed that the film formed at an oxygen partial pressure of 6×10−2 Pa was nearly stoichiometric. TiO2 films formed at...

  9. Surface Modification of Aerosol-Assisted CVD Produced TiO2 Thin Film for Dye Sensitised Solar Cell

    Directory of Open Access Journals (Sweden)

    SuPei Lim

    2014-01-01

    Full Text Available We report a simple and convenient method for the preparation of Ag/TiO2 thin films supported on indium tin oxide, which was achieved by sonochemical deposition of Ag+ on aerosol-assisted chemical vapour deposited TiO2 thin films. Posttreatment was performed on the film by immersion in HCl. The as-prepared composite film was characterised by X-ray diffraction, ultraviolet-visible absorption spectroscopy, Raman spectroscopy, and field emission scanning electron microscopy. The photoelectrochemical measurements and J-V characterisation showed approximately fivefold increase in photocurrent density generation and approximately sevenfold enhancement in dye sensitiser solar cell (DSSC conversion efficiency, which was achieved after modification of the TiO2 film with HCl posttreatment and Ag particle deposition. The improved photocurrent density of 933.30 μA/cm2, as well as DSSC power conversion efficiency of 3.63% with high stability, is an indication that the as-synthesised thin film is a potential candidate for solar energy conversion applications.

  10. Physiochemical properties of TiO2 nanoparticle thin films deposited on stainless steel

    Directory of Open Access Journals (Sweden)

    M. Basiaga

    2017-01-01

    Full Text Available The purpose of this study was to evaluate the usefulness of TiO2 layer to improve hemocompatibility of 316LVM stainless steel. The TiO2 layers studied in this work were deposited from TiCl4 and H2O in a low-pressure Atomic Layer Deposition (ALD reactor taking into account number of cycles and process temperature. As a part of the research electrochemical studies of the layer after 28 days exposure to artificial plasma were carried out. In particular, potentiostatic, potentiodynamic and impedance studies were conducted. The obtained results were the basis for selection of surface treatment method dedicated to blood-contacting stainless steel implants.

  11. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    Science.gov (United States)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  12. Solid-State Dewetting of Gold Aggregates/Islands on TiO2 Nanorod Structures Grown by Oblique Angle Deposition.

    Science.gov (United States)

    Liu, Shizhao; Plawsky, Joel L

    2017-12-12

    A composite film made of a stable gold nanoparticle (NP) array with well-controlled separation and size atop a TiO 2 nanorod film was fabricated via the oblique angle deposition (OAD) technique. The fabrication of the NP array is based on controlled, Rayleigh-instability-induced, solid-state dewetting of as-deposited gold aggregates on the TiO 2 nanorods. It was found that the initial spacing between as-deposited gold aggregates along the vapor flux direction should be greater than the TiO 2 interrod spacing created by 80° OAD to control dewetting and produce NP arrays. A numerical investigation of the process was conducted using a phase-field modeling approach. Simulation results showed that coalescence between neighboring gold aggregates is likely to have caused the uncontrolled dewetting in the 80° deposition, and this could be circumvented if the initial spacing between gold aggregates is larger than a critical value s min . We also found that TiO 2 nanorod tips affect dewetting dynamics differently than planar TiO 2 . The topology of the tips can induce contact line pinning and an increase in the contact angle along the vapor flux direction to the supported gold aggregates. These two effects are beneficial for the fabrication of monodisperse NPs based on Rayleigh-instability-governed self-assembly of materials, as they help to circumvent the undesired coalescence and facilitate the instability growth on the supported material. The findings uncover the application potential of OAD as a new method to fabricate structured films as template substrates to mediate dewetting. The reported composite films would have uses in optical coatings and photocatalytic systems, taking advantage of their ability to combine plasmonic nanostructures within a nanostructured dielectric film.

  13. Composition and crystal structure of N doped TiO2 film deposited at different O2 flow rate by direct current sputtering.

    Science.gov (United States)

    Ding, Wanyu; Ju, Dongying; Chai, Weiping

    2011-06-01

    N doped Ti02 films were deposited by direct current pulse magnetron sputtering system at room temperature. The influence of 02 flow rate on the crystal structure of deposited films was studied by Stylus profilometer, X-ray photoelectron spectroscopy, and X-ray diffractometer. The results indicate that the 02 flow rate strongly controls the growth behavior and crystal structure of N doped Ti02 film. It is found that N element mainly exists as substitutional doped state and the chemical stiochiometry is near to TiO1.68±0.06N0.11±0.01 for all film samples. N doped Ti02 film deposited with 2 sccm (standard-state cubic centimeter per minute) 02 flow rate is amorphous structure with high growth rate, which contains both anatase phase and rutile phase crystal nucleuses. In this case, the film displays the mix-phase of anatase and rutile after annealing treatment. While N doped Ti02 film deposited with 12 cm(3)/min 02 flow rate displays anatase phase before and after annealing treatment. And it should be noticed that no TiN phase appears for all samples before and after annealing treatment. Copyright © 2011 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  14. Experimental and simulation study of growth of TiO2 films on different substrates and its applications

    Science.gov (United States)

    Ghogare, Trupti T.; Kartha, Moses J.; Kendre, Subhash D.; Pathan, Habib M.

    2018-04-01

    Monte-Carlo Ballistic Deposition simulations have done on substrates with different initial roughness. The grown films were observed to be porous. The initial growths of the films with seed like initiations are observed for substrate with high initial roughness. In order to confirm this effect TiO2 films were deposited on different substrates using chemical bath deposition. The surface morphological and optical properties were measured using scanning electron microscopy and a UV-Vis spectrophotometer. Flower like porous structure are obtained on glass substrate and continuous porous morphology is formed on ITO substrate. The morphology of the surfaces was successfully reconstructed and the surface porosity was calculated after digitalising images and reconstructed the surfaces. The TiO2 film formed on ITO is observed to be 10% more porous than on the film formed on glass substrate. Diffusion Limited Aggregation simulations with multiple seeds confirms that the observed flower like structure formed are due to the screening effects of the diffusing ion by already deposited particles.

  15. Room temperature growth of nanocrystalline anatase TiO2 thin films by dc magnetron sputtering

    International Nuclear Information System (INIS)

    Singh, Preetam; Kaur, Davinder

    2010-01-01

    We report, the structural and optical properties of nanocrystalline anatase TiO 2 thin films grown on glass substrate by dc magnetron sputtering at room temperature. The influence of sputtering power and pressure over crystallinity and surface morphology of the films were investigated. It was observed that increase in sputtering power activates the TiO 2 film growth from relative lower surface free energy to higher surface free energy. XRD pattern revealed the change in preferred orientation from (1 0 1) to (0 0 4) with increase in sputtering power, which is accounted for different surface energy associated with different planes. Microstructure of the films also changes from cauliflower type to columnar type structures with increase in sputtering power. FESEM images of films grown at low pressure and low sputtering power showed typical cauliflower like structure. The optical measurement revealed the systematic variation of the optical constants with deposition parameters. The films are highly transparent with transmission higher than 90% with sharp ultraviolet cut off. The transmittance of these films was found to be influenced by the surface roughness and film thickness. The optical band gap was found to decrease with increase in the sputtering power and pressure. The refractive index of the films was found to vary in the range of 2.50-2.24 with increase in sputtering pressure or sputtering power, resulting in the possibility of producing TiO 2 films for device applications with different refractive index, by changing the deposition parameters.

  16. Study of Optical Humidity Sensing Properties of Sol-Gel Processed TiO2 and MgO Films

    Directory of Open Access Journals (Sweden)

    B. C. Yadav

    2007-04-01

    Full Text Available Paper reports a comparative study of humidity sensing properties of TiO2 and MgO films fabricated by Sol-gel technique using optical method. One sensing element of the optical humidity sensor presented here consists of rutile structured two-layered TiO2 thin film deposited on the base of an isosceles glass prism. The other sensing element consists of a film of MgO deposited by same technique on base of the prism. Light from He-Ne laser enters prism from one of refracting faces of the prism and gets reflected from the glass-film interface, before emerging out from its other isosceles face. This emergent beam is allowed to pass through an optical fiber. Light coming out from the optical fiber is measured with an optical power meter. Variations in the intensity of light caused by changes in humidity lying in the range 5%RH to 95%RH have been recorded. MgO film shows better sensitivity than TiO2 film.

  17. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    NARCIS (Netherlands)

    Rafieian Boroujeni, Damon; Ogieglo, Wojciech; Savenije, Tom; Lammertink, Rob G.H.

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to

  18. Low Loss Sol-Gel TiO2 Thin Films for Waveguiding Applications

    Directory of Open Access Journals (Sweden)

    Alexis Fischer

    2013-03-01

    Full Text Available TiO2 thin films were synthesized by sol-gel process: titanium tetraisopropoxide (TTIP was dissolved in isopropanol, and then hydrolyzed by adding a water/isopropanol mixture with a controlled hydrolysis ratio. The as prepared sol was deposited by “dip-coating” on a glass substrate with a controlled withdrawal speed. The obtained films were annealed at 350 and 500 °C (2 h. The morphological properties of the prepared films were analyzed by Scanning Electron Microscopy (SEM and Atomic Force Microscopy (AFM. The optical waveguiding properties of TiO2 films were investigated for both annealing temperature using m-lines spectroscopy. The refractive indices and the film thickness were determined from the measured effective indices. The results show that the synthesized planar waveguides are multimodes and demonstrate low propagation losses of 0.5 and 0.8 dB/cm for annealing temperature 350 and 500 °C, respectively.

  19. Effects of deposition rates on laser damage threshold of TiO2/SiO2 high reflectors

    International Nuclear Information System (INIS)

    Yao Jianke; Xu Cheng; Ma Jianyong; Fang Ming; Fan Zhengxiu; Jin Yunxia; Zhao Yuanan; He Hongbo; Shao Jianda

    2009-01-01

    TiO 2 single layers and TiO 2 /SiO 2 high reflectors (HR) are prepared by electron beam evaporation at different TiO 2 deposition rates. It is found that the changes of properties of TiO 2 films with the increase of rate, such as the increase of refractive index and extinction coefficient and the decrease of physical thickness, lead to the spectrum shift and reflectivity bandwidth broadening of HR together with the increase of absorption and decrease of laser-induced damage threshold. The damages are found of different morphologies: a shallow pit to a seriously delaminated and deep crater, and the different amorphous-to-anatase-to-rutile phase transition processes detected by Raman study. The frequency shift of Raman vibration mode correlates with the strain in film. Energy dispersive X-ray analysis reveals that impurities and non-stoichiometric defects are two absorption initiations resulting to the laser-induced transformation.

  20. Fine control of the amount of preferential <001> orientation in DC magnetron sputtered nanocrystalline TiO2 films

    International Nuclear Information System (INIS)

    Stefanov, B; Granqvist, C G; Österlund, L

    2014-01-01

    Different crystal facets of anatase TiO 2 are known to have different chemical reactivity; in particular the {001} facets which truncates the bi-tetrahedral anatase morphology are reported to be more reactive than the usually dominant {101} facets. Anatase TiO 2 thin films were deposited by reactive DC magnetron sputtering in Ar/O 2 atmosphere and were characterized using Rietveld refined grazing incidence X-ray diffraction, atomic force microscopy and UV/Vis spectroscopy. By varying the partial O2 pressure in the deposition chamber, the degree of orientation of the grains in the film could be systematically varied with preferred <001> orientation changing from random upto 39% as determined by March-Dollase method. The orientation of the films is shown to correlate with their reactivity, as measured by photo-degradation of methylene blue in water solutions. The results have implications for fabrication of purposefully chemically reactive thin TiO 2 films prepared by sputtering methods

  1. Correlation of Photocatalysis and Photoluminescence Effect in Relation to the Surface Properties of TiO2:Tb Thin Films

    Directory of Open Access Journals (Sweden)

    Damian Wojcieszak

    2013-01-01

    Full Text Available In this paper structural, optical, photoluminescence, and photocatalytic properties of TiO2 and TiO2:(2.6 at. % Tb thin films have been compared. Thin films were prepared by high-energy reactive magnetron sputtering process, which enables obtaining highly nanocrystalline rutile structure of deposited films. Crystallites sizes were 8.7 nm and 6.6 nm for TiO2 and TiO2:Tb, respectively. Surface of prepared thin films was homogenous with small roughness of ca. 7.2 and 2.1 nm in case of TiO2 and TiO2:Tb samples, respectively. Optical properties measurements have shown that the incorporation of Tb into TiO2 matrix has not changed significantly the thin films transparency. It also enables obtaining photoluminescence effect in wide range from 350 to 800 nm, what is unique phenomenon in case of TiO2 with rutile structure. Moreover, it has been found that the incorporation of 2.6 at. % of Tb has increased the photocatalytic activity more than two times as compared to undoped TiO2. Additionally, for the first time in the current state of the art, the relationship between photoluminescence effect, photocatalytic activity, and surface properties of TiO2:Tb thin films has been theoretically explained.

  2. Semi-transparent ordered TiO_2 nanostructures prepared by anodization of titanium thin films deposited onto the FTO substrate

    International Nuclear Information System (INIS)

    Szkoda, Mariusz; Lisowska-Oleksiak, Anna; Grochowska, Katarzyna; Skowroński, Łukasz; Karczewski, Jakub; Siuzdak, Katarzyna

    2016-01-01

    Highlights: • High quality titanium coatings were doposited using industrial magnetron sputtering equipment. • Semi-transparent TiO_2 were prepared via anodization realized in various conditions. • Depending on electrolyte type, ordered tubular or porous TiO_2 layers were obtained. • Prepared material can act as semiconducting layer in photovoltaic cells. - Abstract: In a significant amount of cases, the highly ordered TiO_2 nanotube arrays grow through anodic oxidation of a titanium metal plate immersed in electrolyte containing fluoride ions. However, for some practical applications, e.g. solar cells or electrochromic windows, the semi-transparent TiO_2 formed directly on the transparent, conductive substrate is very much desired. This work shows that high-quality Ti coating could be formed at room temperature using an industrial magnetron sputtering system within 50 min. Under optimized conditions, the anodization process was performed on 2 μm titanium films deposited onto the FTO (fluorine-tin-oxide) support. Depending on the electrolyte type, highly ordered tubular or porous titania layers were obtained. The fabricated samples, after their thermal annealing, were investigated using scanning electron microscopy, Raman spectroscopy and UV–vis spectroscopy in order to investigate their morphology, crystallinity and absorbance ability. The photocurrent response curves indicate that materials are resistant to the photocorrosion process and their activity is strongly connected to optical properties. The most transparent TiO_2 films were fabricated when Ti was anodized in water electrolyte, whereas the highest photocurrent densities (12 μA cm"−"2) were registered for titania received after Ti anodization in ethylene glycol solution. The obtained results are of significant importance in the production of thin, semi-transparent titania nanostructures on a commercial scale.

  3. Photocatalytic activity of self-assembled porous TiO2 nano-columns array fabricated by oblique angle sputter deposition

    Science.gov (United States)

    Shi, Pengjun; Li, Xibo; Zhang, Qiuju; Yi, Zao; Luo, Jiangshan

    2018-04-01

    A well-separated and oriented TiO2 nano-columns arrays with porous structure were fabricated by the oblique angle sputter deposition technique and subsequently annealing at 450 °C in Ar/O2 mixed atmosphere. The deposited substrate was firstly modified by a template of self-assembled close-packed arrays of 500 nm-diameter silica (SiO2) spheres. Scanning electronic microscopic (SEM) images show that the porous columnar nanostructure is formed as a result of the geometric shadowing effect and surface diffusion of the adatoms in oblique angle deposition (OAD). X-ray diffraction (XRD) measurements reveal that the physically OAD film with annealing treatment are generally mixed phase of rutile and anatase TiO2 polymorphic forms. The morphology induced absorbance and band gap tuning by different substrates was demonstrated by the UV–vis spectroscopy. The well-separated one-dimensional (1D) nano-columns array with specific large porous surface area is beneficial for charge separation in photocatalytic degradation. Compared with compact thin film, such self-assembled porous TiO2 nano-columns array fabricated by oblique angle sputter deposition performed an enhanced visible light induced photocatalytic activity by decomposing methyl orange (MO) solution. The well-designed periodic array-structured porous TiO2 films by using modified patterned substrates has been demonstrated significantly increased absorption edge in the UV-visible light region with a narrower optical band gap, which are expected to be favorable for application in photovoltaic, lithium-ion insertion and photocatalytic, etc.

  4. Layer-by-layer assembled TiO2 films with high ultraviolet light-shielding property

    International Nuclear Information System (INIS)

    Li, Xiaozhou; Wang, Lin; Pei, Yuxin; Jiang, Jinqiang

    2014-01-01

    Ultraviolet (UV) B is hazardous to human, plants and animals. With the rapid growth of ozone holes over the earth, the exploration of optical materials that can cut off harmful UV radiation is important. In this work, fusiform TiO 2 nanoparticles were synthesized by a hydrothermal synthesis method. The thin films assembled with TiO 2 nanoparticles and oppositely charged polyelectrolytes were fabricated via a layer-by-layer assembly method. The fabrication of poly(ethylene imine) (PEI)/TiO 2 multilayer films was verified by ultraviolet–visible spectra measurements, scanning electron microscopy and atomic force microscopy. The as-prepared PEI/TiO 2 multilayer films can effectively absorb harmful UVB light and filter off visible light. Most importantly, the PEI/TiO 2 films can be deposited directly on various kinds of hydrophilic substrates such as quartz, glass, silicon and hydrophobic substrates such as polystyrene, polypropylene, polyethylene and polymethyl methacrylate when the hydrophilic substrates were modified to obtain a hydrophilic surface. - Highlights: • PEI/TiO 2 films were fabricated via a layer-by-layer self-assembly method. • The films could effectively absorb harmful UVB light and filter off visible light. • The films could deposit directly on either hydrophilic or hydrophobic substrates

  5. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  6. Spin Speed and Duration Dependence of TiO2 Thin Films pH Sensing Behavior

    Directory of Open Access Journals (Sweden)

    Muhammad AlHadi Zulkefle

    2016-01-01

    Full Text Available Titanium dioxide (TiO2 thin films were applied as the sensing membrane of an extended-gate field-effect transistor (EGFET pH sensor. TiO2 thin films were deposited by spin coating method and the influences of the spin speed and spin duration on the pH sensing behavior of TiO2 thin films were investigated. The spin coated TiO2 thin films were connected to commercial metal-oxide-semiconductor field-effect transistor (MOSFET to form the extended gates and the MOSFET was integrated in a readout interfacing circuit to complete the EGFET pH sensor system. For the spin speed parameter investigation, the highest sensitivity was obtained for the sample spun at 3000 rpm at a fixed spinning time of 60 s, which was 60.3 mV/pH. The sensitivity was further improved to achieve 68 mV/pH with good linearity of 0.9943 when the spin time was 75 s at the speed of 3000 rpm.

  7. Thermal conductivity of amorphous Al2O3/TiO2 nanolaminates deposited by atomic layer deposition.

    Science.gov (United States)

    Ali, Saima; Juntunen, Taneli; Sintonen, Sakari; Ylivaara, Oili M E; Puurunen, Riikka L; Lipsanen, Harri; Tittonen, Ilkka; Hannula, Simo-Pekka

    2016-11-04

    The thermophysical properties of Al2O3/TiO2 nanolaminates deposited by atomic layer deposition (ALD) are studied as a function of bilayer thickness and relative TiO2 content (0%-100%) while the total nominal thickness of the nanolaminates was kept at 100 nm. Cross-plane thermal conductivity of the nanolaminates is measured at room temperature using the nanosecond transient thermoreflectance method. Based on the measurements, the nanolaminates have reduced thermal conductivity as compared to the pure amorphous thin films, suggesting that interfaces have a non-negligible effect on thermal transport in amorphous nanolaminates. For a fixed number of interfaces, we find that approximately equal material content of Al2O3 and TiO2 produces the lowest value of thermal conductivity. The thermal conductivity reduces with increasing interface density up to 0.4 nm(-1), above which the thermal conductivity is found to be constant. The value of thermal interface resistance approximated by the use of diffuse mismatch model was found to be 0.45 m(2) K GW(-1), and a comparative study employing this value supports the interpretation of non-negligible interface resistance affecting the overall thermal conductivity also in the amorphous limit. Finally, no clear trend in thermal conductivity values was found for nanolaminates grown at different deposition temperatures, suggesting that the temperature in the ALD process has a non-trivial while modest effect on the overall thermal conductivity in amorphous nanolaminates.

  8. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    OpenAIRE

    Rafieian Boroujeni, Damon; Ogieglo, Wojciech; Savenije, Tom; Lammertink, Rob G.H.

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx

  9. Size-dependent photodegradation of CdS particles deposited onto TiO2 mesoporous films by SILAR method

    International Nuclear Information System (INIS)

    Ahmed, Rasin; Will, Geoffrey; Bell, John; Wang Hongxia

    2012-01-01

    The particle size, size distribution and photostability of CdS nanoparticles incorporated onto mesoporous TiO 2 films by a successive ionic layer adsorption and reaction (SILAR) method were investigated by Raman spectroscopy, UV–Visible spectroscopy, transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). High-resolution TEM indicated that the synthesized CdS particles were hexagonal phase and the particle sizes were less than 5 nm for up to nine SILAR deposition cycles. Quantum size effect was found with the CdS-sensitized TiO 2 films prepared with up to nine SILAR cycles. The band gap of CdS nanoparticles decreased from 2.65 to 2.37 eV with the increase of the SILAR cycles from 1 to 11. The investigation of the stability of the CdS/TiO 2 films in air under illumination (440.6 μW/cm 2 ) showed that the photodegradation rate was up to 85 % per day for the sample prepared with three SILAR cycles. XPS analysis indicated that the photodegradation was due to the oxidation of CdS, leading to the transformation from sulphide to sulphate (CdSO 4 ). Furthermore, the degradation rate was strongly dependent upon the particle size of CdS. Smaller particles showed faster degradation rate. The size-dependent photo-induced oxidization was rationalized with the variation of size-dependent distribution of surface atoms of CdS particles. Molecular dynamics-based theoretical calculation has indicated that the surface sulphide anion of a large CdS particle such as CdS made with 11 cycles (CdS × 11, average particle size = 5.6 nm) accounts for 9.6 % of the material whereas this value is increased to 19.2 % for (CdS × 3)-based smaller particles (average particle size = 2.7 nm). The photostability of CdS nanoparticles was significantly enhanced when coated with ZnS particles deposited with four SILAR cycles. The growth mechanism of ZnS upon CdS nanoparticles was discussed.

  10. Growth of TiO2 Thin Film on Various Substrates using RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2011-01-01

    The conductivity of Titanium Dioxide (TiO 2 ) thin film fabricated using Radio Frequency (RF) Magnetron Sputtering on Silicon (Si), Indium doped--Tin Oxide (ITO) and microscope glass (M) substrates is presented in this paper. The dependant of thin film thickness and type of substrate been discussed. TiO 2 was deposited using Ti target in Ar+O 2 (45:10) mixture at 250 W for 45, 60, 75, 90, 105 and 120 minute. Resultant thickness varies from 295 nm to 724 nm with deposition rate 6.4 nm/min. On the other hand, resistivity, Rs value for ITO substrate is between 5.72x10 -7 to 1.54x10 -6 Ω.m, Si substrate range is between 3.52x10 -6 to 1.76x10 -5 Ω.m and M substrate range is between 99 to 332 Ω.m. The value of resistivity increases with the thickness of the thin film.

  11. Observation of Significant enhancement in the efficiency of a DSSC by InN nanoparticles over TiO 2-nanoparticle films

    Science.gov (United States)

    Wang, Tsai-Te; Raghunath, P.; Lu, Yun-Fang; Liu, Yu-Chang; Chiou, Chwei-Huawn; Lin, M. C.

    2011-06-01

    We have studied the effect of InN deposited over TiO2 nanoparticle (NP) films on the performance of dye-sensitized solar cells (DSSCs) using N3 dye with I/I3- electrolyte. A 10-20% increase in efficiency was observed for InN deposited, N3 sensitized 5-8.5 μm thick TiO2 films as compared to similar non-treated films. The deposition of InN was carried out in the temperature range of 573-723 K organometallic chemical vapor deposition (OMCVD). Spectral shifts and DFT calculations with a model anchoring group (R‧COOH) both suggest binding of the N3 dye directly to both InN and the InN/TiO2 sites.

  12. Effects of pre-strain applied at a polyethylene terephthalate substrate before the coating of TiO2 film on the coating film quality and optical performance

    International Nuclear Information System (INIS)

    Li, Tse-Chang; Wu, Bo-Hsiung; Lin, Jen-Fin

    2011-01-01

    A mold was designed to create various strains in polyethylene terephthalate (PET) substrates before the deposition of TiO 2 film to simulate deposition process on a cylindrical drum. The residual stress of the PET substrate with TiO 2 film significantly increased with increasing strain, decreasing the radius of curvature. Compared to the as-received PET substrate, there was a noticeable increase in the surface roughness in the PET/TiO 2 specimens when a large strain was applied. The formation of voids or cavities in the TiO 2 layer significantly increased the roughness of the specimen. The mean cavity size and depth increased with increasing strain. For strains ≤ 4%, the specimen's hardness and Young's modulus factored by the voids/cavities increased with increasing surface roughness. The optical absorption increased with increasing surface roughness before becoming asymptotic to a constant value. The strain applied to the PET substrate before TiO 2 deposition greatly affects the optical reflection, transmittance, and absorption.

  13. TiO2 coatings via atomic layer deposition on polyurethane and polydimethylsiloxane substrates: Properties and effects on C. albicans growth and inactivation process

    Science.gov (United States)

    Pessoa, R. S.; dos Santos, V. P.; Cardoso, S. B.; Doria, A. C. O. C.; Figueira, F. R.; Rodrigues, B. V. M.; Testoni, G. E.; Fraga, M. A.; Marciano, F. R.; Lobo, A. O.; Maciel, H. S.

    2017-11-01

    Atomic layer deposition (ALD) surges as an attractive technology to deposit thin films on different substrates for many advanced biomedical applications. Herein titanium dioxide (TiO2) thin films were successful obtained on polyurethane (PU) and polydimethylsiloxane (PDMS) substrates using ALD. The effect of TiO2 films on Candida albicans growth and inactivation process were also systematic discussed. TiCl4 and H2O were used as precursors at 80 °C, while the reaction cycle number ranged from 500 to 2000. Several chemical, physical and physicochemical techniques were used to evaluate the growth kinetics, elemental composition, material structure, chemical bonds, contact angle, work of adhesion and surface morphology of the ALD TiO2 thin films grown on both substrates. For microbiological analyses, yeasts of standard strains of C. albicans were grown on non- and TiO2-coated substrates. Next, the antifungal and photocatalytic activities of the TiO2 were also investigated by counting the colony-forming units (CFU) before and after UV-light treatment. Chlorine-doped amorphous TiO2 films with varied thicknesses and Cl concentration ranging from 2 to 12% were obtained. In sum, the ALD TiO2 films suppressed the yeast-hyphal transition of C. albicans onto PU, however, a high adhesion of yeasts was observed. Conversely, for PDMS substrate, the yeast adhesion did not change, as observed in control. Comparatively to control, the TiO2-covered PDMS had a reduction in CFU up to 59.5% after UV treatment, while no modification was observed to TiO2-covered PU. These results pointed out that ALD chlorine-doped amorphous TiO2 films grown on biomedical polymeric surfaces may act as fungistatic materials. Furthermore, in case of contamination, these materials may also behave as antifungal materials under UV light exposure.

  14. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    Science.gov (United States)

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  15. TiO2-BASED Composite Films for the Photodegradation of Oxytetracycline

    Science.gov (United States)

    Li, Hui; Guan, Ling-Xiao; Feng, Ji-Jun; Li, Fang; Yao, Ming-Ming

    2015-02-01

    The spread of the antibiotic oxytetracycline (OTC) has been thought as a threat to the safety of drinking water. In this paper, the photocatalytic activity of the nanocrystalline Fe/Ca co-doped TiO2-SiO2 composite film for the degradation of OTC was studied. The films were characterized by field emission scanning electron microscopy (FE-SEM) equipped with energy-dispersive spectroscopy (EDS), N2 adsorption/desorption isotherms, photoluminescence (PL) spectra, and UV-Vis diffraction reflectance absorption spectra (DRS). The FE-SEM results indicated that the Fe/Ca co-doped TiO2-SiO2 film was composed of smaller nanoparticles compared to pure TiO2 or TiO2-SiO2 film. The BET surface area results showed that the specific surface area of the pure TiO2, TiO2-SiO2 and Ca2+/Fe3+ co-doped TiO2-SiO2 is 118.3 m2g-1, 294.3 m2g-1 and 393.7 m2g-1, respectively. The DRS and PL spectra revealed that the Fe/Ca co-doped TiO2-SiO2 film had strong visible light adsorption and diminished electrons/holes recombination. Experimental results showed that the Fe/Ca co-doped TiO2-SiO2 film is effective in the degradation of OTC under both UV and visible light irradiation.

  16. Researches on the Improvement of the Bioactivity of TiO2 Deposits, Obtained by Magnetron Sputtering - DC

    Science.gov (United States)

    Toma, B. F.; Baciu, R. E.; Bejinariu, C.; Cimpoieşu, N.; Ciuntu, B. M.; Toma, S. L.; Burduhos-Nergis, D. P.; Timofte, D.

    2018-06-01

    In this paper, layers of TiO2 were deposited, by magnetron sputtering, on a glass support. The parameters of the deposition process were kept constant except for the O2/(Ar + O2) ratio that varied on three levels. The physical and mechanical properties of the layers obtained were investigated by SEM optical microscopy, electronics, AFM and X-ray diffraction. The bioactivity of TiO2 surfaces was investigated by growing M3C3-E1 osteoblast cells produced by RIKEN Cell Bank (Japan) for a period of 5 days. The modification of the working environment in the enclosure determines both the phasic modification in the TiO2 film, respectively the amount of the anatase or rutile phase and the decrease of the average roughness of the film from 112.3nm to 56.7nm. The research has demonstrated that the finer layers with a high content of anatase promote the growth of M3C3-E1 cells.

  17. Inverted organic solar cells with solvothermal synthesized vanadium-doped TiO2 thin films as efficient electron transport layer

    Institute of Scientific and Technical Information of China (English)

    Mehdi Ahmadi; Sajjad Rashidi Dafeh; Samaneh Ghazanfarpour; Mohammad Khanzadeh

    2017-01-01

    We investigated the effects of using different thicknesses of pure and vanadium-doped thin films of TiO2 as the electron transport layer in the inverted configuration of organic photovoltaic cells based on poly (3-hexylthiophene) P3HT:[6-6] phenyl-(6) butyric acid methyl ester (PCBM).1% vanadium-doped TiO2 nanoparticles were synthesized via the solvothermal method.Crystalline structure,morphology,and optical properties of pure and vanadium-doped TiO2 thin films were studied by different techniques such as x-ray diffraction,scanning electron microscopy,transmittance electron microscopy,and UV-visible transmission spectrum.The doctor blade method which is compatible with roll-2-roll printing was used for deposition of pure and vanadium-doped TiO2 thin films with thicknesses of 30 nm and 60 nm.The final results revealed that the best thickness of TiO2 thin films for our fabricated cells was 30 nm.The cell with vanadium-doped TiO2 thin film showed slightly higher power conversion efficiency and great Jsc of 10.7 mA/cm2 compared with its pure counterpart.In the cells using 60 nm pure and vanadium-doped TiO2 layers,the cell using the doped layer showed much higher efficiency.It is remarkable that the extemal quantum efficiency of vanadium-doped TiO2 thin film was better in all wavelengths.

  18. Visible-light photocatalytic activity of nitrided TiO2 thin films

    International Nuclear Information System (INIS)

    Camps, Enrique; Escobar-Alarcon, L.; Camacho-Lopez, Marco Antonio; Casados, Dora A. Solis

    2010-01-01

    TiO 2 thin films have been applied in UV-light photocatalysis. Nevertheless visible-light photocatalytic activity would make this material more attractive for applications. In this work we present results on the modification of titanium oxide (anatase) sol-gel thin films, via a nitriding process using a microwave plasma source. After the treatment in the nitrogen plasma, the nitrogen content in the TiO 2 films varied in the range from 14 up to 28 at%. The titanium oxide films and the nitrided ones were characterized by XPS, micro-Raman spectroscopy and UV-vis spectroscopy. Photocatalytic activity tests were done using a Methylene Blue dye solution, and as catalyst TiO 2 and nitrided TiO 2 films. The irradiation of films was carried out with a lamp with emission in the visible (without UV). The results showed that the nitrided TiO 2 films had photocatalytic activity, while the unnitrided films did not.

  19. Titanium dioxide (TIO2) thin film and plasma properties in RF magnetron sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2013-01-01

    Lately, titanium dioxide (TiO 2 ) films with anatase crystalline property received numerous attentions as unique material properties. There are wide applications of TiO 2 thin film such as for photocatalytic application in solar cell. In the present study, radio frequency (RF) magnetron sputtering technique has been used to produce high dense, homogeneously controllable film layer at low deposition temperature using titanium (Ti) target. The diameter of the Ti target is 3 inch with fixed discharge power of 400W. Magnetron sputtering plasma has been produced in high purity 99.99% Argon (Ar) and 99.99% Oxygen (O 2 ) environment pressure ranging from 5 to 20 mTorr. The TiO2 were growth on silicon and glass substrates. Substrate temperature during deposition was kept constant at 400°C. The distance between target and substrate holder was maintain at 14 cm with rotation of 10 rotation-per-minutes. Our X-ray diffraction result, shows anatase crystalline successfully formed with characterization peaks of plane (101) at 2θ = 25.28°, plane (202) at 2θ = 48.05° and plane (211) at 2θ = 55.06°. In addition, it is our interest to study the plasma properties and optical spectrum of Ti, Ti+ , O- , ArM and Ar+ in the chamber during the deposition process. Result of emission line intensities, electron density and temperature from optical spectroscope and Langmuir probe will be discuss further during the workshop. This works were supported by Graduate Incentive Scheme of Universiti Tun Hussein Onn Malaysia (UTHM) and Fundamental Research Grant Scheme of Ministry of Higher Education, Malaysia. (author)

  20. Electrochemical Behavior of TiO2 Nanoparticle Doped WO3 Thin Films

    Directory of Open Access Journals (Sweden)

    Suvarna R. Bathe

    2014-01-01

    Full Text Available Nanoparticle TiO2 doped WO3 thin films by pulsed spray pyrolysis technique have been studied on fluorine tin doped (FTO and glass substrate. XRD shows amorphous nature for undoped and anatase phase of TiO2 having (101 plane for nanoparticle TiO2 doped WO3 thin film. SEM shows microfibrous reticulated porous network for WO3 with 600 nm fiber diameter and nanocrystalline having size 40 nm for TiO2 nanoparticle doped WO3 thin film. TiO2 nanoparticle doped WO3 thin film shows ~95% reversibility due to may be attributed to nanocrystalline nature of the film, which helpful for charge insertion and deinsertion process. The diffusion coefficient for TiO2 nanoparticle doped WO3 film is less than undoped WO3.

  1. Influence of substrate bias voltage on the properties of TiO2 deposited by radio-frequency magnetron sputtering on 304L for biomaterials applications

    International Nuclear Information System (INIS)

    Bait, L.; Azzouz, L.; Madaoui, N.; Saoula, N.

    2017-01-01

    Highlights: • TiO 2 films were deposited on stainless steel 304L RF magnetron sputtering at different substrate bias. • The hardness of TiO 2 coated 304L are higher than those obtained for uncoated substrate. • TiO 2 films provide good protection for stainless steel against corrosion in Ringer solution. - Abstract: The aim of this paper is to investigate the effect of the substrate bias, varied from 0 to −100 V, on the structure and properties of the TiO 2 thin films for biomaterials applications. The TiO 2 films were grown onto 304L stainless steel substrate using radio-frequency (rf) magnetron sputtering from a pure titanium target in Ar-O 2 gas mixture. The variation of substrate bias voltage from 0 to −100 V produces variations of structure and mechanical properties of the films. The deposited films were characterized by X-rays diffraction, nanoindentation and potentiodynamic polarization. Also, the friction and wear properties of TiO 2 films sliding against alumina ball in air were investigated. Experimental results showed that the thickness increases for non-biased substrate voltage to Vs = −100 V from 820 nm to 1936 nm respectively. The roughness is in the range of 50 nm and 14 nm. XRD results show that all structures of the films are crystalline and changed with varying the bias voltage. The anatase phase is predominant in the low negative bias range (0–50 V). The hardness significantly increased from 2.2 to 6.4 GPa when the bias voltage was increased from 0 to 75 V and then slightly decrease to 5.1 GPa as further increased to 100 V. At the same time, the results indicate that TiO 2 films deposited at −100 V exhibited better wear resistance compared to the other samples, i.e. the minimum wear rates and the lower coefficient of friction of 0.16. In order to simulate natural biological conditions, physiological serum (pH = 6.3), thermostatically controlled at 37 °C, was used as the electrolyte for the study of the electrochemical properties

  2. Relation between crystallinity and chemical nature of surface on wettability: A study on pulsed laser deposited TiO2 thin films

    International Nuclear Information System (INIS)

    Shirolkar, Mandar M.; Phase, Deodatta; Sathe, Vasant; Choudhary, Ram Janay; Rodriguez-Carvajal, J.; Kulkarni, Sulabha K.

    2011-01-01

    Pure titania (TiO 2 ) polycrystalline thin films in rutile, anatase and mixed phase have been grown on amorphous glass substrates by pulsed laser deposition method at various oxygen gas pressure. Wettability investigations have been carried out on these films. Consistent with our previous report [J. Phys. D: Appl. Phys. 41, 155308 (2008)] it has been observed that for nearly same surface roughness large contact angle or superhydrophobicity is present when sample has a pure single phase and lower contact angle or hydrophobicity when mixed phases were present. Structural characterizations suggest that in addition to roughness, pure phase film surface associated with hydrophobic sites and mixed phase film surface show association of both hydrophobic and hydrophilic sites, which might be inducing specific wetting character. UV treatment induces superhydrophilicity in the films. It was observed that UV irradiation causes nonequilibrium state on the TiO 2 surface, leading to changes in the electron density, which in turn produces decrement in the crystallinity and lattice expansion. Reversible changes in the wetting state on the pure phase surfaces were observed to be faster than those on the mixed phase surfaces. We tried to establish the possible relation between crystalline phases, chemical nature of surface on reversible wettability besides the main governing parameter viz. surface roughness.

  3. Improved optical response and photocatalysis for N-doped titanium oxide (TiO2) films prepared by oxidation of TiN

    International Nuclear Information System (INIS)

    Wan, L.; Li, J.F.; Feng, J.Y.; Sun, W.; Mao, Z.Q.

    2007-01-01

    In order to improve the photocatalytic activity, N-doped titanium oxide (TiO 2 ) films were obtained by thermal oxidation of TiN films, which were prepared on Ti substrates by ion beam assisted deposition (IBAD). The dominating rutile TiO 2 phase was found in films after thermal oxidation. According to the results of X-ray photoelectron spectroscopy (XPS), the residual N atoms occupied O-atom sites in TiO 2 lattice to form Ti-O-N bonds. UV-vis spectra revealed the N-doped TiO 2 film had a red shift of absorption edge. The maximum red shift was assigned to the sample annealed at 750 deg. C, with an onset wavelength at 600 nm. The onset wavelength corresponded to the photon energy of 2.05 eV, which was nearly 1.0 eV below the band gap of pure rutile TiO 2 . The effect of nitrogen was responsible for the enhancement of photoactivity of N-doped TiO 2 films in the range of visible light

  4. Superhydrophilicity of TiO2 nano thin films

    International Nuclear Information System (INIS)

    Mohammadizadeh, M.R.; Ashkarran, A.A.

    2007-01-01

    Full text: Among the several oxide semiconductors, titanium dioxide has a more helpful role in our environmental purification due to its photocatalytic activity, photo-induced superhydrophilicity, and as a result of them non-toxicity, self cleaning, and antifogging effects. After the discovery of superhydrophilicity of titanium dioxide in 1997, several researches have been performed due to its nature and useful applications. The superhydrophilicity property of the surface allows water to spread completely across the surface rather than remains as droplets, thus making the surface antifog and easy-to-clean. The distinction of photo-induced catalytic and hydrophilicity properties of TiO 2 thin films has been accepted although, the origin of hydrophilicity property has not been recognized completely yet. TiO 2 thin films on soda lime glass were prepared by the sol-gel method and spin coating process. The calcination temperature was changed from 100 to 550 C. XRD patterns show increasing the content of polycrystalline anatase phase with increasing the calcination temperature. The AFM results indicate granular morphology of the films, which particle size changes from 22 to 166 nm by increasing the calcination temperature. The RBS, EDX and Raman spectroscopy of the films show the ratio of Ti:O∼0.5, and diffusion of sodium ions from substrate into the layer, by increasing the calcination temperature. The UV/Vis. spectroscopy of the films indicates a red shift by increasing the calcination temperature. The contact angle meter experiment shows that superhydrophilicity of the films depends on the formation of anatase crystal structure and diffused sodium content from substrate to the layer. The best hydrophilicity property was observed at 450 C calcination temperature, where the film is converted to a superhydrophilic surface after 10 minutes under 2mW/cm 2 UV irradiation. TiO 2 thin film on Si(111), Si(100), and quartz substrates needs less time to be converted to

  5. Photoelectrochemical energy conversion obtained with ultrathin organo-metallic-chemical-vapor-deposition layer of FeS2 (pyrite) on TiO2

    International Nuclear Information System (INIS)

    Ennaoui, A.; Fiechter, S.; Tributsch, H.; Giersig, M.; Vogel, R.; Weller, H.

    1992-01-01

    Ultrathin (10 to 20 nm thick), polycrystalline films of FeS 2 (pyrite) were grown on TiO 2 (anatase) by chemical vapor deposition. The FeS 2 films were characterized using optical absorption and high-resolution electron microscopy. Photoelectrochemical solar cells, using TiO 2 (anatase) coated with FeS 2 ultrathin films, generated high open-circuit photo-voltages, of up to 600 mV, compared with a single crystal of pyrite electrode (200 mV). The photoelectrochemical behavior shows a strong dependence of photovoltage and photocurrent on the pH of the solution. This paper reports that it is explained by electron injection from the conduction band of FeS 2 to the conduction band of TiO 2 . Regeneration of holes is taking place by electron transfer from the redox system in the electrolyte

  6. Electrospinning processed nanofibrous TiO2 membranes for photovoltaic applications

    Science.gov (United States)

    Onozuka, Katsuhiro; Ding, Bin; Tsuge, Yosuke; Naka, Takayuki; Yamazaki, Michiyo; Sugi, Shinichiro; Ohno, Shingo; Yoshikawa, Masato; Shiratori, Seimei

    2006-02-01

    We have recently fabricated dye-sensitized solar cells (DSSCs) comprising nanofibrous TiO2 membranes as electrode materials. A thin TiO2 film was pre-deposited on fluorine doped tin oxide (FTO) coated conducting glass substrate by immersion in TiF4 aqueous solution to reduce the electron back-transfer from FTO to the electrolyte. The composite polyvinyl acetate (PVac)/titania nanofibrous membranes can be deposited on the pre-deposited thin TiO2 film coated FTO by electrospinning of a mixture of PVac and titanium isopropoxide in N,N-dimethylformamide (DMF). The nanofibrous TiO2 membranes were obtained by calcining the electrospun composite nanofibres of PVac/titania as the precursor. Spectral sensitization of the nanofibrous TiO2 membranes was carried out with a ruthenium (II) complex, cis-dithiocyanate-N,N'-bis(2,2'-bipyridyl-4,4'-dicarboxylic acid) ruthenium (II) dihydrate. The results indicated that the photocurrent and conversion efficiency of electrodes can be increased with the addition of the pre-deposited TiO2 film and the adhesion treatment using DMF. Additionally, the dye loading, photocurrent, and efficiency of the electrodes were gradually increased by increasing the average thickness of the nanofibrous TiO2 membranes. The efficiency of the fibrous TiO2 photoelectrode with the average membrane thickness of 3.9 µm has a maximum value of 4.14%.

  7. Superior environment resistance of quartz crystal microbalance with anatase TiO2/ZnO nanorod composite films

    International Nuclear Information System (INIS)

    Qiang, Wei; Wei, Li; Shaodan, Wang; Yu, Bai

    2015-01-01

    Graphical abstract: ZnO nanorod array being prepared by an in situ method on the QCM coated with Au film via hydrothermal process and surface modification with coated TiO 2 by sol–gel methods to form a superhydrophobic TiO 2 /ZnO composite film the anatase TiO 2 /ZnO nanorod composite film with a sharp, pencil-like structure exhibiting excellent superhydrophobicity (water contact angle of 155°), non-sticking water properties, and an autonomous cleaning property under UV irradiation. The anatase TiO 2 /ZnO nanorod composite film facilitates the precise measurement and extended lifetime of the QCM for the detection of organic gas molecules. - Highlights: • This work combines, for the first time, the advantage of the TiO 2 /ZnO composite film on photocatalysis and reversible super-hydrophobic and super-hydrophilic transition, and puts forward a solution to satisfy weatherability of quartz crystal microbalance in long-term application. • The anatase TiO 2 /ZnO nanorod composite film with pencil structure exhibit excellent super-hydrophobicity (water contact angle can reach 155°), no-sticking water properties and self-cleaning property under UV irradiation. • The photocatalysis and reversible super-hydrophobic and super-hydrophilic transition of the TiO 2 /ZnO nanorod composite film is stable in long-term application. - Abstract: The precise measurement of quartz crystal microbalance (QCM) in the detection and weighing of organic gas molecules is achieved due to excellent superhydrophobicity of a deposited film composite. Photocatalysis is utilized as a method for the self-cleaning of organic molecules on the QCM for extended long-term stability in the precision of the instrument. In this paper, ZnO nanorod array is prepared via in situ methods on the QCM coated with Au film via hydrothermal process. Subsequently, a TiO 2 /ZnO composite film is synthesized by surface modification with TiO 2 via sol–gel methods. Results show the anatase TiO 2 /ZnO nanorod

  8. Influence of different TiO2 blocking films on the photovoltaic performance of perovskite solar cells

    Science.gov (United States)

    Zhang, Chenxi; Luo, Yudan; Chen, Xiaohong; Ou-Yang, Wei; Chen, Yiwei; Sun, Zhuo; Huang, Sumei

    2016-12-01

    Organolead trihalide perovskite materials have been successfully used as light absorbers in efficient photovoltaic (PV) cells. Cell structures based on mesoscopic metal oxides and planar heterojunctions have already demonstrated very impressive and brisk advances, holding great potential to grow into a mature PV technology. High power conversion efficiency (PCE) values have been obtained from the mesoscopic configuration in which a few hundred nano-meter thick mesoporous scaffold (e.g. TiO2 or Al2O3) infiltrated by perovskite absorber was sandwiched between the electron and hole transport layers. A uniform and compact hole-blocking layer is necessary for high efficient perovskite-based thin film solar cells. In this study, we investigated the characteristics of TiO2 compact layer using various methods and its effects on the PV performance of perovskite solar cells. TiO2 compact layer was prepared by a sol-gel method based on titanium isopropoxide and HCl, spin-coating of titanium diisopropoxide bis (acetylacetonate), screen-printing of Dyesol's bocking layer titania paste, and a chemical bath deposition (CBD) technique via hydrolysis of TiCl4, respectively. The morphological and micro-structural properties of the formed compact TiO2 layers were characterized by scanning electronic microscopy and X-ray diffraction. The analyses of devices performance characteristics showed that surface morphologies of TiO2 compact films played a critical role in affecting the efficiencies. The nanocrystalline TiO2 film deposited via the CBD route acts as the most efficient hole-blocking layer and achieves the best performance in perovskite solar cells. The CBD-based TiO2 compact and dense layer offers a small series resistance and a large recombination resistance inside the device, and makes it possible to achieve a high power conversion efficiency of 12.80%.

  9. Photoelectrocatalytic Degradation of Sodium Oxalate by TiO2/Ti Thin Film Electrode

    Directory of Open Access Journals (Sweden)

    Chen-Yu Chang

    2012-01-01

    Full Text Available The photocatalytically active TiO2 thin film was deposited on the titanium substrate plate by chemical vapor deposition (CVD method, and the photoelectrocatalytic degradation of sodium oxalate was investigated by TiO2 thin film reactor prepared in this study with additional electric potential at 365 nm irradiation. The batch system was chosen in this experiment, and the controlled parameters were pH, different supporting electrolytes, applied additional potential, and different electrolyte solutions that were examined and discussed. The experimental results revealed that the additional applied potential in photocatalytic reaction could prohibit recombination of electron/hole pairs, but the photoelectrocatalytic effect was decreased when the applied electric potential was over 0.25 V. Among the electrolyte solutions added, sodium sulfate improved the photoelectrocatalytic effect most significantly. At last, the better photoelectrocatalytic degradation of sodium oxalate occurred at pH 3 when comparing the pH influence.

  10. Photo-induced hydrophilicity of TiO2-xNx thin films on PET plates

    International Nuclear Information System (INIS)

    Chou, H.-Y.; Lee, E.-K.; You, J.-W.; Yu, S.-S.

    2007-01-01

    TiO 2-x N x thin films were deposited on PET (polyethylene terephthalate) plates by sputtering a TiN target in a N 2 /O 2 plasma and without heating. X-ray photoemission spectroscopy (XPS) was used to investigate the N 1s, Ti 2p core levels and the nitrogen composition in the TiO 2-x N x films. The results indicate that Ti-O-N bonds are formed in the thin films. Two nitrogen states, substitution and interstitial nitrogen atoms, were attributed to peaks at 396 and 399 eV, respectively. It was observed that the nitrogen atoms occupy both the substitutive and interstitial sites in respective of the nitrogen content in the thin films. UV-VIS absorption spectroscopy of PET coated thin films shows a significant shift of the absorption edge to lower energy in the visible-light region. UV and visible-light irradiation are used to activate PET coated thin films for the development of hydrophilicity. The photo-induced surface wettability conversion reaction of the thin films has been investigated by means of water contact angle measurement. PET plates coated with TiO 2-x N x thin films are found to exhibit lower water contact angle than non-coated plates when the surface is illuminated with UV and visible light. The effects of nitrogen doping on photo-generated hydrophilicity of the thin films are investigated in this work

  11. Properties of TiO2-based transparent conducting oxide thin films on GaN(0001) surfaces

    International Nuclear Information System (INIS)

    Kasai, J.; Nakao, S.; Yamada, N.; Hitosugi, T.; Moriyama, M.; Goshonoo, K.; Hoang, N. L. H.; Hasegawa, T.

    2010-01-01

    Anatase Nb-doped TiO 2 transparent conducting oxide has been formed on GaN(0001) surfaces using a sputtering method. Amorphous films deposited at room temperature were annealed at a substrate temperature of 500 deg. C in vacuum to form single-phase anatase films. Films with a thickness of 170 nm exhibited a resistivity of 8x10 -4 Ω cm with absorptance less than 5% at a wavelength of 460 nm. Furthermore, the refractive index of the Nb-doped TiO 2 was well matched to that of GaN. These findings indicate that Nb-doped TiO 2 is a promising material for use as transparent electrodes in GaN-based light emitting diodes (LEDs), particularly since reflection at the electrode/GaN boundary can be suppressed, enhancing the external quantum efficiency of blue LEDs.

  12. Revelation of rutile phase by Raman scattering for enhanced photoelectrochemical performance of hydrothermally-grown anatase TiO2 film

    Science.gov (United States)

    Cho, Hsun-Wei; Liao, Kuo-Lun; Yang, Jih-Sheng; Wu, Jih-Jen

    2018-05-01

    Photoelectrochemical (PEC) performances of the anatase TiO2 films hydrothermally grown on the seeded fluorine-doped tin oxide (FTO) substrates are examined in this work. Structural characterizations of the TiO2 films were conducted using Raman scattering spectroscopy. Although there is no obvious rutile peak appearing, an asymmetrical peak centered at ∼399 cm-1 was observed in the Raman spectra of the TiO2 films deposited either on the low-temperature-formed seed layers or with low concentrations of Ti precursor. The asymmetrical Raman shift can be deconvoluted into the B1g mode of anatase and Eg mode of rutile TiO2 peaks centered at ∼399 cm-1 and ∼447 cm-1, respectively. Therefore, a minute quantity of rutile phase was inspected in the anatase film using Raman scattering spectroscopy. With the same light harvesting ability, we found that the PEC performance of the anatase TiO2 film was significantly enhanced as the minute quantity of rutile phase existing in the film. It is ascribed to the formation of the anatase/rutile heterojunction which is beneficial to the charge separation in the photoanode.

  13. Self-organized nanocrack networks: a pathway to enlarge catalytic surface area in sputtered ceramic thin films, showcased for photocatalytic TiO2

    Science.gov (United States)

    Henkel, B.; Vahl, A.; Aktas, O. C.; Strunskus, T.; Faupel, F.

    2018-01-01

    Sputter deposited photocatalytic thin films offer high adherence and mechanical stability, but typically are outperformed in their photocatalytic properties by colloidal TiO2 nanostructures, which in turn typically suffer from problematic removal. Here we report on thermally controlled nanocrack formation as a feasible and batch applicable approach to enhance the photocatalytic performance of well adhering, reactively sputtered TiO2 thin films. Networks of nanoscopic cracks were induced into tailored columnar TiO2 thin films by thermal annealing. These deep trenches are separating small bundles of TiO2 columns, adding their flanks to the overall catalytically active surface area. The variation of thin film thickness reveals a critical layer thickness for initial nanocrack network formation, which was found to be about 400 nm in case of TiO2. The columnar morphology of the as deposited TiO2 layer with weak bonds between respective columns and with strong bonds to the substrate is of crucial importance for the formation of nanocrack networks. A beneficial effect of nanocracking on the photocatalytic performance was experimentally observed. It was correlated by a simple geometric model for explaining the positive impact of the crack induced enlargement of active surface area on photocatalytic efficiency. The presented method of nanocrack network formation is principally not limited to TiO2 and is therefore seen as a promising candidate for utilizing increased surface area by controlled crack formation in ceramic thin films in general.

  14. Development of Dye-Sensitized Solar Cells with Sputtered N-Doped TiO2 Thin Films: From Modeling the Growth Mechanism of the Films to Fabrication of the Solar Cells

    Directory of Open Access Journals (Sweden)

    D. A. Duarte

    2014-01-01

    Full Text Available In this paper, nitrogen-doped TiO2 thin films were deposited by DC reactive sputtering at different doping levels for the development of dye-sensitized solar cells. The mechanism of film growth during the sputtering process and the effect of the nitrogen doping on the structural, optical, morphological, chemical, and electronic properties of the TiO2 were investigated by numerical modeling and experimental methods. The influence of the nitrogen doping on the working principle of the prototypes was investigated by current-voltage relations measured under illuminated and dark conditions. The results indicate that, during the film deposition, the control of the oxidation processes of the nitride layers plays a fundamental role for an effective incorporation of substitutional nitrogen in the film structure and cells built with nitrogen-doped TiO2 have higher short-circuit photocurrent in relation to that obtained with conventional DSSCs. On the other hand, DSSCs built with nondoped TiO2 have higher open-circuit voltage. These experimental observations indicate that the incorporation of nitrogen in the TiO2 lattice increases simultaneously the processes of generation and destruction of electric current.

  15. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  16. An impact of the copper additive on photocatalytic and bactericidal properties of TiO2 thin films

    Directory of Open Access Journals (Sweden)

    Wojcieszak Damian

    2017-07-01

    Full Text Available The biological and photocatalytic activity of TiO2 and TiO2:Cu in relation to their structure, surface topography, wettability and optical properties of the thin films was investigated. Thin-film coatings were prepared by magnetron sputtering method in oxygen plasma with use of metallic targets (Ti and Ti-Cu. The results of structural studies revealed that addition of Cu into titania matrix (during the deposition process resulted in obtaining of an amorphous film, while in case of undoped TiO2, presence of nanocrystalline anatase (with crystallites size of 20 nm was found. Moreover, an addition of cooper had also an effect on surface diversification and decrease of its hydrophilicity. The roughness of TiO2:Cu film was 25 % lower (0.6 nm as-compared to titania (0.8 nm. These modifications of TiO2:Cu had an impact on the decrease of its photocatalytic activity, probably as a result of the active surface area decrease. Antibacterial and antifungal properties of the thin films against bacteria (Enterococcus hirae, Staphylococcus aureus, Bacillus subtilis, Escherichia coli and yeast (Candida albicans were also examined. For the purpose of this work the method dedicated for the evaluation of antimicrobial properties of thin films was developed. It was revealed that Cu-additive has a positive impact on neutralization of microorganisms.

  17. Influence of substrate temperature and annealing on structural and optical properties of TiO{sub 2} films deposited by reactive e-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Pjević, D., E-mail: dejanp@vinca.rs [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Marinković, T.; Savić, J.; Bundaleski, N.; Obradović, M.; Milosavljević, M. [VINČA Institute of Nuclear Sciences, University of Belgrade, PO Box 522, 11001 Belgrade (Serbia); Kulik, M. [Frank Laboratory of Neutron Physics, JINR, Joliot-Curie St. 6, Dubna 141980, Moscow Region (Russian Federation)

    2015-09-30

    The influence of deposition and post-deposition annealing parameters on the structure and optical properties of TiO{sub 2} thin films synthesized by reactive e-beam evaporation is reported. Pure Ti (99.9%) was evaporated in oxygen atmosphere to form thin films on Si (100) and glass substrates. Depositions were conducted on substrates held at room temperature and at 200–400 °C heated substrates. Post-deposition annealing was done for 3 h at 500 °C in air. Compositional and structural studies were performed by Rutherford backscattering spectrometry, X-ray diffraction, and X-ray photoelectron spectroscopy, and optical properties were studied by ultraviolet–visible spectroscopy and analytically by pointwise unconstrained minimization approach method. It was found that both the structure and optical properties of the films are strongly influenced by the deposition and processing parameters. All deposited samples showed good stoichiometry of Ti:O ~ 1:2. Depending on the substrate temperature and oxygen pressure in the chamber during the deposition, anatase–rutile mixed films were obtained, and in some cases TiO and Ti{sub 2}O{sub 3} phases were observed. Substrate deposition temperature appears to play the major role on the final structure of the films, while post-deposition annealing adds up for the lack of oxygen in some cases and invokes crystal grain growth of already initiated phases. The results can be interesting towards the development of TiO{sub 2} thin films with defined structure and optical properties. - Highlights: • TiO{sub 2} films were deposited by reactive e-beam evaporation. • Structure and properties were studied as a function of deposition temperature. • Stoichiometry of as-deposited films was Ti:O ~ 1:2, containing different Ti-O phases. • Post-deposition annealing yielded phase transformation, affecting the properties. • Refractive index increases with the substrate deposition temperature.

  18. Molecular dynamics study of the effect of substrate temperature and Ar ion assisted deposition on the deposition of amorphous TiO_2 films

    International Nuclear Information System (INIS)

    Chen, Xian; Zhang, Jing; Zhao, Yu-Qing

    2017-01-01

    Highlights: • The surface roughness of a-TiO_2 films is decreased with the increment of the Ar ion assisted energy. • The surface roughness of a-TiO_2 films is decreased with higher substrate temperature when the substrate has an island structure. • The assisted Ar ion has power of making a flat surface and increasing the local temperature. • The assisted Ar ion will influence the growth mode with the change of surface atom mobility. • The Volmer-Weber (island) growth mode is inhibited with a high assisted Ar ion energy. - Abstract: This paper has investigated the impact of the substrate temperature and Ar ion assisted deposition on the surface structure formation mechanism and the film properties during the amorphous TiO_2 thin film deposition process with the molecular dynamics simulation method. The results show that the reduction of the surface roughness happen when the energy of Ar ions assisted is increased or the substrate temperature rises, and also the film density on surface is changed with the increasing of Ar ions energy and substrate temperature. It is also found that the Volmer-Weber (island) growth mode of films is promoted by the lower Ar ion energy and higher substrate temperature when the substrate has an island structure. The assisted Ar ion has power of making a flat surface and increasing the local temperature. Besides, it will influence the growth mode with the change of surface atom mobility. With a high assisted Ar ion energy the Volmer-Weber (island) growth mode is inhibited, which will be conducive to the formation of more smooth film surface.

  19. Studies on transient characteristics of unipolar resistive switching processes in TiO2 thin film grown by atomic layer deposition

    Science.gov (United States)

    Sahu, Vikas Kumar; Das, Amit K.; Ajimsha, R. S.; Misra, P.

    2018-05-01

    The transient characteristics of resistive switching processes have been investigated in TiO2 thin films grown by atomic layer deposition (ALD) to study the temporal evolution of the switching processes and measure the switching times. The reset and set switching times of unipolar Au/TiO2/Pt devices were found to be ~250 µs and 180 ns, respectively in the voltage windows of 0.5–0.9 V for reset and 1.9–4.8 V for set switching processes, obtained from quasi-static measurements. The reset switching time decreased exponentially with increasing amplitude of applied reset voltage pulse, while the set switching time remained insensitive to the amplitude of the set voltage pulse. A fast reset process with a switching time of ~400 ns was achieved by applying a reset voltage of ~1.8 V, higher than that of the quasi-static reset voltage window but below the set voltage window. The sluggish reset process in TiO2 thin film and the dependence of the reset switching time on the amplitude of the applied voltage pulse was understood on the basis of a self-accelerated thermal dissolution model of conducting filaments (CFs), where a higher temperature of the CFs owing to enhanced Joule heating at a higher applied voltage imposes faster diffusion of oxygen vacancies, resulting in a shorter reset switching time. Our results clearly indicate that fast resistive switching with switching times in hundreds of nanoseconds can be achieved in ALD-grown TiO2 thin films. This may find applications in fast non-volatile unipolar resistive switching memories.

  20. The influence of metal interlayers on the structural and optical properties of nano-crystalline TiO 2 films

    KAUST Repository

    Yang, Yong; Zhang, Qiang; Zhang, Bei; Mi, Wenbo; Chen, Long; Li, Lin; Zhao, Chao; Diallo, Elhadj; Zhang, Xixiang

    2012-01-01

    TiO 2-M-TiO 2 (M = W, Co and Ag) multilayer films have been deposited on glass substrates using reactive magnetron sputtering, then annealed in air for 2 h at 500°C. The structure, surface morphology and optical properties of the films have been

  1. Studies of LPCVD and anodised TiO2 thin films and their photoelectrocatalytic photochemical properties for destruction of organic effluents

    International Nuclear Information System (INIS)

    Tian, F.

    2001-01-01

    TiO 2 thin films prepared by CVD and anodisation methods and their applications for the photoelectrocatalytic and photocatalytic destruction of organic effluents are described in this thesis. The theoretical background of CVD, photoelectrocatalysis (PEC) and photocatalysis (PC) is introduced in Chapter 1. This chapter also contains an intensive literature review about TiO 2 thin film preparation, mainly by CVD, and about PEC and PC destruction of organic effluents by TiO 2 thin films. The experimental methods, which include CVD reactors, PEC cells and film characterisation and chemical analysis, are described in Chapter 2. The results for TiO 2 films deposited by LPCVD on SnO 2 coated glass using either TTIP or TTB precursors in the presence of O 2 , with or without water as a reagent, are discussed in Chapter 3 for a small CVD reactor and Chapter 4 for a large reactor. The effects of precursor, water and annealing on the crystal structure of the films have been investigated and compared. It was found that phase transition temperatures for changes from amorphous to anatase and anatase to rutile with TTIP were higher than those obtained with TTB. Water also had an effect by decreasing the temperature for depositing crystalline films. The other kind of TiO 2 films prepared by anodisation of titanium mesh was studied and the results are presented in Chapter 5. PEC or PC destruction of MPA, RDX and 4-CP have been studied using TiO 2 thin film anodes in small and large PEC reactors which are described in Chapter 6 and Chapter 7, respectively. PC destruction rates of organics are found to be improved significantly with an applied potential; i.e. by a PEC process. The effects of film properties, such as film crystallinity, thickness and film type on the PEC and PC efficiencies have been investigated. It was found that the different behaviour of films in PEC processes probably was due to surface effects rather than internal electric field differences. The extent of PEC and

  2. Photocatalytic properties of nanocrystalline TiO2 thin film with Ag additions

    International Nuclear Information System (INIS)

    Chang, C.-C.; Lin, C.-K.; Chan, C.-C.; Hsu, C.-S.; Chen, C.-Y.

    2006-01-01

    In the present study, nanocrystalline TiO 2 /Ag composite thin films were prepared by a sol-gel spin coating technique. While, by introducing polystyrene (PS) microspheres, porous TiO 2 /Ag films were obtained after calcining at a temperature of 500 o C. The as-prepared TiO 2 and TiO 2 /Ag thin films were characterized by X-ray diffractometry, and scanning electron microscopy to reveal the structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. After 500 o C calcination, the microstructure of PS-TiO 2 film without Ag addition exhibited a sponge-like microstructure while significant sintering effect was noticed with Ag additions and the films exhibited a porous microstructure. Meanwhile, coalescence of nanocrystalline anatase-phase TiO 2 can be observed with respect to the sharpening of XRD diffraction peaks. The photodegradation of porous TiO 2 doped with 1 mol% Ag exhibited the best photocatalytic efficiency where 72% methylene blue can be decomposed after UV exposure for 12 h

  3. Production and Characterization of (004) Oriented Single Anatase TiO2 Films

    Science.gov (United States)

    Atay, Ferhunde; Akyuz, Idris; Cergel, Muge Soyleyici; Erdogan, Banu

    2018-02-01

    Highly (004) oriented anatase TiO2 films have been successfully obtained by an inexpensive ultrasonic spray pyrolysis technique at low substrate temperatures and without additional annealing. X-ray diffraction analysis, ultraviolet-visible spectroscopy and field emission scanning electron microscopy were used to analyze the structural, optical and surface properties of the films. By using the less reported TiCl4 solution, the optical band gap values falling into the visible region (between 2.70 eV and 2.92 eV) have been obtained for all films. Spectroscopic ellipsometry technique has been used to determine the dispersive refractive index and extinction coefficient of TiO2 films. Possible electrical conduction mechanisms in TiO2 films have been examined using temperature dependent conductivity measurements in the temperature range of 78-300 K. At room temperature, electrical resistivity values of TiO2 films change between 1.68 × 104 Ω cm and 5.88 × 104 Ω cm. Considering the analyzed parameters with respect to substrate temperature, this work refers to the properties of anatase TiO2 films that are strongly correlated to the growth direction, namely (004). As a result, (004) oriented anatase TiO2 films with appropriate optical band gap values are promising materials for technological applications, especially for photocatalysts.

  4. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    Science.gov (United States)

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  5. Synthesis, characterization and application of Co doped TiO2 multilayer thin films

    Science.gov (United States)

    Khan, M. I.

    2018-06-01

    To use the visible portion of solar light, 2% cobalt doped TiO2 (Co: TiO2) multilayer thin films having 1, 2, 3 and 4 stacked layers have been deposited on FTO substrates using spray pyrolysis technique. XRD results show that 1 and 2 layers of films have anatase phase. Brookite phase has been appeared at the 3 and 4 layered films. The average grain size of 1, 2, 3 and 4 layers of films are 14.4, 23.5, 29.7 and 33.6 nm respectively. UV-Vis results show that 4th layer film has high absorption in the visible region. The calculated Eg of 1, 2, 3 and 4 layers is 3.54, 3.42, 3.30 and 3.03 eV respectively. The calculated average sheet resistivity of 1, 2, 3 and 4 layers of films is 7.68 × 104, 4.54 × 104, 8.85 × 103 and 7.95 × 102 (ohm-m) respectively, according to four point probe technique. Solar simulator results show that highest solar conversion efficiency (5.6%) has been obtained by using 3 stacked layers photoanode. This new structure in the form of stack layers provides a way to improve the efficiency of optoelectronic devices.

  6. Quantum size effects in TiO2 thin films grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Massimo Tallarida

    2014-01-01

    Full Text Available We study the atomic layer deposition of TiO2 by means of X-ray absorption spectroscopy. The Ti precursor, titanium isopropoxide, was used in combination with H2O on Si/SiO2 substrates that were heated at 200 °C. The low growth rate (0.15 Å/cycle and the in situ characterization permitted to follow changes in the electronic structure of TiO2 in the sub-nanometer range, which are influenced by quantum size effects. The modified electronic properties may play an important role in charge carrier transport and separation, and increase the efficiency of energy conversion systems.

  7. TiO2 and Cu/TiO2 Thin Films Prepared by SPT

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2015-12-01

    Full Text Available Titanium oxide (TiO2 and copper (Cu doped titanium oxide (Cu/TiO2 thin films have been prepared by spray pyrolysis technique. Titanium chloride (TiCl4 and copper acetate (Cu(CH3COO2.H2O were used as source of Ti and Cu. The doping concentration of Cu was varied from 1-10 wt. %. The X-ray diffraction studies show that TiO2 thin films are tetragonal structure and Cu/TiO2 thin films implies CuO has present with monoclinic structure. The optical properties of the TiO2 thin films have been investigated as a function of Cu-doping level. The optical transmission of the thin films was found to increase from 88 % to 94 % with the addition of Cu up to 8 % and then decreases for higher percentage of Cu doping. The optical band gap (Eg for pure TiO2 thin film is found to be 3.40 eV. Due to Cu doping, the band gap is shifted to lower energies and then increases further with increasing the concentration of Cu. The refractive index of the TiO2 thin films is found to be 2.58 and the variation of refractive index is observed due to Cu doped. The room temperature resistivity of the films decreases with increasing Cu doping and is found to be 27.50 - 23.76 W·cm. It is evident from the present study that the Cu doping promoted the thin film morphology and thereby it is aspect for various applications.

  8. Origin of visible-light sensitivity in N-doped TiO2 films

    International Nuclear Information System (INIS)

    Nakano, Yoshitaka; Morikawa, Takeshi; Ohwaki, Takeshi; Taga, Yasunori

    2007-01-01

    We report on visible-light sensitivity in N-doped TiO 2 (TiO 2 :N) films that were deposited on n + -GaN/Al 2 O 3 substrates by reactive magnetron sputtering and subsequently crystallized by annealing at 550 deg. C in flowing N 2 gas. The N-doping concentration was ∼8.8%, as determined by X-ray photoelectron spectroscopy measurements. From transmission electron microscopic observations and optical absorption measurements, yellow-colored TiO 2 :N samples showed an enhanced granular structure and strong absorption in the visible-light region. Photoelectron spectroscopy in air measurements showed a noticeable decrease in ionization energy of TiO 2 by the N doping. Deep-level optical spectroscopy measurements revealed two characteristic deep levels located at ∼1.18 and ∼2.48 eV below the conduction band. The 1.18 eV level is probably attributable to the O vacancy state and can be active as an efficient generation-recombination center. The pronounced 2.48 eV band is newly introduced by the N doping and contributes to band-gap narrowing of TiO 2 by mixing with the O 2p valence band. Therefore, this localized intraband is probably one origin of visible-light sensitivity in TiO 2 :N

  9. Study the target effect on the structural, surface and optical properties of TiO2 thin film fabricated by RF sputtering method

    Science.gov (United States)

    Vyas, Sumit; Tiwary, Rohit; Shubham, Kumar; Chakrabarti, P.

    2015-04-01

    The effect of target (Ti metal target and TiO2 target) on Titanium Dioxide (TiO2) thin films grown on ITO coated glass substrate by RF magnetron sputtering has been investigated. A comparative study of both the films was done in respect of crystalline structure, surface morphology and optical properties by using X-ray diffractometer (XRD), Atomic Force Microscopy (AFM) studies and ellipsometric measurements. The XRD results confirmed the crystalline structure and indicated that the deposited films have the intensities of anatase phase. The surface morphology and roughness values indicated that the film using Ti metal target has a smoother surface and densely packed with grains as compared to films obtained using TiO2 target. A high transmission in the visible region, and direct band gap of 3.67 eV and 3.75 eV for films derived by using Ti metal and TiO2 target respectively and indirect bandgap of 3.39 eV for the films derived from both the targets (Ti metal and TiO2 target) were observed by the ellipsometric measurements.

  10. Structure and Properties of La2O3-TiO2 Nanocomposite Films for Biomedical Applications

    Science.gov (United States)

    Zhang, Lin; Sun, Zhi-Hua; Yu, Feng-Mei; Chen, Hong-Bin

    2011-01-01

    The hemocompatibility of La2O3-doped TiO2 films with different concentration prepared by radio frequency (RF) sputtering was studied. The microstructures and blood compatibility of TiO2 films were investigated by scan electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), and UV-visible optical absorption spectroscopy, respectively. With the increasing of the La2O3 concentrations, the TiO2 films become smooth, and the grain size becomes smaller. Meanwhile, the band gap of the samples increases from 2.85 to 3.3 eV with increasing of the La2O3 content in TiO2 films from 0 to 3.64%. La2O3-doped TiO2 films exhibit n-type semiconductor properties due to the existence of Ti2+ and Ti3+. The mechanism of hemocompatibility of TiO2 film doped with La2O3 was analyzed and discussed. PMID:22162671

  11. Hydroxyapatite coatings on titanium dioxide thin films prepared by pulsed laser deposition method

    International Nuclear Information System (INIS)

    Suda, Yoshiaki; Kawasaki, Hiroharu; Ohshima, Tamiko; Nakashima, Shouta; Kawazoe, Syuichi; Toma, Tetsuya

    2006-01-01

    Hydroxyapatite (HAp) coated on titanium dioxide (TiO 2 ) thin films has been developed to supplement the defects of both TiO 2 and HAp. Thin films have been prepared by pulsed laser deposition (PLD) method using HAp and HAp(10%) + TiO 2 targets. X-ray diffraction (XRD) shows that there are many small peaks of Ca 1 0(PO 4 ) 6 (OH) 2 crystal, and no impurity other than HAp is detected in HAp films prepared using pure HAp target. The composition ratio of the film was analyzed by X-ray photoelectron spectroscopy (XPS). HAp coatings on TiO 2 thin films have been prepared using HAp(10%) + TiO 2 targets. XRD and XPS measurements suggest that crystalline HAp + TiO 2 thin films are obtained by the PLD method using HAp(10%) + TiO 2 target

  12. Intrinsic Photocatalytic Assessment of Reactively Sputtered TiO2 Films

    NARCIS (Netherlands)

    Rafieian Boroujeni, Damon; Driessen, Rick Theodorus; Driessen, Rick T.; Ogieglo, Wojciech; Lammertink, Rob G.H.

    2015-01-01

    Thin TiO2 films were prepared by DC magnetron reactive sputtering at different oxygen partial pressures. Depending on the oxygen partial pressure during sputtering, a transition from metallic Ti to TiO2 was identified by spectroscopic ellipsometry. The crystalline nature of the film developed during

  13. Electro-spray deposition of a mesoporous TiO2 charge collection layer: toward large scale and continuous production of high efficiency perovskite solar cells.

    Science.gov (United States)

    Kim, Min-cheol; Kim, Byeong Jo; Yoon, Jungjin; Lee, Jin-wook; Suh, Dongchul; Park, Nam-gyu; Choi, Mansoo; Jung, Hyun Suk

    2015-12-28

    The spin-coating method, which is widely used for thin film device fabrication, is incapable of large-area deposition or being performed continuously. In perovskite hybrid solar cells using CH(3)NH(3)PbI(3) (MAPbI(3)), large-area deposition is essential for their potential use in mass production. Prior to replacing all the spin-coating process for fabrication of perovskite solar cells, herein, a mesoporous TiO(2) electron-collection layer is fabricated by using the electro-spray deposition (ESD) system. Moreover, impedance spectroscopy and transient photocurrent and photovoltage measurements reveal that the electro-sprayed mesoscopic TiO(2) film facilitates charge collection from the perovskite. The series resistance of the perovskite solar cell is also reduced owing to the highly porous nature of, and the low density of point defects in, the film. An optimized power conversion efficiency of 15.11% is achieved under an illumination of 1 sun; this efficiency is higher than that (13.67%) of the perovskite solar cell with the conventional spin-coated TiO(2) films. Furthermore, the large-area coating capability of the ESD process is verified through the coating of uniform 10 × 10 cm(2) TiO(2) films. This study clearly shows that ESD constitutes therefore a viable alternative for the fabrication of high-throughput, large-area perovskite solar cells.

  14. Preparation and characterization of nanocrystalline porous TiO2/WO3 composite thin films

    International Nuclear Information System (INIS)

    Hsu, C.-S.; Lin, C.-K.; Chan, C.-C.; Chang, C.-C.; Tsay, C.-Y.

    2006-01-01

    TiO 2 materials possessing not only photocatalytic but also electrochromic properties have attracted many research and development interests. Though WO 3 exhibits excellent electrochromic properties, the much higher cost and water-sensitivity of WO 3 as compared with the TiO 2 may restrict the practical application of WO 3 materials. In the present study, the feasibility of preparing nanocrystalline porous TiO 2 /WO 3 composite thin films was investigated. Precursors of sols TiO 2 and/or WO 3 and polystyrene microspheres were used to prepare nanocrystalline pure TiO 2 , WO 3 , and composite TiO 2 /WO 3 thin films by spin coating. The spin-coated thin films were amorphous and, after heat treating at a temperature of 500 o C, nanocrystalline TiO 2 , TiO 2 /WO 3 , and WO 3 thin films with or without pores were prepared successfully. The heat-treated thin films were colorless and coloration-bleaching phenomena can be observed during cyclic voltammetry tests. The heat-treated thin films exhibited good reversible electrochromic behavior while the porous TiO 2 /WO 3 composite film exhibited improved electrochromic properties

  15. TiO2 Films Modified with Au Nanoclusters as Self-Cleaning Surfaces under Visible Light

    Directory of Open Access Journals (Sweden)

    Ting-Wei Liao

    2018-01-01

    Full Text Available In this study, we applied cluster beam deposition (CBD as a new approach for fabricating efficient plasmon-based photocatalytic materials. Au nanoclusters (AuNCs produced in the gas phase were deposited on TiO2 P25-coated silicon wafers with coverage ranging from 2 to 8 atomic monolayer (ML equivalents. Scanning Electron Microscopy (SEM images of the AuNCs modified TiO2 P25 films show that the surface is uniformly covered by the AuNCs that remain isolated at low coverage (2 ML, 4 ML and aggregate at higher coverage (8 ML. A clear relationship between AuNCs coverage and photocatalytic activity towards stearic acid photo-oxidation was measured, both under ultraviolet and green light illumination. TiO2 P25 covered with 4 ML AuNCs showed the best stearic acid photo-oxidation performance under green light illumination (Formal Quantum Efficiency 1.6 × 10−6 over a period of 93 h. These results demonstrate the large potential of gas-phase AuNCs beam deposition technology for the fabrication of visible light active plasmonic photocatalysts.

  16. Synthesis of nanocrystalline TiO2 thin films by liquid phase ...

    Indian Academy of Sciences (India)

    WINTEC

    goes degradation efficiently in presence of TiO2 thin films by exposing its aqueous solution to .... Figure 6. Photodegradation of IGOR organic dye by a. bare TiO2 thin film and b. ... Meng L-J and Dos Santos M P 1993 Thin Solid Films 226 22.

  17. Influence of nanocrystalline structure and surface properties of TiO2 thin films on the viability of L929 cells

    Directory of Open Access Journals (Sweden)

    Osękowska Małgorzata

    2015-09-01

    Full Text Available In this work the physicochemical and biological properties of nanocrystalline TiO2 thin films were investigated. Thin films were prepared by magnetron sputtering method. Their properties were examined by X-ray diffraction, photoelectron spectroscopy, atomic force microscopy, optical transmission method and optical profiler. Moreover, surface wettability and scratch resistance were determined. It was found that as-deposited coatings were nanocrystalline and had TiO2-anatase structure, built from crystallites in size of 24 nm. The surface of the films was homogenous, composed of closely packed grains and hydrophilic. Due to nanocrystalline structure thin films exhibited good scratch resistance. The results were correlated to the biological activity (in vitro of thin films. Morphological changes of mouse fibroblasts (L929 cell line after contact with the surface of TiO2 films were evaluated with the use of a contrast-phase microscope, while their viability was tested by MTT colorimetric assay. The viability of cell line upon contact with the surface of nanocrystalline TiO2 film was comparable to the control sample. L929 cells had homogenous cytoplasm and were forming a confluent monofilm, while lysis and inhibition of cell growth was not observed. Moreover, the viability in contact with surface of examined films was high. This confirms non-cytotoxic effect of TiO2 film surface on mouse fibroblasts.

  18. Electrochemical and spectroelectrochemical characterization of different mesoporous TiO2 film electrodes for the immobilization of Cytochrome c

    Science.gov (United States)

    Katsiaounis, Stavros; Tiflidis, Christina; Tsekoura, Christina; Topoglidis, Emmanuel

    2018-03-01

    In this work three different mesoporous TiO2 film electrodes were prepared and used for the immobilization of Cytochrome c (Cyt-c). Films prepared via a standard sol-gel route (SG-films) were compared with commercially available benchmark nanotitania materials, namely P25 Degussa (P25-films) and Dyesol nanopaste (Dyesol films). Their properties, film deposition characteristics and their abilities to adsorb protein molecules in a stable and functional way were examined. We investigated whether it is possible, rather than preparing TiO2 films using multistep, lengthy and not always reproducible sol-gel procedures, to use commercially available nanotitania materials and produce reproducible films faster that exhibit all the properties that make TiO2 films ideal for protein immobilization. Although these materials are formulated primarily for dye-sensitized solar cell applications, in this study we found out that protein immobilization is facile and remarkably stable on all of them. We also investigated their electrochemical properties by using cyclic voltammetry and spectroelectrochemistry and found out that not only direct reduction of Fe(III)-heme to Fe(II)-heme of immobilized Cyt-c was possible on all films but that the adsorbed protein remained electroactive.

  19. Synthesis and characterization of titanium dioxide thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Escobar A, L.; Camps C, E.; Falcon B, T.; Carapia M, L.; Haro P, E.; Camacho L, M.A.

    2000-01-01

    In this work are presented the results obtained when TiO 2 thin films were deposited using the laser ablation technique. Thin films were deposited at different substrate temperatures, and different oxygen pressures, with the purpose of studying the influence of this deposit parameters in the structural characteristics of the films obtained. The structural characterization was realized through Raman Spectroscopy and X-ray Diffraction (XRD), the surface morphology of the layers deposited was verified by Scanning Electron Microscopy (Sem). The results show that the films obtained are of TiO 2 in rutile phase, getting this at low substrate temperatures, its morphology shows a soft surface with some spattered particles and good adherence. (Author)

  20. In situ modification of cell-culture scaffolds by photocatalysis of visible-light-responsive TiO2 film

    Science.gov (United States)

    Kono, Sho; Furusawa, Kohei; Kurotobi, Atsushi; Hattori, Kohei; Yamamoto, Hideaki; Hirano-Iwata, Ayumi; Tanii, Takashi

    2018-02-01

    We propose a novel process to modify the cell affinity of scaffolds in a cell-culture environment using the photocatalytic activity of visible-light (VL)-responsive TiO2. The proposed process is the improved version of our previous demonstration in which ultraviolet (UV)-responsive TiO2 was utilized. In that demonstration, we showed that cell-repellent molecules on TiO2 were decomposed and replaced with cell-permissive molecules upon UV exposure in the medium where cells are being cultured. However, UV irradiation involves taking the risk of inducing damage to the cells. In this work, a TiO2 film was sputter-deposited on a quartz coverslip at 640 °C without O2 gas injection to create a rutile structure containing oxygen defects, which is known to exhibit photocatalytic activity upon VL exposure. We show that the cell adhesion site and migration area can be controlled with the photocatalytic activity of the VL-responsive TiO2 film, while the cellular oxidative stress is reduced markedly by the substitution of VL for UV.

  1. Influence of substrate on structural, morphological and optical properties of TiO2 thin films deposited by reaction magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Xinghua Zhu

    2017-12-01

    Full Text Available Titanium dioxide (TiO2 films have been prepared by DC reaction magnetron sputtering technique on different substrates (glass, SiO2, platinum electrode-Pt, Silicon-Si. X-ray diffraction (XRD patterns showed that all TiO2 films were grown along the preferred orientation of (110 plane. Samples on Si and Pt substrates are almost monophasic rutile, however, samples on glass and SiO2 substrates accompanied by a weak anatase structure. Atomic force microscopy (AFM images revealed uniform grain distribution except for films on Pt substrates. Photoluminescence (PL spectra showed obvious intrinsic emission band, but films on glass was accompanied by a distinct defect luminescence region. Raman spectroscopy suggested that all samples moved to high wavenumbers and films on glass moved obviously.

  2. Effect of Cu2O morphology on photocatalytic hydrogen generation and chemical stability of TiO2/Cu2O composite.

    Science.gov (United States)

    Zhu, Lihong; Zhang, Junying; Chen, Ziyu; Liu, Kejia; Gao, Hong

    2013-07-01

    Improving photocatalytic activity and stability of TiO2/Cu2O composite is a challenge in generating hydrogen from water. In this paper, the TiO2 film/Cu2O microgrid composite was prepared via a microsphere lithography technique, which possesses a remarkable performance of producing H2 under UV-vis light irradiation, in comparison with pure TiO2 film, Cu2O film and TiO2 film/Cu2O film. More interesting is that in TiO2 film/Cu2O microgrid, photo-corrosion of Cu2O can be retarded. After deposition of Pt on its surface, the photocatalytic activity of TiO2/Cu2O microgrid in producing H2 is improved greatly.

  3. TiO2 thin-films on polymer substrates and their photocatalytic activity

    International Nuclear Information System (INIS)

    Yang, Jae-Hun; Han, Yang-Su; Choy, Jin-Ho

    2006-01-01

    We have developed dip-coating process for TiO 2 -thin film on polymer substrates (acrylonitrile-butadiene-styrene polymer: ABS, polystyrene: PS). At first, a monodispersed and transparent TiO 2 nano-sol solution was prepared by the controlled hydrolysis of titanium iso-propoxide in the presence of acetylacetone and nitric acid catalyst at 80 deg. C. Powder X-ray diffraction patterns of the dried particles are indicative of crystalline TiO 2 with anatase-type structure. According to the XRD and transmission electron microscopy (TEM) studies, the mean particle size was estimated to be ca. 5 nm. The transparent thin films on ABS and PS substrates were fabricated by dip-coating process by changing the processing variables, such as the number of dip-coating and TiO 2 concentration in nano-sol solution. Scanning electron microscopic (SEM) analysis for the thin film samples reveals that the acetylacetone-modified TiO 2 nano-sol particles are effective for enhancing the interfacial adherence between films and polymeric substrates compared to the unmodified one. Photocatalytic degradation of methylene blue (MB) on the TiO 2 thin-films has also been systematically investigated

  4. Effects of LP-MOCVD prepared TiO2 thin films on the in vitro behavior of gingival fibroblasts

    International Nuclear Information System (INIS)

    Cimpean, Anisoara; Popescu, Simona; Ciofrangeanu, Cristina M.; Gleizes, Alain N.

    2011-01-01

    We report on the in vitro response of human gingival fibroblasts (HGF-1 cell line) to various thin films of titanium dioxide (TiO 2 ) deposited on titanium (Ti) substrates by low pressure metal-organic chemical vapor deposition (LP-MOCVD). The aim was to study the influence of film structural parameters on the cell behavior comparatively with a native-oxide covered titanium specimen, this objective being topical and interesting for materials applications in implantology. HGF-1 cells were cultured on three LP-MOCVD prepared thin films of TiO 2 differentiated by their thickness, roughness, transversal morphology, allotropic composition and wettability, and on a native-oxide covered Ti substrate. Besides traditional tests of cell viability and morphology, the biocompatibility of these materials was evaluated by fibronectin immunostaining, assessment of cell proliferation status and the zymographic evaluation of gelatinolytic activities specific to matrix metalloproteinases secreted by cells grown in contact with studied specimens. The analyzed surfaces proved to influence fibronectin fibril assembly, cell proliferation and capacity to degrade extracellular matrix without considerably affecting cell viability and morphology. The MOCVD of TiO 2 proved effective in positively modifying titanium surface for medical applications. Surface properties playing a crucial role for cell behavior were the wettability and, secondarily, the roughness, HGF-1 cells preferring a moderately rough and wettable TiO 2 coating.

  5. Influence of substrate bias voltage on the properties of TiO2 deposited by radio-frequency magnetron sputtering on 304L for biomaterials applications

    Science.gov (United States)

    Bait, L.; Azzouz, L.; Madaoui, N.; Saoula, N.

    2017-02-01

    The aim of this paper is to investigate the effect of the substrate bias, varied from 0 to -100 V, on the structure and properties of the TiO2 thin films for biomaterials applications. The TiO2 films were grown onto 304L stainless steel substrate using radio-frequency (rf) magnetron sputtering from a pure titanium target in Ar-O2 gas mixture. The variation of substrate bias voltage from 0 to -100 V produces variations of structure and mechanical properties of the films. The deposited films were characterized by X-rays diffraction, nanoindentation and potentiodynamic polarization. Also, the friction and wear properties of TiO2 films sliding against alumina ball in air were investigated. Experimental results showed that the thickness increases for non-biased substrate voltage to Vs = -100 V from 820 nm to 1936 nm respectively. The roughness is in the range of 50 nm and 14 nm. XRD results show that all structures of the films are crystalline and changed with varying the bias voltage. The anatase phase is predominant in the low negative bias range (0-50 V). The hardness significantly increased from 2.2 to 6.4 GPa when the bias voltage was increased from 0 to 75 V and then slightly decrease to 5.1 GPa as further increased to 100 V. At the same time, the results indicate that TiO2 films deposited at -100 V exhibited better wear resistance compared to the other samples, i.e. the minimum wear rates and the lower coefficient of friction of 0.16. In order to simulate natural biological conditions, physiological serum (pH = 6.3), thermostatically controlled at 37 °C, was used as the electrolyte for the study of the electrochemical properties. Comparison between the corrosion resistance of the uncoated and coated samples showed a reduction in corrosion current density for coated samples compared to the uncoated one. The best corrosion current density of the film deposited at -75 V was 5.9 nA/cm2, which is about 11 times less than that of the uncoated steel 68.3 nA/cm2). The

  6. CO2 Plasma-Treated TiO2 Film as an Effective Electron Transport Layer for High-Performance Planar Perovskite Solar Cells.

    Science.gov (United States)

    Wang, Kang; Zhao, Wenjing; Liu, Jia; Niu, Jinzhi; Liu, Yucheng; Ren, Xiaodong; Feng, Jiangshan; Liu, Zhike; Sun, Jie; Wang, Dapeng; Liu, Shengzhong Frank

    2017-10-04

    Perovskite solar cells (PSCs) have received great attention because of their excellent photovoltaic properties especially for the comparable efficiency to silicon solar cells. The electron transport layer (ETL) is regarded as a crucial medium in transporting electrons and blocking holes for PSCs. In this study, CO 2 plasma generated by plasma-enhanced chemical vapor deposition (PECVD) was introduced to modify the TiO 2 ETL. The results indicated that the CO 2 plasma-treated compact TiO 2 layer exhibited better surface hydrophilicity, higher conductivity, and lower bulk defect state density in comparison with the pristine TiO 2 film. The quality of the stoichiometric TiO 2 structure was improved, and the concentration of oxygen-deficiency-induced defect sites was reduced significantly after CO 2 plasma treatment for 90 s. The PSCs with the TiO 2 film treated by CO 2 plasma for 90 s exhibited simultaneously improved short-circuit current (J SC ) and fill factor. As a result, the PSC-based TiO 2 ETL with CO 2 plasma treatment affords a power conversion efficiency of 15.39%, outperforming that based on pristine TiO 2 (13.54%). These results indicate that the plasma treatment by the PECVD method is an effective approach to modify the ETL for high-performance planar PSCs.

  7. Electrodeposition of flake-like Cu_2O on vertically aligned two-dimensional TiO_2 nanosheet array films for enhanced photoelectrochemical properties

    International Nuclear Information System (INIS)

    Yang, Lei; Zhang, Miao; Zhu, Kerong; Lv, Jianguo; He, Gang; Sun, Zhaoqi

    2017-01-01

    Highlights: • Flake-like Cu_2O/TNS with exposed {001} facets constructed p-n heterostructure. • The TNS arrays were used as starting substrates for Cu_2O growth. • The Cu_2O/TNS prepared at −0.4 V exhibits the best photoelectrochemical property. - Abstract: A novel Cu_2O/TNS composite structure of single crystal TiO_2 nanosheet (TNS) arrays decorated with flake-like Cu_2O were synthesized by a facile hydrothermal reaction followed by the electrodeposition process. The effects of deposition potential on the microstructure, morphology, and optical property of the thin films were investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and UV–vis spectrophotometer. When the deposition potential is higher than −0.4 V, peaks corresponding to Cu appear, meanwhile, flake-like Cu_2O become agglomerating, and transform into dense Cu_2O particles. Additionally, photoelectrochemical experiments indicate that the films deposited at −0.4 V show the lowest resistivity and highest exciton separation efficiency. This enhanced photoelectrochemical properties can be explained by synergistic effect of p-type flake-like Cu_2O and n-type TiO_2 heterojunctions combined with two-dimensional TiO_2 nanosheet with exposed highly reactive {001} facets.

  8. Raman scattering and x-ray diffractometry studies of epitaxial TiO2 and VO2 thin films and multilayers on α-Al2O3(11 bar 20)

    International Nuclear Information System (INIS)

    Foster, C.M.; Chiarello, R.P.; Chang, H.L.M.; You, H.; Zhang, T.J.; Frase, H.; Parker, J.C.; Lam, D.J.

    1993-01-01

    Epitaxial thin films of TiO 2 and VO 2 single layers and TiO 2 /VO 2 multilayers were grown on (11 bar 20) sapphire (α-Al 2 O 3 ) substrates using the metalorganic chemical vapor deposition technique and were characterized using Raman scattering and four x-ray diffractometry. X-ray diffraction results indicate that the films are high quality single crystal material with well defined growth plane and small in-plane and out-of-plane mosaic. Single-layer films are shown to obey the Raman selection rules of TiO 2 and VO 2 single crystals. The close adherence to the Raman selection rules indicates the high degree of orientation of the films, both parallel and perpendicular to the growth plane. Selection rule spectra of two and three layer TiO 2 /VO 2 multilayers are dominated by the VO 2 layers with only minimal signature of the TiO 2 layers. Due to the low band gap of semiconducting vanadium dioxide, we attribute the strong signature of the VO 2 layers to resonant enhancement of the VO 2 Raman component accompanied with absorption of the both the incident and scattered laser light from the TiO 2 layers

  9. The properties of transparent TiO2 films for Schottky photodetector

    Directory of Open Access Journals (Sweden)

    Sung-Ho Park

    2017-08-01

    Full Text Available In this data, the properties of transparent TiO2 film for Schottky photodetector are presented for the research article, entitled as “High-performing transparent photodetectors based on Schottky contacts” (Patel et al., 2017 [1]. The transparent photoelectric device was demonstrated by using various Schottky metals, such as Cu, Mo and Ni. This article mainly shows the optical transmittance of the Ni-transparent Schottky photodetector, analyzed by the energy dispersive spectroscopy and interfacial TEM images for transparency to observe the interface between NiO and TiO2 film. The observation and analyses clearly show that no pinhole formation in the TiO2 film by Ni diffusion. The rapid thermal process is an effective way to form the quality TiO2 film formation without degradation, such as pinholes (Qiu et al., 2015 [2]. This thermal process may apply to form functional metal oxide layers for solar cells and photodetectors.

  10. CdSxSe1−x alloyed quantum dots-sensitized solar cells based on different architectures of anodic oxidation TiO2 film

    International Nuclear Information System (INIS)

    Li, Zhen; Yu, Libo; Liu, Yingbo; Sun, Shuqing

    2014-01-01

    Nanostructured TiO 2 translucent films with different architectures including TiO 2 nanotube (NT), TiO 2 nanowire (NW), and TiO 2 nanowire/nanotube (NW/NT) have been produced by second electrochemical oxidization of TiO 2 NT with diameter around 90–110 nm via modulation of applied voltage. These TiO 2 architectures are sensitized with CdS x Se 1−x alloyed quantum dots (QDs) in sizes of around 3–5 nm aiming to tune the response of the photoelectrochemical properties in the visible region. One-step hydrothermal method facilitates the deposition of CdS x Se 1−x QDs onto TiO 2 films. These CdS x Se 1−x QDs exhibit a tunable range of light absorption with changing the feed molar ratio of S:Se in precursor solution, and inject electrons into TiO 2 films upon excitation with visible light, enabling their application as photosensitizers in sensitized solar cells. Power conversion efficiency (PCE) of 2.00, 1.72, and 1.06 % are achieved with CdS x Se 1−x (obtained with S:Se = 0:4) alloyed QDs sensitized solar cells based on TiO 2 NW/NT, TiO 2 NW, and TiO 2 NT architectures, respectively. The significant enhancement of power conversion efficiency obtained with the CdS x Se 1−x /TiO 2 NW/NT solar cell can be attributed to the extended absorption of light region tuned by CdS x Se 1−x alloyed QDs and enlarged deposition of QDs and efficient electrons transport provided by TiO 2 NW/NT architecture

  11. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  12. Enhanced electrochromic properties of TiO2 nanoporous film prepared based on an assistance of polyethylene glycol

    Science.gov (United States)

    Xu, Shunjian; Luo, Xiaorui; Xiao, Zonghu; Luo, Yongping; Zhong, Wei; Ou, Hui; Li, Yinshuai

    2017-01-01

    Polyethylene glycol (PEG) was employed as pore-forming agent to prepare TiO2 nanoporous film based on spin-coating a TiO2 nanoparticle mixed paste on fluorine doped tin oxide (FTO) glass. The electrochromic and optical properties of the obtained TiO2 film were investigated by cyclic voltammetry (CV), chronoamperometry (CA) and UV-Vis spectrophotometer. The results show that the PEG in the mixed paste endows the TiO2 film with well-developed porous structure and improves the uniformity of the TiO2 film, which are helpful for the rapid intercalation and extraction of lithium ions within the TiO2 film and the strengthening of the diffuse reflection of visible light in the TiO2 film. As a result, the TiO2 film derived from the mixed paste with PEG displays higher electrochemical activity and more excellent electrochromic performances compared with the TiO2 film derived from the mixed paste without PEG. The switching times of coloration/bleaching are respectively 10.16/5.65 and 12.77/6.13 s for the TiO2 films with PEG and without PEG. The maximum value of the optical contrast of the TiO2 film with PEG is 21.2% while that of the optical contrast of the TiO2 film without PEG is 14.9%. Furthermore, the TiO2 film with PEG has better stability of the colored state than the TiO2 film without PEG.

  13. Metal-to-Insulator Transition in Anatase TiO2 Thin Films Induced by Growth Rate Modulation

    International Nuclear Information System (INIS)

    Tachikawa, Takashi

    2012-01-01

    We demonstrate control of the carrier density of single phase anatase TiO 2 thin films by nearly two orders of magnitude by modulating the growth kinetics during pulsed laser deposition, under fixed thermodynamic conditions. The resistivity and the intensity of the photoluminescence spectra of these TiO 2 samples, both of which correlate with the number of oxygen vacancies, are shown to depend strongly on the growth rate. A quantitative model is used to explain the carrier density changes.

  14. Influence of annealing on X-ray radiation sensing properties of TiO2 thin film

    Science.gov (United States)

    Sarma, M. P.; Kalita, J. M.; Wary, G.

    2018-03-01

    A recent study shows that the titanium dioxide (TiO2) thin film synthesised by a chemical bath deposition technique is a very useful material for the X-ray radiation sensor. In this work, we reported the influence of annealing on the X-ray radiation detection sensitivity of the TiO2 film. The films were annealed at 333 K, 363 K, 393 K, 473 K, and 573 K for 1 hour. Structural analyses showed that the microstrain and dislocation density decreased whereas the average crystallite size increased with annealing. The band gap of the films also decreased from 3.26 eV to 3.10 eV after annealing. The I-V characteristics record under the dark condition and under the X-ray irradiation showed that the conductivity increased with annealing. The influence of annealing on the detection sensitivity was negligible if the bias voltage applied across the films was low (within 0.2 V‒1.0 V). At higher bias voltage (>1.0 V), the contribution of electrons excited by X-ray became less significant which affected the detection sensitivity.

  15. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Damon Rafieian

    2015-09-01

    Full Text Available We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx<2, obtained by sputtering at relatively low oxygen concentration, formed rutile upon annealing in air, whereas stoichiometric films formed anatase. This route therefore presents a formation route for rutile films via lower (<500 °C temperature pathways. The dynamics of the annealing process were followed by in situ ellipsometry, showing the optical properties transformation. The final crystal structures were identified by XRD. The anatase film obtained by this deposition method displayed high carriers mobility as measured by time-resolved microwave conductance. This also confirms the high photocatalytic activity of the anatase films.

  16. Characterization of Pb(Zr, Ti)O sub 3 thin films prepared by metal-organic chemical-vapor deposition using a solid delivery system

    CERN Document Server

    Shin, J C; Hwang, C S; Kim, H J; Lee, J M

    1999-01-01

    Pb(Zr, Ti)O sub 3 (PZT) thin films were deposited on Pt/SiO sub 2 /Si substrates by metal-organic chemical-vapor deposition technique using a solid delivery system to improve the reproducibility of the deposition. The self-regulation mechanism, controlling the Pb-content of the film, was observed to work above a substrate temperature of 620 .deg. C. Even with the self-regulation mechanism, PZT films having low leakage current were obtained only when the molar mixing ratio of the input precursors was 1

  17. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  18. Co3O4/TiO2 films obtained by laser ablation and sol-gel for the reaction of oxygen liberation in alkaline medium

    International Nuclear Information System (INIS)

    Perez A, J.; Fernandez V, S. M.; Escobar A, L.; Jimenez B, J.

    2008-01-01

    The laser ablation technique known as Pulsed Laser Deposition (PLD) is used for obtaining thin films of TiO 2 /SnO 2 , which was later modified with Co 3 O 4 by PLD or by sol-gel technique. The films were characterized by X-ray diffraction, ultraviolet Vis and Raman spectroscopies, scanning electron microscopy and energy analysis of the dispersed X-rays produced by Auger decay. The anatase phase with particles of nano metric size was obtained by depositing the titanium dioxide in argon atmosphere. The Co 3 O 4 films obtained by PLD on the TiO 2 showed the same morphology. The electrocatalytic activity of the films that were used as photo anodes for the reaction of oxygen liberation was carried out in the darkness, with environment light and the light emitted by a xenon lamp. The current density was higher for films of Co 3 O 4 /TiO 2 /SnO 2 obtained by PLD that for cobalt dioxide of mixed valence obtained by sol-gel. (Author)

  19. Nitrogen and europium doped TiO2 anodized films with applications in photocatalysis

    International Nuclear Information System (INIS)

    Chi, Choong-Soo; Choi, Jinwook; Jeong, Yongsoo; Lee, Oh Yeon; Oh, Han-Jun

    2011-01-01

    Micro-arc oxidation method is a useful process for mesoporous titanium dioxide films. In order to improve the photocatalytic activity of the TiO 2 film, N-Eu co-doped titania catalyst was synthesized by micro-arc oxidation in the H 2 SO 4 /Eu(NO 3 ) 3 mixture solution. The specific surface area and the roughness of the anodic titania film fabricated in the H 2 SO 4 /Eu(NO 3 ) 3 electrolyte, were increased compared to that of the anodic TiO 2 film prepared in H 2 SO 4 solution. The absorbance response of N-Eu titania film shows a higher adsorption onset toward visible light region, and the incorporated N and Eu ions during anodization as a dopant in the anodic TiO 2 film significantly enhanced the photocatalytic activity for dye degradation. After dye decomposition test for 3 h, dye removal rates for the anodic TiO 2 film were 60.7% and 90.1% for the N-Eu doped titania film. The improvement of the photocatalytic activity was ascribed to the synergistic effects of the surface enlargement and the new electronic state of the TiO 2 band gap by N and Eu co-doping.

  20. Effects of oxygen partial pressure on the ferroelectric properties of pulsed laser deposited Ba0.8Sr0.2TiO3 thin films

    Science.gov (United States)

    Silva, J. P. B.; Sekhar, K. C.; Almeida, A.; Agostinho Moreira, J.; Pereira, M.; Gomes, M. J. M.

    2013-11-01

    The Ba0.8Sr0.2TiO3 thin films were grown on the Pt-Si substrate at 700 °C by using a pulsed laser deposition technique at different oxygen partial pressure (PO2) in the range of 1-20 Pa and their properties were investigated. It is observed that the PO2 during the deposition plays an important role on the tetragonal distortion ratio, surface morphology, dielectric permittivity, ferroelectric polarization, switching response, and leakage currents of the films. With an increase in PO2, the in-plane strain for the BST films changes from tensile to compressive. The films grown at 7.5 Pa show the optimum dielectric and ferroelectric properties and also exhibit the good polarization stability. It is assumed that a reasonable compressive strain, increasing the ionic displacement, and thus promotes the in-plane polarization in the field direction, could improve the dielectric permittivity. The butterfly features of the capacitance-voltage ( C- V) characteristics and the bell shape curve in polarization current were attributed to the domain reversal process. The effect of pulse amplitude on the polarization reversal behavior of the BST films grown at PO2 of 7.5 Pa was studied. The peak value of the polarization current shows exponential dependence on the electric field.

  1. Superhydrophobic ceramic coatings enabled by phase-separated nanostructured composite TiO2–Cu2O thin films

    International Nuclear Information System (INIS)

    Aytug, Tolga; Paranthaman, Parans M; Simpson, John T; Christen, David K; Bogorin, Daniela F; Mathis, John E

    2014-01-01

    By exploiting phase-separation in oxide materials, we present a simple and potentially low-cost approach to create exceptional superhydrophobicity in thin-film based coatings. By selecting the TiO 2 –Cu 2 O system and depositing through magnetron sputtering onto single crystal and metal templates, we demonstrate growth of nanostructured, chemically phase-segregated composite films. These coatings, after appropriate chemical surface modification, demonstrate a robust, non-wetting Cassie–Baxter state and yield an exceptional superhydrophobic performance, with water droplet contact angles reaching to ∼172° and sliding angles <1°. As an added benefit, despite the photo-active nature of TiO 2 , the chemically coated composite film surfaces display UV stability and retain superhydrophobic attributes even after exposure to UV (275 nm) radiation for an extended period of time. The present approach could benefit a variety of outdoor applications of superhydrophobic coatings, especially for those where exposure to extreme atmospheric conditions is required. (papers)

  2. Antimicrobial Activity of TiO2 Nanoparticle-Coated Film for Potential Food Packaging Applications

    Directory of Open Access Journals (Sweden)

    Siti Hajar Othman

    2014-01-01

    Full Text Available Recent uses of titanium dioxide (TiO2 have involved various applications which include the food industry. This study aims to develop TiO2 nanoparticle-coated film for potential food packaging applications due to the photocatalytic antimicrobial property of TiO2. The TiO2 nanoparticles with varying concentrations (0–0.11 g/ 100 mL organic solvent were coated on food packaging film, particularly low density polyethylene (LDPE film. The antimicrobial activity of the films was investigated by their capability to inactivate Escherichia coli (E. coli in an actual food packaging application test under various conditions, including types of light (fluorescent and ultraviolet (UV and the length of time the film was exposed to light (one–three days. The antimicrobial activity of the TiO2 nanoparticle-coated films exposed under both types of lighting was found to increase with an increase in the TiO2 nanoparticle concentration and the light exposure time. It was also found that the antimicrobial activity of the films exposed under UV light was higher than that under fluorescent light. The developed film has the potential to be used as a food packaging film that can extend the shelf life, maintain the quality, and assure the safety of food.

  3. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  4. Influence of Pore Size on the Optical and Electrical Properties of Screen Printed TiO2 Thin Films

    Directory of Open Access Journals (Sweden)

    Dinfa Luka Domtau

    2016-01-01

    Full Text Available Influence of pore size on the optical and electrical properties of TiO2 thin films was studied. TiO2 thin films with different weight percentages (wt% of carbon black were deposited by screen printing method on fluorine doped tin oxide (FTO coated on glass substrate. Carbon black decomposed on annealing and artificial pores were created in the films. All the films were 3.2 µm thick as measured by a surface profiler. UV-VIS-NIR spectrophotometer was used to study transmittance and reflectance spectra of the films in the photon wavelength of 300–900 nm while absorbance was studied in the range of 350–900 nm. Band gaps and refractive index of the films were studied using the spectra. Reflectance, absorbance, and refractive index were found to increase with concentrations of carbon black. There was no significant variation in band gaps of films with change in carbon black concentrations. Transmittance reduced as the concentration of carbon black in TiO2 increased (i.e., increase in pore size. Currents and voltages (I-V characteristics of the films were measured by a 4-point probe. Resistivity (ρ and conductivity (σ of the films were computed from the I-V values. It was observed that resistivity increased with carbon black concentrations while conductivity decreased as the pore size of the films increased.

  5. Single-Nanoparticle Photoelectrochemistry at a Nanoparticulate TiO2 -Filmed Ultramicroelectrode.

    Science.gov (United States)

    Peng, Yue-Yi; Ma, Hui; Ma, Wei; Long, Yi-Tao; Tian, He

    2018-03-26

    An ultrasensitive photoelectrochemical method for achieving real-time detection of single nanoparticle collision events is presented. Using a micrometer-thick nanoparticulate TiO 2 -filmed Au ultra-microelectrode (TiO 2 @Au UME), a sub-millisecond photocurrent transient was observed for an individual N719-tagged TiO 2 (N719@TiO 2 ) nanoparticle and is due to the instantaneous collision process. Owing to a trap-limited electron diffusion process as the rate-limiting step, a random three-dimensional diffusion model was developed to simulate electron transport dynamics in TiO 2 film. The combination of theoretical simulation and high-resolution photocurrent measurement allow electron-transfer information of a single N719@TiO 2 nanoparticle to be quantified at single-molecule accuracy and the electron diffusivity and the electron-collection efficiency of TiO 2 @Au UME to be estimated. This method provides a test for studies of photoinduced electron transfer at the single-nanoparticle level. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Tailoring the wettability of nanocrystalline TiO 2 films

    Science.gov (United States)

    Liang, Qiyu; Chen, Yan; Fan, Yuzun; Hu, Yong; Wu, Yuedong; Zhao, Ziqiang; Meng, Qingbo

    2012-01-01

    The water contact angle (WCA) of nanocrystalline TiO2 films was adjusted by fluoroalkylsilane (FAS) modification and photocatalytic lithography. FAS modification made the surface hydrophobic with the WCA up to ∼156°, while ultraviolet (UV) irradiation changed surface to hydrophilic with the WCA down to ∼0°. Both the hydrophobicity and hydrophilicity were enhanced by surface roughness. The wettability can be tailored by varying the concentration of FAS solution and soaking time, as well as the UV light intensity and irradiation time. Additionally, with the help of photomasks, hydrophobic-hydrophilic micropatterns can be fabricated and manifested via area-selective deposition of polystyrene particles.

  7. Development of Dye-Sensitized Solar Cells with Sputtered N-Doped TiO2 Thin Films: From Modeling the Growth Mechanism of the Films to Fabrication of the Solar Cells

    OpenAIRE

    Duarte, D. A.; Massi, M.; da Silva Sobrinho, A. S.

    2014-01-01

    In this paper, nitrogen-doped TiO2 thin films were deposited by DC reactive sputtering at different doping levels for the development of dye-sensitized solar cells. The mechanism of film growth during the sputtering process and the effect of the nitrogen doping on the structural, optical, morphological, chemical, and electronic properties of the TiO2 were investigated by numerical modeling and experimental methods. The influence of the nitrogen doping on the working principle of the prototype...

  8. Multi-Layered TiO2 Films towards Enhancement of Escherichia coli Inactivation

    Directory of Open Access Journals (Sweden)

    Sorachon Yoriya

    2016-09-01

    Full Text Available Crystalline TiO2 has shown its great photocatalytic properties in bacterial inactivation. This work presents a design fabrication of low-cost, layered TiO2 films assembled reactors and a study of their performance for a better understanding to elucidate the photocatalytic effect on inactivation of E. coli in water. The ability to reduce the number of bacteria in water samples for the layered TiO2 composing reactors has been investigated as a function of time, while varying the parameters of light sources, initial concentration of bacteria, and ratios of TiO2 film area and volume of water. Herein, the layered TiO2 films have been fabricated on the glass plates by thermal spray coating prior to screen printing, allowing a good adhesion of the films. Surface topology and crystallographic phase of TiO2 for the screen-printed active layer have been characterized, resulting in the ratio of anatase:rutile being 80:20. Under exposure to sunlight and a given condition employed in this study, the optimized film area:water volume of 1:2.62 has shown a significant ability to reduce the E. coli cells in water samples. The ratio of surface area of photocatalytic active base to volume of water medium is believed to play a predominant role facilitating the cells inactivation. The kinetic rate of inactivation and its behavior are also described in terms of adsorption of reaction species at different contact times.

  9. Structural and vibrational investigations of Nb-doped TiO2 thin films

    International Nuclear Information System (INIS)

    Uyanga, E.; Gibaud, A.; Daniel, P.; Sangaa, D.; Sevjidsuren, G.; Altantsog, P.; Beuvier, T.; Lee, Chih Hao; Balagurov, A.M.

    2014-01-01

    Highlights: • We studied the evolutions of structure for TiO 2 thin film as changes with Nb doping and temperatures. • Up to 800 °C, the grain size of Nb 0.1 Ti 0.9 O 2 is smaller than for pure TiO 2 because doped Nb hinders the growth of the TiO 2 grains. • There was no formation of the rutile phase at high temperature. • Nb doped TiO 2 films have high electron densities at 400–700 °C. • Nb dope extends the absorbance spectra of TiO 2 which leads to the band gap reduce. - Abstract: Acid-catalyzed sol–gel and spin-coating methods were used to prepare Nb-doped TiO 2 thin film. In this work, we studied the effect of niobium doping on the structure, surface, and absorption properties of TiO 2 by energy-dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD), X-ray reflectometry (XRR), X-ray photoelectron spectroscopy (XPS), Raman, and UV–vis absorption spectroscopy at various annealing temperatures. EDX spectra show that the Nb:Ti atomic ratios of the niobium-doped titania films are in good agreement with the nominal values (5 and 10%). XPS results suggest that charge compensation is achieved by the formation of Ti vacancies. Specific niobium phases are not observed, thus confirming that niobium is well incorporated into the titania crystal lattice. Thin films are amorphous at room temperature and the formation of anatase phase appeared at an annealing temperature close to 400 °C. The rutile phase was not observed even at 900 °C (XRD and Raman spectroscopy). Grain sizes and electron densities increased when the temperature was raised. Nb-doped films have higher electron densities and lower grain sizes due to niobium doping. Grain size inhibition can be explained by lattice stress induced by the incorporation of larger Nb 5+ ions into the lattice. The band gap energy of indirect transition of the TiO 2 thin films was calculated to be about 3.03 eV. After niobium doping, it decreased to 2.40 eV

  10. Analyses of surface coloration on TiO2 film irradiated with excimer laser

    International Nuclear Information System (INIS)

    Zheng, H.Y.; Qian, H.X.; Zhou, W.

    2008-01-01

    TiO 2 film of around 850 nm in thickness was deposited on a soda-lime glass by PVD sputtering and irradiated using one pulse of krypton-fluorine (KrF) excimer laser (wavelength of 248 nm and pulse duration of 25 ns) with varying fluence. The color of the irradiated area became darker with increasing laser fluence. Irradiated surfaces were characterized using optical microscopy, scanning electron microscopy, Raman spectroscopy and atomic force microscopy. Surface undergoes thermal annealing at low laser fluence of 400 and 590 mJ/cm 2 . Microcracks at medium laser fluence of 1000 mJ/cm 2 are attributed to surface melting and solidification. Hydrodynamic ablation is proposed to explain the formation of micropores and networks at higher laser fluence of 1100 and 1200 mJ/cm 2 . The darkening effect is explained in terms of trapping of light in the surface defects formed rather than anatase to rutile phase transformation as reported by others. Controlled darkening of TiO 2 film might be used for adjustable filters

  11. Fabrication of highly conductive Ta-doped SnO2 polycrystalline films on glass using seed-layer technique by pulse laser deposition

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Yamada, Naoomi; Hitosugi, Taro; Hirose, Yasushi; Shimada, Toshihiro; Hasegawa, Tetsuya

    2010-01-01

    We discuss the fabrication of highly conductive Ta-doped SnO 2 (Sn 1-x Ta x O 2 ; TTO) thin films on glass by pulse laser deposition. On the basis of the comparison of X-ray diffraction patterns and resistivity (ρ) values between epitaxial films and polycrystalline films deposited on bare glass, we proposed the use of seed-layers for improving the conductivity of the TTO polycrystalline films. We investigated the use of rutile TiO 2 and NbO 2 as seed-layers; these are isostructural materials of SnO 2, which are expected to promote epitaxial-like growth of the TTO films. The films prepared on the 10-nm-thick seed-layers exhibited preferential growth of the TTO (110) plane. The TTO film with x = 0.05 on rutile TiO 2 exhibited ρ = 3.5 x 10 -4 Ω cm, which is similar to those of the epitaxial films grown on Al 2 O 3 (0001).

  12. A weak-light-responsive TiO2/g-C3N4 composite film: photocatalytic activity under low-intensity light irradiation.

    Science.gov (United States)

    Wang, Peifang; Guo, Xiang; Rao, Lei; Wang, Chao; Guo, Yong; Zhang, Lixin

    2018-05-10

    A TiO 2 /g-C 3 N 4 composite photocatalytic film was prepared by in situ synthesis method and its photocatalytic capability under weak-visible-light condition was studied. The co-precursor with different ratio of melamine and TiO 2 sol-gel precursor were treated using ultrasonic mixing, physical deposition, and co-sintering method to form the smooth, white-yellow, and compact TiO 2 /g-C 3 N 4 composite films. The prepared TiO 2 /g-C 3 N 4 materials were characterized by SEM, TEM, EDS, XRD, BET, VBXPS, and UV-vis diffuse reflectance spectra. The results of composite showed that TiO 2 and g-C 3 N 4 have close interfacial connections which are favorable to charge transfer between these two semiconductors with suitable band structure, g-C 3 N 4 retard the anatase-to-rutile phase transition of TiO 2 significantly, the specific surface area were increased with g-C 3 N 4 ratio raised. Under weak-light irradiation, composite films photocatalytic experiments exhibited RhB removal efficiency approaching 90% after three recycles. Powders suspension degradation experiments revealed the removal efficiency of TiO 2 /g-C 3 N 4 (90.8%) was higher than pure TiO 2 (52.1%) and slightly lower than pure g-C 3 N 4 (96.6%). By control experiment, the enhanced photocatalysis is ascribed to the combination of TiO 2 and g-C 3 N 4 , which not only produced thin films with greater stability but also formed heterojunctions that can be favorable to charge transfer between these two semiconductors with suitable band structure. This study presents the potential application of photocatalytic film in the wastewater treatment under weak-light situation.

  13. Spectroscopic ellipsometry characterization of amorphous and crystalline TiO2 thin films grown by atomic layer deposition at different temperatures

    Science.gov (United States)

    Saha, D.; Ajimsha, R. S.; Rajiv, K.; Mukherjee, C.; Gupta, M.; Misra, P.; Kukreja, L. M.

    2014-10-01

    TiO2 thin films of widely different structural and morphological characteristics were grown on Si (1 0 0) substrates using Atomic Layer Deposition (ALD) by varying the substrate temperature (Ts) in a wide range (50 °C ≤ Ts ≤ 400 °C). Spectroscopic ellipsometry (SE) measurements were carried out to investigate the effect of growth temperature on the optical properties of the films. Measured SE data were analyzed by considering double layer optical model for the sample together with the single oscillator Tauc-Lorentz dispersion relation. Surface roughness was taken into consideration due to the columnar growths of grains in crystalline films. The refractive index was found to be increased from amorphous (Ts ≤ 150 °C) to the nanocrystalline films (2500 < Ts ≤ 400 °C). The pronounced surface roughening for the large-grained anatase film obtained at the amorphous to crystalline phase transformation temperature of 200 °C, impeded SE measurement. The dispersions of refractive indices below the interband absorption edge were found to be strongly correlated with the single oscillator Wemple-DiDomenico (WD) model. The increase in dispersion energy parameter in WD model from disordered amorphous to the more ordered nanocrystalline films was found to be associated with the increase in the film density and coordination number.

  14. The TiO2 Refraction Film for CsI Scintillator

    OpenAIRE

    C. C. Chen; C. W. Hun; C. J. Wang; C. Y. Chen; J. S. Lin; K. J. Huang

    2015-01-01

    Cesium iodide (CsI) melt was injected into anodic aluminum oxide (AAO) template and was solidified to CsI column. The controllable AAO channel size (10~500 nm) can makes CsI column size from 10 to 500 nm in diameter. In order to have a shorter light irradiate from each singe CsI column top to bottom the AAO template was coated a TiO2 nano-film. The TiO2 film acts a refraction film and makes X-ray has a shorter irradiation path in the CsI crystal making a stronger the photo-electron signal. Wh...

  15. A short literature survey on iron and cobalt ion doped TiO2 thin films and photocatalytic activity of these films against fungi

    International Nuclear Information System (INIS)

    Tatlıdil, İlknur; Bacaksız, Emin; Buruk, Celal Kurtuluş; Breen, Chris; Sökmen, Münevver

    2012-01-01

    Highlights: ► Co or Fe doped TiO 2 thin films were prepared by sol–gel method. ► We obtained lower E g values for Fe-doped and Co-TiO 2 thin films. ► Doping greatly affected the size and shape of the TiO 2 nanoparticles. ► Photocatalytic killing effect of the doped TiO 2 thin films on C. albicans and A. niger was significantly higher than undoped TiO 2 thin film for short exposure periods. - Abstract: In this study, a short recent literature survey which concentrated on the usage of Fe 3+ or Co 2+ ion doped TiO 2 thin films and suspensions were summarized. Additionally, a sol–gel method was used for preparation of the 2% Co or Fe doped TiO 2 thin films. The surface of the prepared materials was characterised using scanning-electron microscopy (SEM) combined with energy dispersive X-ray (EDX) analysis and band gap of the films were calculated from the transmission measurements that were taken over the range of 190 and 1100 nm. The E g value was 3.40 eV for the pure TiO 2 , 3.00 eV for the Fe-doped TiO 2 film and 3.25 eV for Co-TiO 2 thin film. Iron or cobalt doping at lower concentration produce more uniformed particles and doping greatly affected the size and shape of the TiO 2 nanoparticles. Photocatalytic killing effect of the 2% Co doped TiO 2 thin film on Candida albicans was significantly higher than Fe doped TiO 2 thin film for short and long exposure periods. Doped thin films were more effective on Aspergillus niger for short exposure periods.

  16. Antimicrobial and Barrier Properties of Bovine Gelatin Films Reinforced by Nano TiO2

    Directory of Open Access Journals (Sweden)

    R. Nassiri

    2013-11-01

    Full Text Available The effects of nano titanium dioxide incorporation were investigated on the water vaporpermeability, oxygen permeability, and antimicrobial properties of bovine gelatin films. The nano TiO2 (TiO2-N was homogenized by sonication and incorporated into bovine gelatin solutions at different concentrations(e.g. 1, 2, 3, and 5% w/w of dried gelatin. The permeability of the films to water vapor and oxygen wassignificantly decreased by incorporating of low concentration TiO2-N to gelatin solutions. TiO2-N gelatin filmsshowed an excellent antimicrobial activity against Staphylococcus aureus and Escherichia coli. Theseproperties suggest that TiO2-N has the potential as filler in gelatin-based films for using as an active packagingmaterials in pharmaceutical and food packaging industries.

  17. TiO 2 Thin Films Prepared via Adsorptive Self-Assembly for Self-Cleaning Applications

    KAUST Repository

    Xi, Baojuan

    2012-02-22

    Low-cost controllable solution-based processes for preparation of titanium oxide (TiO 2) thin films are highly desirable, because of many important applications of this oxide in catalytic decomposition of volatile organic compounds, advanced oxidation processes for wastewater and bactericidal treatments, self-cleaning window glass for green intelligent buildings, dye-sensitized solar cells, solid-state semiconductor metal-oxide solar cells, self-cleaning glass for photovoltaic devices, and general heterogeneous photocatalysis for fine chemicals etc. In this work, we develop a solution-based adsorptive self-assembly approach to fabricate anatase TiO 2 thin films on different glass substrates such as simple plane glass and patterned glass at variable compositions (normal soda lime glass or solar-grade borofloat glass). By tuning the number of process cycles (i.e., adsorption-then-heating) of TiO 2 colloidal suspension, we could facilely prepare large-area TiO 2 films at a desired thickness and with uniform crystallite morphology. Moreover, our as-prepared nanostructured TiO 2 thin films on glass substrates do not cause deterioration in optical transmission of glass; instead, they improve optical performance of commercial solar cells over a wide range of incident angles of light. Our as-prepared anatase TiO 2 thin films also display superhydrophilicity and excellent photocatalytic activity for self-cleaning application. For example, our investigation of photocatalytic degradation of methyl orange indicates that these thin films are indeed highly effective, in comparison to other commercial TiO 2 thin films under identical testing conditions. © 2012 American Chemical Society.

  18. Chemically synthesized TiO2 and PANI/TiO2 thin films for ethanol sensing applications

    Science.gov (United States)

    Gawri, Isha; Ridhi, R.; Singh, K. P.; Tripathi, S. K.

    2018-02-01

    Ethanol sensing properties of chemically synthesized titanium dioxide (TiO2) and polyaniline/titanium dioxide nanocomposites (PANI/TiO2) had been performed at room temperature. In-situ oxidative polymerization process had been employed with aniline as a monomer in presence of anatase titanium dioxide nanoparticles. The prepared samples were structurally and morphologically characterized by x-ray diffraction, fourier transform infrared spectra, high resolution-transmission electron microscopy and field emission-scanning electron microscopy. The crystallinity of PANI/TiO2 nanocomposite was revealed by XRD and FTIR spectra confirmed the presence of chemical bonding between the polymer chains and metal oxide nanoparticles. HR-TEM micrographs depicted that TiO2 particles were embedded in polymer matrix, which provides an advantage over pure TiO2 nanoparticles in efficient adsorption of vapours. These images also revealed that the TiO2 nanoparticles were irregular in shape with size around 17 nm. FE-SEM studies revealed that in the porous structure of PANI/TiO2 film, the intercalation of TiO2 in PANI chains provides an advantage over pure TiO2 film for uniform interaction with ethanol vapors. The sensitivity values of prepared samples were examined towards ethanol vapours at room temperature. The PANI/TiO2 nanocomposite exhibited better sensing response and faster response-recovery examined at different ethanol concentrations ranging from 5 ppm to 20 ppm in comparison to pure TiO2 nanoparticles. The increase in vapour sensing of PANI/TiO2 sensing film as compared to pure TiO2 film had been explained in detail with the help of gas sensing mechanism of TiO2 and PANI/TiO2. This provides strong evidence that gas sensing properties of TiO2 had been considerably improved and enhanced with the addition of polymer matrix.

  19. Modification of physicochemical and thermal properties of starch films by incorporation of TiO2 nanoparticles.

    Science.gov (United States)

    Oleyaei, Seyed Amir; Zahedi, Younes; Ghanbarzadeh, Babak; Moayedi, Ali Akbar

    2016-08-01

    In this research, potato starch and TiO2 nanoparticles (0.5, 1 and 2wt%) films were developed. Influences of different concentrations of TiO2 on the functional properties of nanocomposite films (water-related properties, mechanical characteristics, and UV transmittance) were investigated. XRD, FTIR, and DSC analyses were used to characterize the morphology and thermal properties of the films. The results revealed that TiO2 nanoparticles dramatically decreased the values of water-related properties (water vapor permeability: 11-34%; water solubility: 1.88-9.26%; moisture uptake: 2.15-11.18%). Incorporation of TiO2 led to a slight increment of contact angle and tensile strength, and a decrease in elongation at break of the films. TiO2 successfully blocked more than 90% of UV light, while opacity and white index of the films were enhanced. Glass transition temperature and melting point of the films were positively affected by the addition of TiO2 nanoparticles. The result of XRD study exhibited that due to a limited agglomeration of TiO2 nanoparticles, the mean crystal size of TiO2 increased. Formation of new hydrogen bonds between the hydroxyl groups of starch and nanoparticles was confirmed by FTIR spectroscopy. In conclusion, TiO2 nanoparticles improved the functional properties of potato starch film and extended the potential for food packaging applications. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Polymer Photovoltaic Cell Using TiO2/G-PEDOT Nanocomplex Film as Electrode

    Directory of Open Access Journals (Sweden)

    F. X. Xie

    2008-01-01

    Full Text Available Using TiO2/G-PEDOT (PEDOT/PSS doped with glycerol nanocomplex film as a substitute for metal electrode in organic photovoltaic cell is described. Indium tin oxide (ITO worked as cathode and TiO2/G-PEDOT nanocomplex works as anode. The thickness of TiO2 layer in nanocomplex greatly affects the act of this nonmetallic electrode of the device. To enhance its performance, this inverted organic photovoltaic cell uses another TiO2 layer as electron selective layer contacted to ITO coated glass substrates. All films made by solution processing techniques are coated on the transparent substrate (glass with a conducting film ITO. The efficiency of this solar cell is compared with the conventional device using Al as electrode.

  1. Preparation of TiO2 thin films from autoclaved sol containing needle-like anatase crystals

    International Nuclear Information System (INIS)

    Ge Lei; Xu Mingxia; Fang Haibo; Sun Ming

    2006-01-01

    A new inorganic sol-gel method was introduced in this paper to prepare TiO 2 thin films. The autoclaved sol with needle-like anatase crystals was synthesized using titanyl sulfate (TiOSO 4 ) and peroxide (H 2 O 2 ) as starting materials. The transparent anatase TiO 2 thin films were prepared on glass slides from the autoclaved sol by sol-gel dip-coating method. A wide range of techniques such as Fourier transform infrared transmission spectra (FT-IR), X-ray diffraction (XRD), thermogravimetry-differential thermal analysis (TG-DTA), scanning electron microscopes, X-ray photoelectron spectroscopy (XPS) and ultraviolet-visible spectrum were applied to characterize the autoclaved sol and TiO 2 thin films. The results indicate that the autoclaved sol is flavescent, semitransparent and stable at room temperature. The anatase crystals of TiO 2 films connect together to form net-like structure after calcined and the films become uniform with increasing heating temperature. The surface of the TiO 2 films contain not only Ti and O elements, but also a small amount of N and Na elements diffused from substrates during heat treatment. The TiO 2 films are transparent and their maximal light transmittances exceed 80% under visible light region

  2. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  3. Structural and optical properties of titanium dioxide films deposited by reactive magnetron sputtering in pure oxygen plasma

    International Nuclear Information System (INIS)

    Asanuma, T.; Matsutani, T.; Liu, C.; Mihara, T.; Kiuchi, M.

    2004-01-01

    Titanium dioxide (TiO 2 ) thin films were deposited on unheated quartz (SiO 2 ) substrates in 'pure oxygen' plasma by reactive radio-frequency (rf) magnetron sputtering. The structural and optical properties of deposited films were systematically studied by changing the deposition parameters, and it was very recently found that crystalline TiO 2 films grew effectively in pure O 2 atmosphere. For TiO 2 films deposited at a rf power P rf of 200 W, x-ray diffraction patterns show the following features: (a) no diffraction peak was observed at a total sputtering pressure p tot of 1.3 Pa; (b) rutile (110) diffraction was observed at 4.0 Pa, (c) the dominant diffraction was from anatase (101) planes, with additional diffraction from (200), under p tot between 6.7 and 13 Pa. For the deposition at 140 W, however, crystalline films with mixed phases were observed only between 4.0 and 6.7 Pa. The peaks of both the deposition rate and the anatase weight ratio for the films produced at 140 W were found at p tot of approximately 6.7 Pa. This suggests that the nucleation and growth of TiO 2 films were affected by the composition, density, and kinetic energy of the particles impinging on the substrate surface. The optical absorption edge analysis showed that the optical band gap E g and the constant B could sensitively detect the film growth behavior, and determine the film structure and optical absorption. The change in the shape of the fundamental absorption edge is considered to reflect the variation of density and the short-range structural modifications

  4. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered filmsTiO 2 films with high refractive index are obtained without post-growth annealing

  5. Thin-Film Photoluminescent Properties and the Atomistic Model of Mg2TiO4 as a Non-rare Earth Matrix Material for Red-Emitting Phosphor

    Science.gov (United States)

    Huang, Chieh-Szu; Chang, Ming-Chuan; Huang, Cheng-Liang; Lin, Shih-kang

    2016-12-01

    Thin-film electroluminescent devices are promising solid-state lighting devices. Red light-emitting phosphor is the key component to be integrated with the well-established blue light-emitting diode chips for stimulating natural sunlight. However, environmentally hazardous rare-earth (RE) dopants, e.g. Eu2+ and Ce2+, are commonly used for red-emitting phosphors. Mg2TiO4 inverse spinel has been reported as a promising matrix material for "RE-free" red light luminescent material. In this paper, Mg2TiO4 inverse spinel is investigated using both experimental and theoretical approaches. The Mg2TiO4 thin films were deposited on Si (100) substrates using either spin-coating with the sol-gel process, or radio frequency sputtering, and annealed at various temperatures ranging from 600°C to 900°C. The crystallinity, microstructures, and photoluminescent properties of the Mg2TiO4 thin films were characterized. In addition, the atomistic model of the Mg2TiO4 inverse spinel was constructed, and the electronic band structure of Mg2TiO4 was calculated based on density functional theory. Essential physical and optoelectronic properties of the Mg2TiO4 luminance material as well as its optimal thin-film processing conditions were comprehensively reported.

  6. Love Wave Ultraviolet Photodetector Fabricated on a TiO2/ST-Cut Quartz Structure

    Directory of Open Access Journals (Sweden)

    Walter Water

    2014-01-01

    Full Text Available A TiO2 thin film deposited on a 90° rotated 42°45′ ST-cut quartz substrate was applied to fabricate a Love wave ultraviolet photodetector. TiO2 thin films were grown by radio frequency magnetron sputtering. The crystalline structure and surface morphology of TiO2 thin films were examined using X-ray diffraction, scanning electron microscope, and atomic force microscope. The effect of TiO2 thin film thickness on the phase velocity, electromechanical coupling coefficient, temperature coefficient of frequency, and sensitivity of ultraviolet of devices was investigated. TiO2 thin film increases the electromechanical coupling coefficient but decreases the temperature coefficient of frequency for Love wave propagation on the 90° rotated 42°45′ ST-cut quartz. For Love wave ultraviolet photodetector application, the maximum insertion loss shift and phase shift are 2.81 dB and 3.55 degree at the 1.35-μm-thick TiO2 film.

  7. Facile fabrication of p-n heterojunctions for Cu2O submicroparticles deposited on anatase TiO2 nanobelts

    International Nuclear Information System (INIS)

    Li, Li; Lei, Jingguo; Ji, Tianhao

    2011-01-01

    Graphical abstract: Cu 2 O particle-deposited TiO 2 nanobelts with p-n semiconductor heterojunction structure were successfully prepared via two-step preparation process, and their visible-light photodegradation activities of Rhodamine B were investigated in detail. Highlights: → Cu 2 O particle-deposited TiO 2 nanobelts mainly with diameters in a range of 200-400 nm were successfully prepared. → The amount of Cu 2 O particles deposited on TiO 2 nanobelts can be tuned. → The composite structure with Cu 2 O particles and TiO 2 nanobelts exhibits p-n semiconductor heterojunction performance. → Photocatalytic properties of such composites. -- Abstract: In this paper, Cu 2 O particle-deposited TiO 2 nanobelts with p-n semiconductor heterojunction structure were successfully prepared via a two-step preparation process to investigate electron-transfer performance between p-type Cu 2 O and n-type TiO 2 . Various measurement results confirm that the amount of pure Cu 2 O submicroparticles, with diameters within the range of 200-400 nm and deposited on the surface of TiO 2 nanobelts, can be controlled, and that the purity of Cu 2 O is heavily affected by reaction time. Visible-light photodegradation activities of Rhodamine B show that photocatalysts have little or no photocatalytic activities mainly due to their p-n heterojunction structure, indicating that there hardly appears any electron-transfer from Cu 2 O to TiO 2 .

  8. Photocorrosion Mechanism of TiO2-Coated Photoanodes

    Directory of Open Access Journals (Sweden)

    Arjen Didden

    2015-01-01

    Full Text Available Atomic layer deposition was used to coat CdS photoanodes with 7 nm thick TiO2 films to protect them from photocorrosion during photoelectrochemical water splitting. Photoelectrochemical measurements indicate that the TiO2 coating does not provide full protection against photocorrosion. The degradation of the film initiates from small pinholes and shows oscillatory behavior that can be explained by an Avrami-type model for photocorrosion that is halfway between 2D and 3D etching. XPS analysis of corroded films indicates that a thin layer of CdS remains present on the surface of the corroded photoanode that is more resilient towards photocorrosion.

  9. Quantum-dot light-emitting diodes utilizing CdSe /ZnS nanocrystals embedded in TiO2 thin film

    Science.gov (United States)

    Kang, Seung-Hee; Kumar, Ch. Kiran; Lee, Zonghoon; Kim, Kyung-Hyun; Huh, Chul; Kim, Eui-Tae

    2008-11-01

    Quantum-dot (QD) light-emitting diodes (LEDs) are demonstrated on Si wafers by embedding core-shell CdSe /ZnS nanocrystals in TiO2 thin films via plasma-enhanced metallorganic chemical vapor deposition. The n-TiO2/QDs /p-Si LED devices show typical p-n diode current-voltage and efficient electroluminescence characteristics, which are critically affected by the removal of QD surface ligands. The TiO2/QDs /Si system we presented can offer promising Si-based optoelectronic and electronic device applications utilizing numerous nanocrystals synthesized by colloidal solution chemistry.

  10. Characterization of Pb(Zr, Ti)O3 thin films fabricated by plasma enhanced chemical vapor deposition on Ir-based electrodes

    International Nuclear Information System (INIS)

    Lee, Hee-Chul; Lee, Won-Jong

    2002-01-01

    Structural and electrical characteristics of Pb(Zr, Ti)O 3 (PZT) ferroelectric thin films deposited on various Ir-based electrodes (Ir, IrO 2 , and Pt/IrO 2 ) using electron cyclotron resonance plasma enhanced chemical vapor deposition were investigated. On the Ir electrode, stoichiometric PZT films with pure perovskite phase could be obtained over a very wide range of processing conditions. However, PZT films prepared on the IrO 2 electrode contain a large amount of PbO x phases and exhibited high Pb-excess composition. The deposition characteristics were dependent on the behavior of PbO molecules on the electrode surface. The PZT thin film capacitors prepared on the Ir bottom electrode showed different electrical properties depending on top electrode materials. The PZT capacitors with Ir, IrO 2 , and Pt top electrodes showed good leakage current characteristics, whereas those with the Ru top electrode showed a very high leakage current density. The PZT capacitor exhibited the best fatigue endurance with an IrO 2 top electrode. An Ir top electrode provided better fatigue endurance than a Pt top electrode. The PZT capacitor with an Ir-based electrode is thought to be attractive for the application to ferroelectric random access memory devices because of its wide processing window for a high-quality ferroelectric film and good polarization, fatigue, and leakage current characteristics

  11. Effect of growth time on the structure, morphology and optical properties of hydrothermally synthesized TiO2 nanorod thin films

    Science.gov (United States)

    Mohapatra, A. K.; Nayak, J.

    2018-05-01

    Titanium dioxide (TiO2) nanorod thin films were deposited on fluorine doped tin oxide coated glass substrates by a single step rapid hydrothermal process. The concentration of the precursor, the temperature of the reaction mixture were optimized in order to enhance the rate of deposition. Unlike the previously reported hydrothermal treatment for 24 - 48 h, the deposition of well aligned titanium dioxide nanorods was achieved in a short time such as 3 - 8 h. The crystal structure of the films were investigated by X-rays diffraction. The morphology of the nanorod films were studied with scanning electron microscopy. The optical properties were studied by photoluminescence spectroscopy.

  12. Photocatalysis of zinc oxide nanotip array/titanium oxide film heterojunction prepared by aqueous solution deposition

    Science.gov (United States)

    Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu

    2017-05-01

    A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.

  13. Elaboration and Characterization of TiO2 and Study of the Influence of The Number of Thin Films on the Methylene Blue Adsorption Rate

    Science.gov (United States)

    Madoui, Karima; Medjahed, Aicha; Hamici, Melia; Djamila, Abdi; Boudissa, Mokhtar

    2018-05-01

    Thin films of titanium oxide (TiO2) deposited on glass substrates were fabricated by using the sol-gel route. The realization of these thin layers was made using the dip-coating technique with a solution of titanium isopropoxyde as a precursor. The samples prepared with different numbers of deposited layers were annealed at 400 ° C for 2 hours. The main purposes of this work were investigations of both the effect of the number of thin TiO2 layers on the crystal structure of the anatase form first and, their ability to adsorb the solution of methylene blue in order to make colored filters from a photocatalytic process. The deposited titanium-oxide layers were characterized by using various techniques: namely, X-ray diffraction (XRD), Raman spectroscopy, atomic force microscopy (AFM) and UV-Visible spectrometry. The result obtained by using the XRD technique showed the appearance of an anatase phase, as was confirmed by using Raman spectroscopy. The AFM surface analysis allowed the surface topography to be characterized and the surface roughness to be measured, which increased with increasing number of layers. The UV-Visible spectra showed that the TiO2 films had a good transmittance varying from 65% to 95% according to the number of layers. The gap energy varied as a function of the number of deposited layers. The as deposited TiO2 layers were tested as a photocatalyst towards the adsorption of methylene blue dye. The results obtained during this study showed that the adsorption capacity varied according to the number of deposited thin layers and the exposing duration to ultraviolet (UV) light. The maximum absorption rate of the dye was obtained for the two-layer sample. Seventy-two hours of irradiation allowed the adsorption intensity of the dye to be maximized for two-layer films.

  14. Low-temperature preparation and microwave photocatalytic activity study of TiO2-mounted activated carbon

    International Nuclear Information System (INIS)

    Liu Yazi; Yang Shaogui; Hong Jun; Sun Cheng

    2007-01-01

    TiO 2 thin films were deposited on granular activated carbon by a dip-coating method at low temperature (373 K), using microwave radiation to enhance the crystallization of titania nanoparticles. Uniform and continuous anatase titania films were deposited on the surface of activated carbon. BET surface area of TiO 2 -mounted activated carbon (TiO 2 /AC) decreased a little in comparison with activated carbon. TiO 2 /AC possessed strong optical absorption capacity with a band gap absorption edge around 360 nm. The photocatalytic activity did not increase when the as-synthesized TiO 2 /AC was thermally treated, but was much higher than commercial P-25 in degradation of phenol by irradiation of electrodeless discharge lamps (EDLs)

  15. Optical and electrical properties of Ti(Cr)O_2:N thin films deposited by magnetron co-sputtering

    International Nuclear Information System (INIS)

    Kollbek, K.; Szkudlarek, A.; Marzec, M.M.; Lyson-Sypien, B.; Cecot, M.; Bernasik, A.; Radecka, M.; Zakrzewska, K.

    2016-01-01

    Graphical abstract: - Highlights: • Co-doped well-crystallized stoichiometric Ti(Cr)O_2:N thin films are deposited. • Magnetron sputtering of ceramic TiO_2 target is a new strategy for co-doping. • Bigger contribution from substitutionally incorporated nitrogen is seen in XPS. • Significant red shift of the fundamental absorption edge is obtained. - Abstract: The paper deals with TiO_2-based thin films, doped with Cr and N, obtained by magnetron co-sputtering from titanium dioxide ceramic and chromium targets in Ar + N_2 atmosphere. Co-doped samples of Ti(Cr)O_2:N are investigated from the point of view of morphological, crystallographic, optical, and electrical properties. Characterization techniques such as: X-ray diffraction, XRD, scanning electron microscopy, SEM, atomic force microscopy, AFM, Energy Dispersive X-ray spectroscopy, EDX, X-ray photoelectron spectroscopy, XPS, optical spectrophotometry as well as impedance spectroscopy are applied. XRD reveals TiO_2 and TiO_2:N thin films are well crystallized as opposed to those of TiO_2:Cr and Ti(Cr)O_2:N. XPS spectra confirm that co-doping has been successfully performed with the biggest contribution from the lower binding energy component of N 1s peak at 396 eV. SEM analysis indicates uniform and dense morphology without columnar growth. Comparison between the band gaps indicates a significant shift of the absorption edge towards visible range from 3.69 eV in the case of non-stoichiometric Ti(Cr)O_2_−_x:N to 2.78 eV in the case of stoichiometric Ti(Cr)O_2:N which should be attributed to the incorporation of both dopants at substitutional positions in TiO_2 lattice. Electrical conductivity of stoichiometric Ti(Cr)O_2:N increases in comparison to co-doped nonstoichiometric TiO_2_−_x thin film and reaches almost the same value as that of TiO_2 stoichiometric film.

  16. Growth behaviors and biocidal properties of titanium dioxide films depending on nucleation duration in liquid phase deposition

    Science.gov (United States)

    Park, Sohyeon; Park, Joohee; Heo, Jiwoong; Hong, Bo Young; Hong, Jinkee

    2017-12-01

    Liquid phase deposition (LPD), which is a method to directly form a titanium dioxide (TiO2) film on a substrate, is the most practical method for applying TiO2 films to medical devices because it is performed at lower temperatures than other methods. The TiO2 films to be applied to medical devices should offer excellent antibacterial effect, but should be stable to normal cells and have appropriate strength. In this research, we observed that the size, shape, and density of TiO2 particles varied with the nucleation duration in LPD and confirmed that these results caused changes in several properties including the mechanical properties, cytotoxicity and antibacterial effect of TiO2 films. From the analysis of these results, we established the conditions for the preparation of TiO2 films that are suitable for medical devices and suggest a new approach to the study of TiO2 films prepared by LPD.

  17. Density, thickness and composition measurements of TiO2 -SiO2 thin films by coupling X-ray reflectometry, ellipsometry and electron probe microanalysis-X

    International Nuclear Information System (INIS)

    Hodroj, A.; Roussel, H.; Crisci, A.; Robaut, F.; Gottlieb, U.; Deschanvres, J.L.

    2006-01-01

    Mixed TiO 2 -SiO 2 thin films were deposited by aerosol atmospheric CVD method by using di-acetoxi di-butoxi silane (DADBS) and Ti tetra-butoxide as precursors. By varying the deposition temperatures between 470 and 600 deg. C and the ratios between the Si and Ti precursors (Si/Ti) from 2 up to 16, films with different compositions and thicknesses were deposited. The coupled analysis of the results of different characterisation methods was used in order to determine the variation of the composition, the thickness and the density of the films. First EPMA measurements were performed at different acceleration voltages with a Cameca SX50 system. By analysing, with specific software, the evolution of the intensity ratio I x /I std versus the voltage, the composition and the mass thickness (product of density by the thickness) were determined. In order to measure independently the density, X-ray reflectometry experiments were performed. By analysing the value of the critical angle and the Kiessig fringes, the density and the thickness of the layers were determined. The refractive index and the thickness of the films were also measured by ellipsometry. By assuming a linear interpolation between the index value of the pure SiO 2 and TiO 2 films, the film composition was deduced from the refractive index value. XPS measurements were also performed in order to obtain an independent value of the composition. A good agreement between the ways to measure the density is obtained

  18. Defect controlled tuning of the ratio of ultraviolet to visible light emission in TiO2 thin films

    International Nuclear Information System (INIS)

    Mondal, S.; Basak, D.

    2016-01-01

    The photoluminescence (PL) of sol–gel TiO 2 thin film has been found to be largely dependent on the post-deposition processing such as annealing at 500 °C in air, vacuum and ultraviolet (UV) light curing at room temperature. A detailed analysis of room temperature PL spectra shows that the UV/VIS PL peak intensity ratio is maximum for the film which has been annealed at 500 °C in air. X-ray photoelectron spectroscopy confirms the presence of Ti 3+ type of point defects. The visible emission is deconvoluted to green and orange emissions. Analyses of the present experimental results indicate that V O and/or Ti 3+ causes the green emission and OH and/or excess O 2 adsorption on TiO 2 surface probably causes the orange emission. The time correlated single photon counting spectroscopy data of the UV PL indicates higher number defects in vacuum annealed and UV cured films as compared to the air annealed film. Correlation of the results altogether allows us to conclude that the surface defects those causing the visible emission are smaller in number in the air annealed film. The present results may be useful for tuning the relative PL intensities of UV, green and orange emissions. - Highlights: • Sol–gel TiO 2 films were treated both in air, vacuum at 500 °C and under UV light (room temperature). • UV/VIS PL intensity ratio is maximum for air annealed and minimum for UV cured films. • Both green and orange emission predominantly controls the visible emission of TiO 2 . • The visible emission exhibit a clear correlation with Ti 3+  defects on the surface.

  19. Enhanced photoelectrochemical efficiency and stability using a conformal TiO2 film on a black silicon photoanode

    Science.gov (United States)

    Yu, Yanhao; Zhang, Zheng; Yin, Xin; Kvit, Alexander; Liao, Qingliang; Kang, Zhuo; Yan, Xiaoqin; Zhang, Yue; Wang, Xudong

    2017-06-01

    Black silicon (b-Si) is a surface-nanostructured Si with extremely efficient light absorption capability and is therefore of interest for solar energy conversion. However, intense charge recombination and low electrochemical stability limit the use of b-Si in photoelectrochemical solar-fuel production. Here we report that a conformal, ultrathin, amorphous TiO2 film deposited by low-temperature atomic layer deposition (ALD) on top of b-Si can simultaneously address both of these issues. Combined with a Co(OH)2 thin film as the oxygen evolution catalyst, this b-Si/TiO2/Co(OH)2 heterostructured photoanode was able to produce a saturated photocurrent density of 32.3 mA cm-2 at an external potential of 1.48 V versus reversible reference electrode (RHE) in 1 M NaOH electrolyte. The enhanced photocurrent relative to planar Si and unprotected b-Si photoelectrodes was attributed to the enhanced charge separation efficiency as a result of the effective passivation of defective sites on the b-Si surface. The 8-nm ALD TiO2 layer extends the operational lifetime of b-Si from less than half an hour to four hours.

  20. The effect of O2 partial pressure on the structure and photocatalytic property of TiO2 films prepared by sputtering

    International Nuclear Information System (INIS)

    Liu Baoshun; Zhao Xiujian; Zhao Qingnan; Li Chunling; He Xin

    2005-01-01

    The TiO 2 films were prepared on slide substrates by dc reactive magnetron sputtering at different oxygen partial pressure, and were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Fourier transform infrared spectrometry (FT-IR). The degradation of methyl orange aqueous solutions was used to evaluate the photocatalytic activity. The results show that all films show crystalline anatase structure irrespective of oxygen partial pressure. The surface oxygen element exists in three forms, the first one is TiO 2 , the second one is OH - and the last one is physical absorbed water. The films deposited at oxygen partial pressure of 0.035 and 0.040 mTorr present better photocatalytic activity, which shows clear tendency to increase with oxygen partial pressure. Such photocatalytic activity results are considered to correlate with the crystalline structure, grain sizes and the OH - concentration

  1. Template-assisted electrostatic spray deposition as a new route to mesoporous, macroporous, and hierarchically porous oxide films.

    Science.gov (United States)

    Sokolov, S; Paul, B; Ortel, E; Fischer, A; Kraehnert, R

    2011-03-01

    A novel film coating technique, template-assisted electrostatic spray deposition (TAESD), was developed for the synthesis of porous metal oxide films and tested on TiO(2). Organic templates are codeposited with the titania precursor by electrostatic spray deposition and then removed during calcination. Resultant films are highly porous with pores casted by uniformly sized templates, which introduced a new level of control over the pore morphology for the ESD method. Employing the amphiphilic block copolymer Pluronic P123, PMMA latex spheres, or a combination of the two, mesoporous, macroporous, and hierarchically porous TiO(2) films are obtained. Decoupled from other coating parameters, film thickness can be controlled by deposition time or depositing multiple layers while maintaining the coating's structure and integrity.

  2. Atomic force microscopy study of TiO2 sol-gel films thermally treated under NH3 atmosphere

    International Nuclear Information System (INIS)

    Trapalis, C.; Todorova, N.; Anastasescu, M.; Anastasescu, C.; Stoica, M.; Gartner, M.; Zaharescu, M.; Stoica, T.

    2009-01-01

    Multilayered TiO 2 films were obtained by sol-gel and dipping deposition on quartz substrate followed by thermal treatment under NH 3 atmosphere. In an attempt to understand the close relationship between microstructural characteristics and the synthesis parameters, a systematic research of the structure and the morphology of NH 3 modified TiO 2 sol-gel films by XRD and Atomic Force Microscopy is reported. The surface morphology has been evaluated in terms of grains size, fractal dimension and surface roughness. For each surface, it was found a self-similar behavior (with mean fractal dimension in the range of 2.67-3.00) related to an optimum morphology favorable to maintain a nano-size distribution of the grains. The root mean square (RMS) roughness of the samples was found to be in the range of 0.72-6.02 nm.

  3. Ion beam modification of TiO2 films prepared by Cat-CVD for solar cell

    International Nuclear Information System (INIS)

    Narita, Tomoki; Iida, Tamio; Ogawa, Shunsuke; Mizuno, Kouichi; So, Jisung; Kondo, Akihiro; Yoshida, Norimitsu; Itoh, Takashi; Nonomura, Shuichi; Tanaka, Yasuhito

    2008-01-01

    The effects of nitrogen ion bombardment on TiO 2 films prepared by the Cat-CVD method have been studied to improve the optical and electrical properties of the material for use in Si thin film solar cells. The refractive index n and the dark conductivity of the TiO 2 film increased with irradiation time. The refractive index n of the TiO 2 film was changed from 2.1 to 2.4 and the electrical conductivity was improved from 3.4 x 10 -2 to 1.2 x 10 -1 S/cm by the irradiation. These results are due to the formation of Ti-N bonds and oxygen vacancies in the film

  4. Variable range hopping in TiO2 insulating layers for oxide electronic devices

    Directory of Open Access Journals (Sweden)

    Y. L. Zhao

    2012-03-01

    Full Text Available TiO2 thin films are of importance in oxide electronics, e.g., Pt/TiO2/Pt for memristors and Co-TiO2/TiO2/Co-TiO2 for spin tunneling devices. When such structures are deposited at a variety of oxygen pressures, how does TiO2 behave as an insulator? We report the discovery of an anomalous resistivity minimum in a TiO2 film at low pressure (not strongly dependent on deposition temperature. Hall measurements rule out band transport and in most of the pressure range the transport is variable range hopping (VRH though below 20 K it was difficult to differentiate between Mott and Efros-Shklovskii's (ES mechanism. Magnetoresistance (MR of the sample with lowest resistivity was positive at low temperature (for VRH but negative above 10 K indicating quantum interference effects.

  5. Synthesis of TiO2-doped SiO2 composite films and its applications

    Indian Academy of Sciences (India)

    Wintec

    structure of the titanium oxide species in the TiO2-doped SiO2 composite films and the photocatalytic reactiv- ity in order to ... gaku D-max γA diffractometer with graphite mono- chromized ... FT–IR absorption spectra of TiO2-doped SiO2 com-.

  6. Photocatalytic sterilization of TiO2 films coated on Al fiber

    International Nuclear Information System (INIS)

    Luo Li; Miao Lei; Tanemura, Sakae; Tanemura, Masaki

    2008-01-01

    Photocatalytic TiO 2 films were coated on Al fiber by sol-gel dip-coating method, and then annealed. The crystal structure and morphology of the films were performed by XRD, TEM and SEM. Photocatalytic sterilization of the films was investigated in O 2 atmosphere through purifying the aqueous solution with facultative aerobe (Bacillus cereus), aerobe (Pseudomonas aeruginosa) and anaerobe (Staphylococcus aureus, Enterococcus faecalis and Escherichia coli). In the presence of O 2 , it benefits to generate O 2 · - and ·OH at the first stage of the photocatalytic reaction, while the excess O 2 restrains the anaerobe from reproducing and accelerates the reproducing for the aerobe at the second stage of reaction. As a result, it was found that the crystal of TiO 2 films is anatase phase and the films have excellent sterilization effect against facultative aerobe and anaerobe. Nevertheless, it only decreased the bioactivity against aerobe in a short time

  7. TiO2 nanotube formation by Ti film anodization and their transport properties for dye-sensitized solar cells

    NARCIS (Netherlands)

    Iraj, M.; Kolahdouz, M.; Asl-Soleimani, E.; Esmaeili, E.; Kolahdouz Esfahani, Z.

    2016-01-01

    In this paper, we present the synthesis of TiO2 nanotube (NT) arrays formed by anodization of Ti film deposited on a fluorine-doped tin oxide-coated glass substrate by direct current magnetron sputtering. NH4F/ethylene glycol electrolyte was used to demonstrate the growth of stable nanotubes at room

  8. Ion plasma deposition of oxide films with graded-stoichiometry composition: Experiment and simulation

    Science.gov (United States)

    Volpyas, V. A.; Tumarkin, A. V.; Mikhailov, A. K.; Kozyrev, A. B.; Platonov, R. A.

    2016-07-01

    A method of ion plasma deposition is proposed for obtaining thin multicomponent films with continuously graded composition in depth of the film. The desired composition-depth profile is obtained by varying the working gas pressure during deposition in the presence of an additional adsorbing screen in the drift space between a sputtered target and substrate. Efficiency of the proposed method is confirmed by Monte Carlo simulation of the deposition of thin films of Ba x Sr1- x TiO3 (BSTO) solid solution. It is demonstrated that, during sputtering of a Ba0.3Sr0.7TiO3 target, the parameter of composition stoichiometry in the growing BSTO film varies in the interval of x = 0.3-0.65 when the gas pressure is changed within 2-60 Pa.

  9. Asymmetric photoelectric property of transparent TiO2 nanotube films loaded with Au nanoparticles

    International Nuclear Information System (INIS)

    Wang, Hui; Liang, Wei; Liu, Yiming; Zhang, Wanggang; Zhou, Diaoyu; Wen, Jing

    2016-01-01

    Highlights: • Highly transparent films of TiO 2 nanotube arrays were directly fabricated on FTO glasses. • Semitransparent TNT-Au composite films were obtained and exhibited excellent photoelectrocatalytic ability. • Back-side of TNT-Au composite films was firstly irradiated and tested to compare with front-side of films. - Abstract: Semitransparent composite films of Au loaded TiO 2 nanotubes (TNT-Au) were prepared by sputtering Au nanoparticles on highly transparent TiO 2 nanotubes films, which were fabricated directly on FTO glasses by anodizing the Ti film sputtered on the FTO glasses. Compared with pure TNT films, the prepared TNT-Au films possessed excellent absorption ability and high photocurrent response and improved photocatalytic activity under visible-light irradiation. It could be concluded that Au nanoparticles played important roles in improving the photoelectrochemical performance of TNT-Au films. Moreover, in this work, both sides of TNT-Au films were researched and compared owing to theirs semitransparency. It was firstly found that the photoelectric activity of TNT-Au composite films with back-side illumination was obviously superior to front-side illumination.

  10. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  11. Influences of the iron ion (Fe3+)-doping on structural and optical properties of nanocrystalline TiO2 thin films prepared by sol-gel spin coating

    International Nuclear Information System (INIS)

    Ben Naceur, J.; Mechiakh, R.; Bousbih, F.; Chtourou, R.

    2011-01-01

    Titanium dioxide (TiO 2 ) thin films doping of various iron ion (Fe 3+ ) concentrations were deposited on silicon (Si) (100) and quartz substrates by sol-gel Spin Coating technique followed by a thermal treatment at 600 deg. C. The structure, surface morphology and optical properties, as a function of the doping, have been studied by X-ray diffractometer (XRD), Raman, ultraviolet-visible (UV-vis) and Spectroscopic Ellipsometry (SE). XRD and Raman analyzes of our thin films show that the crystalline phase of TiO 2 thin films comprised only the anatase TiO 2 , but the crystallinity decreased when the Fe 3+ content increased from 0% to 20%. During the Fe 3+ addition to 20%, the phase of TiO 2 thin film still maintained the amorphous state. The grain size calculated from XRD patterns varies from 29.3 to 22.6 nm. The complex index and the optical band gap (E g ) of the films were determined by the spectroscopic ellipsometry analysis. We have found that the optical band gap decreased with an increasing Fe 3+ content.

  12. Study on Gas Sensing Performance of TiO2 Screen Printed Thick Films

    Directory of Open Access Journals (Sweden)

    C. G. DIGHAVKAR

    2009-02-01

    Full Text Available Titanium dioxide (TiO2 thick films were prepared on alumina substrate by using screen printing technique. After preparation, the films were fired at temperature range 600 -1000 ºC for two hour. Morphological, compositional and structural properties of the film samples were performed by means of several techniques, including scanning electron microscopy (SEM, Energy dispersive spectroscopy (EDS, X-ray diffraction techniques. We explore the various gases to study the sensing performance of the TiO2 thick films. The maximum response was reported to film fired at 800 0C for LPG gas at 350 0C operating temperature.

  13. Alkali passivation mechanism of sol-gel derived TiO2-SiO2 films coated on soda-lime-silica glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matsuda, A; Matsuno, Y; Katayama, S; Tsuno, T [Nippon Steel Glass Co. Ltd., Tokyo (Japan); Toge, N; Minami, T [University of Osaka Prefecture, Osaka (Japan). College of Engineering

    1992-09-01

    TiO2-SiO2 films prepared by the sol-gel method serves as an effective alkali passivation layer on a soda-lime-silica glass substrate and the film is superior to a sol-gel derived pure SiO2 film from the view point of weathering resistance improvement. To clarify the reason, alkali passivation mechanism of sol-gel derived TiO2-SiO2 glass films with different TiO2 contents coated on a soda-lime-silica glass substrate was studied by SIMS (secondary ion mass spectroscopy) and XPS (X-ray photoelectron spectroscopy) analyses, and compared with the results of a sol-gel derived pure SiO2 film. As a result, the following conclusions were obtained: An increase in TiO2 content in the TiO2 SiO2 film increases the sodium concentration in the film, which was induced by sodium migration from the glass substrate during the heat-treatment. Because of the presence of sodium the TiO2 -SiO2 films serve not as a barrier but as an effective getter of alkali ions and thereby effectively improve the weathering resistance Of the glass substrate. 10 refs., 6 figs.

  14. Photocatalytic properties of P25-doped TiO2 composite film synthesized via sol-gel method on cement substrate.

    Science.gov (United States)

    Guo, Xiang; Rao, Lei; Wang, Peifang; Wang, Chao; Ao, Yanhui; Jiang, Tao; Wang, Wanzhong

    2018-04-01

    TiO 2 films have received increasing attention for the removal of organic pollutants via photocatalysis. To develop a simple and effective method for improving the photodegradation efficiency of pollutants in surface water, we herein examined the preparation of a P25-TiO 2 composite film on a cement substrate via a sol-gel method. In this case, Rhodamine B (RhB) was employed as the target organic pollutant. The self-generated TiO 2 film and the P25-TiO 2 composite film were characterized by X-ray diffraction (XRD), N 2 adsorption/desorption measurements, scanning electron microscopy (SEM), transmission electron microscopy (TEM), and diffuse reflectance spectroscopy (DRS). The photodegradation efficiencies of the two films were studied by RhB removal in water under UV (ultraviolet) irradiation. Over 4day exposure, the P25-TiO 2 composite film exhibited higher photocatalytic performance than the self-generated TiO 2 film. The photodegradation rate indicated that the efficiency of the P25-TiO 2 composite film was enhanced by the addition of the rutile phase Degussa P25 powder. As such, cooperation between the anatase TiO 2 and rutile P25 nanoparticles was beneficial for separation of the photo-induced electrons and holes. In addition, the influence of P25 doping on the P25-TiO 2 composite films was evaluated. We found that up to a certain saturation point, increased doping enhanced the photodegradation ability of the composite film. Thus, we herein demonstrated that the doping of P25 powders is a simple but effective strategy to prepare a P25-TiO 2 composite film on a cement substrate, and the resulting film exhibits excellent removal efficiency in the degradation of organic pollutants. Copyright © 2017. Published by Elsevier B.V.

  15. Low-energy ion irradiation in HiPIMS to enable anatase TiO2 selective growth

    Science.gov (United States)

    Cemin, Felipe; Tsukamoto, Makoto; Keraudy, Julien; Antunes, Vinícius Gabriel; Helmersson, Ulf; Alvarez, Fernando; Minea, Tiberiu; Lundin, Daniel

    2018-06-01

    High power impulse magnetron sputtering (HiPIMS) has already demonstrated great potential for synthesizing the high-energy crystalline phase of titanium dioxide (rutile TiO2) due to large quantities of highly energetic ions present in the discharge. In this work, it is shown that the metastable anatase phase can also be obtained by HiPIMS. The required deposition conditions have been identified by systematically studying the phase formation, microstructure and chemical composition as a function of mode of target operation as well as of substrate temperature, working pressure, and peak current density. It is found that films deposited in the metal and transition modes are predominantly amorphous and contain substoichiometric TiO x compounds, while in compound mode they are well-crystallized and present only O2‑ ions bound to Ti4+, i.e. pure TiO2. Anatase TiO2 films are obtained for working pressures between 1 and 2 Pa, a peak current density of ~1 A cm‑2 and deposition temperatures lower than 300 °C. Rutile is favored at lower pressures (2 A cm‑2), while amorphous films are obtained at higher pressures (5 Pa). Microstructural characterization of selected films is also presented.

  16. Study of titania nanorod films deposited by matrix-assisted pulsed laser evaporation as a function of laser fluence

    Science.gov (United States)

    Caricato, A. P.; Belviso, M. R.; Catalano, M.; Cesaria, M.; Cozzoli, P. D.; Luches, A.; Manera, M. G.; Martino, M.; Rella, R.; Taurino, A.

    2011-11-01

    Chemically synthesized brookite titanium dioxide (TiO2) nanorods with average diameter and length dimensions of 3-4 nm and 35-50 nm, respectively, were deposited by the matrix-assisted pulsed laser evaporation technique. A toluene nanorod solution was frozen at the liquid-nitrogen temperature and irradiated with a KrF excimer laser ( λ=248 nm, τ=20 ns) at the repetition rate of 10 Hz, at different fluences (25 to 350 mJ/cm2). The deposited films were structurally characterized by high-resolution scanning and transmission electron microscopy. single-crystal Si wafers and carbon-coated Cu grids were used as substrates. Structural analyses evidenced the occurrence of brookite-phase crystalline nanospheres coexisting with individually distinguishable TiO2 nanorods in the films deposited at fluences varying from 50 to 350 mJ/cm2. Nanostructured TiO2 films comprising only nanorods were deposited by lowering the laser fluence to 25 mJ/cm2. The observed shape and phase transitions of the nanorods are discussed taking into account the laser-induced heating effects, reduced melting temperature and size-dependent thermodynamic stability of nanoscale TiO2.

  17. Preparation of TiO2-based nanotubes/nanoparticles composite thin film electrodes for their electron transport properties

    International Nuclear Information System (INIS)

    Zhao, Wanyu; Fu, Wuyou; Chen, Jingkuo; Li, Huayang; Bala, Hari; Wang, Xiaodong; Sun, Guang; Cao, Jianliang; Zhang, Zhanying

    2015-01-01

    The composite thin film electrodes were prepared with one-dimensional (1D) TiO 2 -B nanotubes (NTs) and zero-dimensional TiO 2 nanoparticles (NPs) based on different weight ratios. The electron transport properties of the NTs/NPs composite thin film electrodes applied for dye-sensitized solar cells had been investigated systematically. The results indicated that although the amount of dye adsorption decreased slightly, the devices with the NTs/NPs composite thin film electrodes could obtain higher open-circuit voltage and overall conversion efficiency compared to devices with pure TiO 2 NPs electrodes by rational tuning the weight ratio of TiO 2 -B NTs and TiO 2 NPs. When the weight ratio of TiO 2 -B NTs in the NTs/NPs composite thin film electrodes increased, the density of states and recombination rate decreased. The 1D structure of TiO 2 -B NTs can provide direct paths for electron transport, resulting in higher electron lifetime, electron diffusion coefficient and electron diffusion length. The composite thin film electrodes possess the merits of the rapid electron transport of TiO 2 -B NTs and the high surface area of TiO 2 NPs, which has great applied potential in the field of photovoltaic devices. - Highlights: • The composite thin film electrodes (CTFEs) were prepared with nanotubes and nanoparticles. • The CTFEs possess the rapid electron transport and high surface area. • The CTFEs exhibit lower recombination rate and longer electron life time. • The CTFEs have great applied potential in the field of photovoltaic devices

  18. A pressure tuned stop-flow atomic layer deposition process for MoS2 on high porous nanostructure and fabrication of TiO2/MoS2 core/shell inverse opal structure

    Science.gov (United States)

    Li, Xianglin; Puttaswamy, Manjunath; Wang, Zhiwei; Kei Tan, Chiew; Grimsdale, Andrew C.; Kherani, Nazir P.; Tok, Alfred Iing Yoong

    2017-11-01

    MoS2 thin films are obtained by atomic layer deposition (ALD) in the temperature range of 120-150 °C using Mo(CO)6 and dimethyl disulfide (DMDS) as precursors. A pressure tuned stop-flow ALD process facilitates the precursor adsorption and enables the deposition of MoS2 on high porous three dimensional (3D) nanostructures. As a demonstration, a TiO2/MoS2 core/shell inverse opal (TiO2/MoS2-IO) structure has been fabricated through ALD of TiO2 and MoS2 on a self-assembled multilayer polystyrene (PS) structure template. Due to the self-limiting surface reaction mechanism of ALD and the utilization of pressure tuned stop-flow ALD processes, the as fabricated TiO2/MoS2-IO structure has a high uniformity, reflected by FESEM and FIB-SEM characterization. A crystallized TiO2/MoS2-IO structure can be obtained through a post annealing process. As a 3D photonic crystal, the TiO2/MoS2-IO exhibits obvious stopband reflecting peaks, which can be adjusted through changing the opal diameters as well as the thickness of MoS2 layer.

  19. On the optical, structural, and morphological properties of ZrO2 and TiO2 dip-coated thin films supported on glass substrates

    International Nuclear Information System (INIS)

    Cueto, Luisa F.; Sanchez, Enrique; Torres-Martinez, Leticia M.; Hirata, Gustavo A.

    2005-01-01

    This article reports the optical and morphological properties of dip-coated TiO 2 and ZrO 2 thin films on soda-lime glass substrates by metal-organic decomposition (MOD) of titanium IV and zirconium IV acetylacetonates respectively. Thermogravimetric and differential thermal analysis (DTA-TG) were performed on the precursor powders, indicating pure TiO 2 anatase and tetragonal ZrO 2 phase formation. Phase crystallization processes took place in the range of 300-500 deg. C for anatase and of 410-500 deg. C for ZrO 2 . Fourier Transform Infrared Spectroscopy (FT-IR) was used to confirm precursor bidentate ligand formation with keno-enolic equilibrium character. Deposited films were heated at different temperatures, and their structural, optical and morphological properties were studied by grazing-incidence X-ray Diffraction (GIXRD) and X-Ray Photoelectron Spectroscopy (XPS), Ultraviolet Visible Spectroscopy (UV-Vis), and Atomic Force Microscopy (AFM) respectively. Film thinning and crystalline phase formation were enhanced with increasing temperature upon chelate decomposition. The optimum annealing temperature for both pure anatase TiO 2 and tetragonal ZrO 2 thin films was found to be 500 deg. C since solid volume fraction increased with temperature and film refractive index values approached those of pure anatase and tetragonal zirconia. Conditions for clean stoichiometric film formation with an average roughness value of 2 nm are discussed in terms of material binding energies indicated by XPS analyses, refractive index and solid volume fraction obtained indirectly by UV-Vis spectra, and crystalline peak identification provided by GIXRD

  20. Fabrication of a TiO2-P25/(TiO2-P25+TiO2 nanotubes junction for dye sensitized solar cells

    Directory of Open Access Journals (Sweden)

    Nguyen Huy Hao

    2016-08-01

    Full Text Available The dye sensitized solar cell (DSSC, which converts solar light into electric energy, is expected to be a promising renewable energy source for today's world. In this work, dye sensitized solar cells, one containing a single layer and one containing a double layer, were fabricated. In the double layer DSSC structure, the under-layer was TiO2-P25 film, and the top layer consisted of a mixture of TiO2-P25 and TiO2 nanotubes. The results indicated that the efficiency of the DSSC with the double layer structure was a significant improvement in comparison to the DSSC consisting of only a single film layer. The addition of TiO2-P25 in the top layer caused an improvement in the adsorption of dye molecules on the film rather than on the TiO2 nanotubes only. The presence of the TiO2 nanotubes together with TiO2-P25 in the top layer revealed the enhancement in harvesting the incident light and an improvement of electron transport through the film.

  1. Photoelectrolchemical performance of PbS/CdS quantum dots co-sensitized TiO2 nanosheets array film photoelectrodes

    International Nuclear Information System (INIS)

    Yao, Huizhen; Li, Xue; Liu, Li; Niu, Jiasheng; Ding, Dong; Mu, Yannan; Su, Pengyu; Wang, Guangxia; Fu, Wuyou; Yang, Haibin

    2015-01-01

    Herein, PbS/CdS quantum dots (QDs) co-sensitized titanium dioxide nanosheets array (TiO 2 NSs) films were reported for the first time. The TiO 2 NSs films exposed {001} facets were vertically grown on transparent conductive fluorine-doped tin oxide (FTO) glass substrates by a facile hydrothermal method. The PbS/CdS QDs were assembled on TiO 2 NSs photoelectrode by successive ionic layer adsorption and reaction (SILAR). The X-ray diffraction pattern (XRD) and transmission electron microscopy (TEM) verified that QDs with a diameter less than 20 nm were uniformly anchored on the surface of the TiO 2 NSs films. The QDs co-sensitization can significantly extend the absorption range and increase the absorption property of the photoelectrode by UV–vis absorption spectra. The optimal photoelectrolchemical (PEC) performance of PbS/CdS QDs co-sensitization TiO 2 NSs was with photocurrent density of 6.12 mA cm −2 under an illumination of AM 1.5 G, indicating the TiO 2 NSs films co-sensitized by PbS/CdS QDs have potential applications in solar cells. - Highlights: • TiO 2 nanosheets films were fabricated by a simple hydrothermal. • TiO 2 nanosheets film exposed high energy facets was with gaps. • PbS/CdS co-sensitized TiO 2 nanosheets film was obtained for the first time. • Photocurrent intensity of the novel photoelectrode increased to 6.12 mA cm −2

  2. Nano-crystalline thin and nano-particulate thick TiO2 layer: Cost effective sequential deposition and study on dye sensitized solar cell characteristics

    International Nuclear Information System (INIS)

    Das, P.; Sengupta, D.; Kasinadhuni, U.; Mondal, B.; Mukherjee, K.

    2015-01-01

    Highlights: • Thin TiO 2 layer is deposited on conducting substrate using sol–gel based dip coating. • TiO 2 nano-particles are synthesized using hydrothermal route. • Thick TiO 2 particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO 2 passivation layer is introduced between the mesoporous TiO 2 nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effect of passivation layer, other two DSSCs are also developed separately using TiO 2 nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO 2 compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO 2 layer in between the mesoporous TiO 2 nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons

  3. Band-gap narrowing of TiO2 films induced by N-doping

    International Nuclear Information System (INIS)

    Nakano, Y.; Morikawa, T.; Ohwaki, T.; Taga, Y.

    2006-01-01

    N-doped TiO 2 films were deposited on n + -GaN/Al 2 O 3 substrates by reactive magnetron sputtering and subsequently crystallized by annealing at 550 o C in flowing N 2 gas. The N-doping concentration was ∼8.8%, as determined from X-ray photoelectron spectroscopy measurements. Deep-level optical spectroscopy measurements revealed two characteristic deep levels located at 1.18 and 2.48 eV below the conduction band. The 1.18 eV level is probably attributable to the O vacancy state and can be active as an efficient generation-recombination center. Additionally, the 2.48 eV band is newly introduced by the N-doping and contributes to band-gap narrowing by mixing with the O 2p valence band

  4. Thin film growth into the ion track structures in polyimide by atomic layer deposition

    Science.gov (United States)

    Mättö, L.; Malm, J.; Arstila, K.; Sajavaara, T.

    2017-09-01

    High-aspect ratio porous structures with controllable pore diameters and without a stiff substrate can be fabricated using the ion track technique. Atomic layer deposition is an ideal technique for depositing thin films and functional surfaces on complicated 3D structures due to the high conformality of the films. In this work, we studied Al2O3 and TiO2 films grown by ALD on pristine polyimide (Kapton HN) membranes as well as polyimide membranes etched in sodium hypochlorite (NaOCl) and boric acid (BO3) solution by means of RBS, PIXE, SEM-EDX and helium ion microcopy (HIM). The focus was on the first ALD growth cycles. The areal density of Al2O3 film in the 400 cycle sample was determined to be 51 ± 3 × 1016 at./cm2, corresponding to the thickness of 55 ± 3 nm. Furthermore, the growth per cycle was 1.4 Å/cycle. The growth is highly linear from the first cycles. In the case of TiO2, the growth per cycle is clearly slower during the first 200 cycles but then it increases significantly. The growth rate based on RBS measurements is 0.24 Å/cycle from 3 to 200 cycles and then 0.6 Å/cycle between 200 and 400 cycles. The final areal density of TiO2 film after 400 cycles is 148 ± 3 × 1015 at./cm2 which corresponds to the thickness of 17.4 ± 0.4 nm. The modification of the polyimide surface by etching prior to the deposition did not have an effect on the Al2O3 and TiO2 growth.

  5. In situ study of interface reactions of ion beam sputter deposited (Ba0.5Sr0.5)TiO3 films on Si, SiO2, and Ir

    International Nuclear Information System (INIS)

    Gao, Y.; Mueller, A.H.; Irene, E.A.; Auciello, O.; Krauss, A.; Schultz, J.A.

    1999-01-01

    (Ba 0.5 ,Sr 0.5 )TiO 3 (BST) thin films were deposited on MgO, Si, SiO 2 and Ir surfaces by ion beam sputter deposition in oxygen at 700 degree C. In situ spectroscopic ellipsometry (SE) has been used to investigate the evolution of the BST films on different surfaces during both deposition and postannealing processes. First, the optical constants of the BST films in the photon energy range of 1.5 - 4.5 eV were determined by SE analysis on crystallized BST films deposited on MgO single crystal substrates. The interfaces in BST/Si and BST/SiO 2 /Si structure were examined by SE and Auger electron spectroscopy depth profiles. Subcutaneous oxidation in the BST/Ir structure was observed by in situ SE during both ion beam sputter deposition and postdeposition annealing in oxygen at 700 degree C. A study of the thermal stability of the Ir/TiN/SiO 2 /Si structure in oxygen at 700 degree C was carried out using in situ SE. The oxidation of Ir was confirmed by x-ray diffraction. The surface composition and morphology evolution after oxidation were investigated by time of flight mass spectroscopy of recoiled ions (TOF-MSRI) and atomic force microscopy. It has been found that Ti from the underlying TiN barrier layer diffused through the Ir layer onto the surface and thereupon became oxidized. It was also shown that the surface roughness increases with increasing oxidation time. The implications of the instability of Ir/TiN/SiO 2 /Si structure on the performance of capacitor devices based on this substrate are discussed. It has been shown that a combination of in situ SE and TOF-MSRI provides a powerful methodology for in situ monitoring of complex oxide film growth and postannealing processes. copyright 1999 American Vacuum Society

  6. Control of crystallographic texture and surface morphology of Pt/Tio2 templates for enhanced PZT thin film texture.

    Science.gov (United States)

    Fox, Austin J; Drawl, Bill; Fox, Glen R; Gibbons, Brady J; Trolier-McKinstry, Susan

    2015-01-01

    Optimized processing conditions for Pt/TiO2/SiO2/Si templating electrodes were investigated. These electrodes are used to obtain [111] textured thin film lead zirconate titanate (Pb[ZrxTi1-x ]O3 0 ≤ x ≤ 1) (PZT). Titanium deposited by dc magnetron sputtering yields [0001] texture on a thermally oxidized Si wafer. It was found that by optimizing deposition time, pressure, power, and the chamber pre-conditioning, the Ti texture could be maximized while maintaining low surface roughness. When oxidized, titanium yields [100]-oriented rutile. This seed layer has as low as a 4.6% lattice mismatch with [111] Pt; thus, it is possible to achieve strongly oriented [111] Pt. The quality of the orientation and surface roughness of the TiO2 and the Ti directly affect the achievable Pt texture and surface morphology. A transition between optimal crystallographic texture and the smoothest templating surface occurs at approximately 30 nm of original Ti thickness (45 nm TiO2). This corresponds to 0.5 nm (2 nm for TiO2) rms roughness as determined by atomic force microscopy and a full-width at half-maximum (FWHM) of the rocking curve 0002 (200) peak of 5.5/spl degrees/ (3.1/spl degrees/ for TiO2). A Pb[Zr0.52Ti 0.48]O3 layer was deposited and shown to template from the textured Pt electrode, with a maximum [111] Lotgering factor of 87% and a minimum 111 FWHM of 2.4/spl degrees/ at approximately 30 nm of original Ti.

  7. TiO2 coated SnO2 nanosheet films for dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Cai Fengshi; Yuan Zhihao; Duan Yueqing; Bie Lijian

    2011-01-01

    TiO 2 -coated SnO 2 nanosheet (TiO 2 -SnO 2 NS) films about 300 nm in thickness were fabricated on fluorine-doped tin oxide glass by a two-step process with facile solution-grown approach and subsequent hydrolysis of TiCl 4 aqueous solution. The as-prepared TiO 2 -SnO 2 NSs were characterized by scanning electron microscopy and X-ray diffraction. The performances of the dye-sensitized solar cells (DSCs) with TiO 2 -SnO 2 NSs were analyzed by current-voltage measurements and electrochemical impedance spectroscopy. Experimental results show that the introduction of TiO 2 -SnO 2 NSs can provide an efficient electron transition channel along the SnO 2 nanosheets, increase the short current density, and finally improve the conversion efficiency for the DSCs from 4.52 to 5.71%.

  8. Nano-structure TiO2 film coating on 316L stainless steel via sol-gel technique for blood compatibility improvement

    Directory of Open Access Journals (Sweden)

    Mohammadreza Foruzanmehr

    2014-04-01

    Full Text Available   Objective(s: Titanium oxides are known to be appropriate hemocompatible materials which are suggested as coatings for blood-contacting devices. Little is known about the influence of nanometric crystal structure, layer thickness, and semiconducting characteristics of TiO2 on blood hemostasis.   Materials and Methods: Having used sol-gel dip coating method in this study, TiO2 thin films were deposited on nano-scale electro-polished stainless steel 316L with 1 to 5 nano-sized layers. Surface morphology and structure of the film were studied with X-ray diffraction and atomic force microscopy. Blood compatibility was also determined by measuring the platelet activation (CD62P expression, platelet adhesion (Scanning Electron Microscopy, and the blood clotting time on these samples. Results: The films were compact and smooth and existed mainly in the form of anatase. By increasing the number of TiO2 thin layer, clotting time greatly extended, and the population of activated platelet and P-selectine expression changed according to the surface characteristics of each layer. Conclusion: The findings revealed that stainless steel 316L coated with nano-structured TiO2 layer improved blood compatibility, in terms of both blood platelet activity and coagulation cascade, which can decrease the thrombogenicity of blood contacting devices which were made from stainless steel.

  9. Fabrication of Lead-Free Bi0.5Na0.5TiO3 Thin Films by Aqueous Chemical Solution Deposition

    Directory of Open Access Journals (Sweden)

    Mads Christensen

    2017-02-01

    Full Text Available Piezoelectric ceramics are widely used in actuator applications, and currently the vast majority of these devices are based on Pb ( Zr , Ti O 3 , which constitutes environmental and health hazards due to the toxicity of lead. One of the most promising lead-free material systems for actuators is based on Bi 0 . 5 Na 0 . 5 TiO 3 (BNT, and here we report on successful fabrication of BNT thin films by aqueous chemical solution deposition. The precursor solution used in the synthesis is based on bismuth citrate stabilized by ethanolamine, NaOH , and a Ti-citrate prepared from titanium tetraisopropoxide and citric acid. BNT thin films were deposited on SrTiO 3 and platinized silicon substrates by spin-coating, and the films were pyrolized and annealed by rapid thermal processing. The BNT perovskite phase formed after calcination at 500 °C in air. The deposited thin films were single phase according to X-ray diffraction, and the microstructures of the films shown by electron microscopy were homogeneous and dense. Decomposition of the gel was thoroughly investigated, and the conditions resulting in phase pure materials were identified. This new aqueous deposition route is low cost, robust, and suitable for development of BNT based thin film for actuator applications.

  10. Radiation-free superhydrophilic and antifogging properties of e-beam evaporated TiO2 films on glass

    Science.gov (United States)

    Garlisi, Corrado; Palmisano, Giovanni

    2017-10-01

    In this work, we show the unique wettability properties of TiO2 thin films deposited by e-beam evaporation on glass and treated at 500 °C. The deposited materials exhibited compact non-porous structures and their non-UV activated superwetting behavior was characterized, emphasizing the better performance compared to the bare glass substrate and to a commercial self-cleaning glass (Pilkington Activ™) even in terms of antifogging and optical properties. The results demonstrate how the superhydrophilic character arises from the used deposition technique inducing a large amount of oxygen vacancies further boosted by the annealing treatment, allowing for the fabrication of a pioneering material in the area of multifunctional coatings. The superhydrophilic character was maintained even at an extremely small thickness (20 nm), similarly to the adhesion of the film to the glass substrate, as confirmed by ultrasound stress tests and the cross-cut test performed according to ISO 2409 standard. The photocatalytic activity of the e-beam evaporated film was also assessed by degradation of methanol, 2-propanol and toluene under UV light in a gas phase reactor and the performance was found to be in most cases superior compared to Pilkington Activ™.

  11. Photoactive TiO2 Films Formation by Drain Coating for Endosulfan Degradation

    Directory of Open Access Journals (Sweden)

    Natalia Tapia-Orozco

    2013-01-01

    Full Text Available Heterogeneous photocatalysis is an advanced oxidation process in which a photoactive catalyst, such as TiO2, is attached to a support to produce free radical species known as reactive oxygen species (ROS that can be used to break down toxic organic compounds. In this study, the draining time, annealing temperature, and draining/annealing cycles for TiO2 films grown by the drain coating method were evaluated using a 23 factorial experimental design to determine the photoactivity of the films via endosulfan degradation. The TiO2 films prepared with a large number of draining/annealing cycles at high temperatures enhanced (P>0.05 endosulfan degradation and superoxide radical generation after 30 minutes of illumination with UV light. We demonstrated a negative correlation (R2=0.69; P>0.01 between endosulfan degradation and superoxide radical generation. The endosulfan degradation rates were the highest at 30 minutes with the F6 film. In addition, films prepared using conditions F1, F4, and F8 underwent an adsorption/desorption process. The kinetic reaction constants, Kapp (min−1, were 0.0101, 0.0080, 0.0055, 0.0048, and 0.0035 for F6, F2, F5, F3, and F1, respectively. The endosulfan metabolites alcohol, ether, and lactone were detected and quantified at varying levels in all photocatalytic assays.

  12. Composite films prepared by plasma ion-assisted deposition (IAD) for design and fabrication of antireflection coatings in visible and near-infrared spectral regions

    Science.gov (United States)

    Tsai, Rung-Ywan; Ho, Fang C.

    1994-11-01

    Ion-assisted deposition (IAD) processes configured with a well-controlled plasma source at the center base of a vacuum chamber, which accommodates two independent e-gun sources, is used to deposition TiO2MgF2 and TiO2-SiO2 composite films of selected component ratios. Films prepared by this technology are found durable, uniform, and nonabsorbing in visible and near-IR regions. Single- and multilayer antireflection coatings with refractive index from 1.38 to 2.36 at (lambda) equals 550 nm are presented. Methods of enhancement in optical performance of these coatings are studied. The advantages of AR coatings formed by TiO2-MgF2 composite films over those similar systems consisting of TiO2-SiO2 composite films in both visible and near-IR regions are also presented.

  13. Formation of TiO2 domains in Poly (9-vinylcarbazole) thin film by hydrolysis-condensation of a metal alkoxide

    International Nuclear Information System (INIS)

    Barlier, V.; Bounor-Legare, V.; Alcouffe, P.; Boiteux, G.; Davenas, J.

    2007-01-01

    New organic-inorganic hybrid thin films based on Poly (9-vinylcarbazole) (P9VK) and Dioxide titanium (TiO 2 ) bulk-heterojunction were obtained by a hydrolysis-condensation (H-C) process of titanium (IV) isopropoxide in thin film. The TiO 2 distribution in the film was investigated by scanning electron microscopy. The results indicated that homogeneous TiO 2 particles around 100 nm were formed on the surface of the polymer thin film. Photoluminescence spectroscopy has been used to study the charge transfer efficiency in the photoactive layer and results were compared with a simplest elaboration route, the dispersion of TiO 2 anatase in a P9VK solution before spin coating. Results showed that TiO 2 elaborated by H-C exhibits a competitive quenching effect with TiO 2 anatase

  14. Characteristics of zinc oxide nanorod array/titanium oxide film heterojunction prepared by aqueous solution deposition

    Science.gov (United States)

    Lee, Ming-Kwei; Hong, Min-Hsuan; Li, Bo-Wei

    2016-07-01

    The characteristics of a ZnO nanorod array/TiO2 film heterojunction were investigated. A TiO2 film was prepared on glass by aqueous solution deposition with precursors of ammonium hexafluorotitanate and boric acid at 40 °C. Then, a ZnO seed layer was prepared on a TiO2 film/glass substrate by RF sputtering. A vertically oriented ZnO nanorod array was grown on a ZnO seed layer/TiO2 film/glass substrate by aqueous solution deposition with precursors of zinc nitrate and hexamethylenetetramine (HMT) at 70 °C. After thermal annealing in N2O ambient at 300 °C, this heterojunction used as an oxygen gas sensor shows much better rise time, decay time, and on/off current ratio than as-grown and annealed ZnO nanorods.

  15. TiO_2/WO_3 photoactive bilayers in the UV-Vis light region

    International Nuclear Information System (INIS)

    Vasilaki, E.; Vernardou, D.; Kenanakis, G.; Katsarakis, N.; Vamvakaki, M.

    2017-01-01

    In this work, photoactive bilayered films consisting of anatase TiO_2 and monoclinic WO_3 were synthesized by a sol-gel route. Titanium isopropoxide and tungsten hexachloride were used as metal precursors and deposition was achieved by spin-coating on Corning glass substrates. The samples were characterized by X-ray diffraction, photoluminescence, UV-Vis, and Raman spectroscopy, as well as field emission scanning electron microscopy. The prepared immobilized catalysts were tested for their photocatalytic performance by the decolorization of methylene blue in aqueous matrices, under UV-Vis light irradiation. The annealing process influenced the crystallinity of the bilayered films, while the concentration of the tungsten precursor solution and the position of the tungsten trioxide layer further affected their photocatalytic performance. In particular, the photocatalytic performance of the bilayered films was optimized at a concentration of 0.1 M of the WO_3 precursor solution, when deposited as an overlying layer on TiO_2 by two annealing steps (∝76% methylene blue decolorization in 300 min of irradiation versus ∝59% in the case of a bare TiO_2 film). In general, the coupled layer catalysts exhibited superior photoactivity compared to that of bare TiO_2 films with WO_3 acting as an electron trap, resulting, therefore, in a more efficient electron-hole separation and inhibiting their recombination. (orig.)

  16. Chemical and electrochemical synthesis of nano-sized TiO2 anatase for large-area photon conversion

    International Nuclear Information System (INIS)

    Babasaheb, Raghunath Sankapal; Shrikrishna, Dattatraya Sartale; Lux-Steiner, M.Ch.; Ennaoui, A.

    2006-01-01

    We report on the synthesis of nanocrystalline titanium dioxide thin films and powders by chemical and electrochemical deposition methods. Both methods are simple, inexpensive and suitable for large-scale production. Air-annealing of the films and powders at T = 500 C leads to densely packed nanometer sized anatase TiO 2 particles. The obtained layers are characterized by different methods such as: X-ray diffraction (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Titanium dioxide TiO 2 (anatase) phase with (101) preferred orientation has been obtained for the films deposited on glass; indium doped tin oxide (ITO) and quartz substrates. The powder obtained as the byproduct consists of TiO 2 with anatase-phase as well. (authors)

  17. Effect of TiO2/Al2O3 film coated diamond abrasive particles by sol-gel technique

    Science.gov (United States)

    Hu, Weida; Wan, Long; Liu, Xiaopan; Li, Qiang; Wang, Zhiqi

    2011-04-01

    The diamond abrasive particles were coated with the TiO2/Al2O3 film by the sol-gel technique. Compared with the uncoated diamonds, the TiO2/Al2O3 film was excellent material for the protection of the diamonds. The results showed that the incipient oxidation temperature of the TiO2/Al2O3 film coated diamonds in air atmosphere was 775 °C, which was higher 175 °C than that of the uncoated diamonds. And the coated diamonds also had better the diamond's single particle compressive strength and the impact toughness than that of uncoated diamonds after sintering at 750 °C. For the vitrified bond grinding wheels, replacing the uncoated diamonds with the TiO2/Al2O3 film coated diamonds, the volume expansion of the grinding wheels decreased from 6.2% to 3.4%, the porosity decreased from 35.7% to 25.7%, the hardness increased from 61.2HRC to 66.5HRC and the grinding ratio of the vitrified bond grinding wheels to carbide alloy (YG8) increased from 11.5 to 19.1.

  18. Photocatalytic effects for the TiO2-coated phosphor materials

    International Nuclear Information System (INIS)

    Yoon, Jin-Ho; Jung, Sang-Chul; Kim, Jung-Sik

    2011-01-01

    Research highlights: → The photocatalytic behavior of the coupling of TiO 2 with phosphorescent materials. → The photobleaching of an MB aqueous solution under visible light irradiation. → The ALD TiO 2 -coated phosphor composite showed much higher photocatalytic reactivity. → The light emitted from the phosphors contributed to the photo-generation. - Abstract: This study investigated the photocatalytic behavior of the coupling of TiO 2 with phosphorescent materials. A TiO 2 thin film was deposited on CaAl 2 O 4 :Eu 2+ ,Nd 3+ phosphor particles by using atomic layer deposition (ALD), and its photocatalytic reaction was investigated by the photobleaching of an aqueous solution of methylene-blue (MB) under visible light irradiation. To clarify the mechanism of the TiO 2 -phosphorescent materials, two different samples of TiO 2 -coated phosphor and TiO 2 -Al 2 O 3 -coated phosphor particles were prepared. The photocatalytic mechanisms of the ALD TiO 2 -coated phosphor powders were different from those of the pure TiO 2 and TiO 2 -Al 2 O 3 -coated phosphor. The absorbance in a solution of the ALD TiO 2 -coated phosphor decreased much faster than that of pure TiO 2 under visible irradiation. In addition, the ALD TiO 2 -coated phosphor showed moderately higher photocatalytic degradation of MB solution than the TiO 2 -Al 2 O 3 -coated phosphor did. The TiO 2 -coated phosphorescent materials were characterized by transmission electron microscopy (TEM), Auger electron spectroscopy (AES) and X-ray photon spectroscopy (XPS).

  19. Fabrication of doped TiO2 nanotube array films with enhanced photo-catalytic activity

    Science.gov (United States)

    Peighambardoust, Naeimeh-Sadat; Khameneh-asl, Shahin; Khademi, Adib

    2018-01-01

    In the present work, we investigate the N and Fe-doped TiO2 nanotube array film prepared by treating TiO2 nanotube array film with ammonia solution and anodizing in Fe(NO3)3 solution respectively. This method avoided the use of hazardous ammonia gas, or laborious ion implantation process. N and Fe-doped TiO2 nanotube arrays (TiO2 NTs) were prepared by electrochemical anodization process in 0.5 wt % HF aqueous solution. The anodization was performed at the conditions of 20 V and 20 min, Followed by a wet immersion in NH3.H2O (1M) for N-doping for 2 hr and annealing post-treatment at 450 °C. The morphology and structure of the nanotube films were characterized by field emission scanning electron microscope (FESEM) and EDX. UV-vis. illumination test were done to observe photo-enhanced catalysis. The effect of different annealing temperature on the structure and photo-absorption property of the TiO2-TNTs was investigated. The results showed that N-TNTs nanotubes exhibited higher photocatalytic activity compared whit the Fe-doped and pure TNTs, because doping N promoted the separation of the photogenerated electrons and holes.

  20. Deep-level optical spectroscopy investigation of N-doped TiO2 films

    International Nuclear Information System (INIS)

    Nakano, Yoshitaka; Morikawa, Takeshi; Ohwaki, Takeshi; Taga, Yasunori

    2005-01-01

    N-doped TiO 2 films were deposited on n + -GaN/Al 2 O 3 substrates by reactive magnetron sputtering and subsequently crystallized by annealing at 550 deg. C in flowing N 2 gas. The N-doping concentration was ∼8.8%, as determined from x-ray photoelectron spectroscopy measurements. Deep-level optical spectroscopy measurements revealed two characteristic deep levels located at ∼1.18 and ∼2.48 eV below the conduction band. The 1.18 eV level is probably attributable to the O vacancy state and can be active as an efficient generation-recombination center. Additionally, the 2.48 eV band is newly introduced by the N doping and contributes to band-gap narrowing by mixing with the O 2p valence band

  1. Sol-gel deposition and electrical properties of laser irradiated Cu doped TiO2 multilayer thin films

    Directory of Open Access Journals (Sweden)

    M.I. Khan

    Full Text Available Multilayer thin films (3, 5 and 7 of 20% copper doped titanium dioxide (Cu:TiO2 have been deposited on glass substrates by sol-gel spin coating method. After deposition, films have been irradiated by a beam of continuous wave diode laser (532 nm for two minutes at the angle of 45°. Structural, surface morphology and electrical properties of films have been investigated by X-rays diffraction (XRD, scanning electron microscope (SEM and four point probe technique respectively. XRD shows the formation of titanium copper oxide. Surface morphology of thin films indicated that the average grain size is increased by increasing the number of layers. The average sheet resistivity of 3, 5 and 7 layers of thin films measured by four point probe technique is 2.2 × 104, 1.2 × 104 and 1.0 × 104 (Ohm-cm respectively. The present study will facilitate a cost effective and environmental friendly study for several properties of materials. Keywords: Cu:TiO2, Multilayer thin films, Diode laser

  2. Structural Properties of Nanoparticles TiO2/PVA Polymeric Films

    Directory of Open Access Journals (Sweden)

    Samara A. Madhloom

    2018-04-01

    Full Text Available In this research, X-ray diffraction of the powder (PVA polymer, titanium dioxide with two parti-cle sizes and (TiO2 (15.7 nm/PVA and TiO2 (45.7 nm/PVA films have been studied,the amount of polymer is (0.5 g and (0.01g from each particle sizes of nanoparticles will be used. Casting method is used to prepare homogeneous films on glass petri dishes. All parameters ac-counted for the X-ray diffraction; full width half maximum (FWHM, Miller indices (hkl, size of crystalline (D, Specific Surface Area (S and Dislocation Density (δ. The nature of the structural of materials and films will be investigated. The XRD pattern of PVA polymer has semi-crystalline nature and the titanium dioxide with two particle sizes have crystalline structure; ana-tase type. While the mixture between these materials led to appearing some crystalline peaks into XRD pattern of PVA polymer

  3. TiO2 film/Cu2O microgrid heterojunction with photocatalytic activity under solar light irradiation.

    Science.gov (United States)

    Zhang, Junying; Zhu, Hailing; Zheng, Shukai; Pan, Feng; Wang, Tianmin

    2009-10-01

    Coupling a narrow-band-gap semiconductor with TiO(2) is an effective method to produce photocatalysts that work under UV-vis light irradiation. Usually photocatalytic coupled-semiconductors exist mainly as powders, and photocatalytic activity is only favored when a small loading amount of narrow-band-gap semiconductor is used. Here we propose a heavy-loading photocatalyst configuration in which 51% of the surface of the TiO(2) film is covered by a Cu(2)O microgrid. The coupled system shows higher photocatalytic activity under solar light irradiation than TiO(2) and Cu(2)O films. This improved performance is due to the efficient charge transfer between the two phases and the similar opportunity each has to be exposed to irradiation and adsorbates.

  4. Enhancement of photoelectric catalytic activity of TiO2 film via Polyaniline hybridization

    International Nuclear Information System (INIS)

    Wang Yajun; Xu Jing; Zong Weizheng; Zhu Yongfa

    2011-01-01

    A Polyaniline (PANI)/TiO 2 film coated on titanium foil was successfully prepared using the sol-gel method followed by a facile chemisorption. Compared with pristine TiO 2 , the photocatalytic (PC) and photoelectrocatalytic (PEC) degradation rates of 2,4-dichlorophenol (2,4-DCP) with the PANI/TiO 2 film were enhanced by 22.2% and 57.5%, respectively. 2,4-DCP can be mineralized more effectively in the presence of PANI/TiO 2 film. The best PEC degradation efficiency of 2,4-DCP with the PANI/TiO 2 film was acquired at an external potential of 1.5 V with a layer of 1 nm thick PANI. The PANI/TiO 2 film was characterized by Raman spectra, Fourier transform infrared spectra (FT-IR), Auger electron spectroscopy (AES), and electrochemical analysis. These results indicated that there was a chemical interaction on the interface of PANI and TiO 2 . This interaction may be of significance to promote the migration efficiency of carriers and induce a synergetic effect to enhance the PC and PEC activities. - Graphical abstract: The effect of PANI content on 2,4-DCP degradation with initial concentration of 50 mg/L, external potential=1.5 V. Inset: degradation rate constants of various PANI/TiO 2 films. Highlights: → Polyaniline/TiO 2 film was prepared using the sol-gel method followed by chemisorption. → Photoelectrocatalytic degradation rate of 2,4-dichlorophenol was enhanced by 57.5%. → The modification of Polyaniline to TiO 2 film caused a rapid charge separation. → Best degradation efficiency was acquired at 1.5 V with 1 nm thick PANI.

  5. Microwave-assisted synthesis and characterization of poly(acrylic)/SiO2-TiO2 core-shell nanoparticle hybrid thin films

    International Nuclear Information System (INIS)

    Chien, Wen-Chen; Yu, Yang-Yen; Chen, Po-Kan; Yu, Hui-Huan

    2011-01-01

    In this study, poly(acrylic)/SiO 2 -TiO 2 core-shell nanoparticle hybrid thin films were successfully synthesized by microwave-assisted polymerization. The coupling agent 3-(trimethoxysilyl) propyl methacrylate (MSMA) was hydrolyzed with colloidal SiO 2 -TiO 2 core-shell nanoparticles, and then polymerized with two acrylic monomers and initiator to form a precursor solution. The results of this study showed that the spin-coated hybrid films had relatively good surface planarity, high thermal stability, a tunable refractive index (1.525 2 -TiO 2 core-shell nanoparticle hybrid thin films, for potential use in optical applications.

  6. Preparation of Sb2S3 nanocrystals modified TiO2 dendritic structure with nanotubes for hybrid solar cell

    Science.gov (United States)

    Li, Yingpin; Wei, Yanan; Feng, Kangning; Hao, Yanzhong; Pei, Juan; Sun, Bao

    2018-06-01

    Array of TiO2 dendritic structure with nanotubes was constructed on transparent conductive fluorine-doped tin oxide glass (FTO) with titanium potassium oxalate as titanium source. Sb2S3 nanocrystals were successfully deposited on the TiO2 substrate via spin-coating method. Furthermore, TiO2/Sb2S3/P3HT/PEDOT:PSS composite film was prepared by successively spin-coating P3HT and PEDOT:PSS on TiO2/Sb2S3. It was demonstrated that the modification of TiO2 dendritic structure with Sb2S3 could enhance the light absorption in the visible region. The champion hybrid solar cell assembled by TiO2/Sb2S3/P3HT/PEDOT:PSS composite film achieved a power conversion efficiency (PCE) of 1.56%.

  7. Tuning the resistive switching properties of TiO2-x films

    Science.gov (United States)

    Ghenzi, N.; Rozenberg, M. J.; Llopis, R.; Levy, P.; Hueso, L. E.; Stoliar, P.

    2015-03-01

    We study the electrical characteristics of TiO2-x-based resistive switching devices fabricated with different oxygen/argon flow ratio during the oxide thin film sputtering deposition. Upon minute changes in this fabrication parameter, three qualitatively different device characteristics were accessed in the same system, namely, standard bipolar resistive switching, electroforming-free devices, and devices with multi-step breakdown. We propose that small variations in the oxygen/ argon flow ratio result in relevant changes of the oxygen vacancy concentration, which is the key parameter determining the resistive switching behavior. The coexistence of percolative or non-percolative conductive filaments is also discussed. Finally, the hypothesis is verified by means of the temperature dependence of the devices in low resistance state.

  8. Temperature dependence of gas sensing behaviour of TiO2 doped PANI composite thin films

    Science.gov (United States)

    Srivastava, Subodh; Sharma, S. S.; Sharma, Preetam; Sharma, Vinay; Rajura, Rajveer Singh; Singh, M.; Vijay, Y. K.

    2014-04-01

    In the present work we have reported the effect of temperature on the gas sensing properties of TiO2 doped PANI composite thin film based chemiresistor type gas sensors for hydrogen gas sensing application. PANI and TiO2 doped PANI composite were synthesized by in situ chemical oxidative polymerization of aniline at low temperature. The electrical properties of these composite thin films were characterized by I-V measurements as function of temperature. The I-V measurement revealed that conductivity of composite thin films increased as the temperature increased. The changes in resistance of the composite thin film sensor were utilized for detection of hydrogen gas. It was observed that at room temperature TiO2 doped PANI composite sensor shows higher response value and showed unstable behavior as the temperature increased. The surface morphology of these composite thin films has also been characterized by scanning electron microscopy (SEM) measurement.

  9. Relationship between nano/micro structure and physical properties of TiO2-sodium caseinate composite films.

    Science.gov (United States)

    Montes-de-Oca-Ávalos, Juan Manuel; Altamura, Davide; Candal, Roberto Jorge; Scattarella, Francesco; Siliqi, Dritan; Giannini, Cinzia; Herrera, María Lidia

    2018-03-01

    Films obtained by casting, starting from conventional emulsions (CE), nanoemulsions (NE) or their gels, which led to different structures, with the aim of explore the relationship between structure and physical properties, were prepared. Sodium caseinate was used as the matrix, glycerol as plasticizer, glucono-delta-lactone as acidulant to form the gels, and TiO 2 nanoparticles as reinforcement to improve physical behavior. Structural characterization was performed by SAXS and WAXS (Small and Wide Angle X-ray Scattering, respectively), combined with confocal and scanning electron microscopy. The results demonstrate that the incorporation of the lipid phase does not notably modify the mechanical properties of the films compared to solution films. Films from NE were more stable against oil release than those from CE. Incorporation of TiO 2 improved mechanical properties as measured by dynamical mechanical analysis (DMA) and uniaxial tensile tests. TiO 2 macroscopic spatial distribution homogeneity and the nanostructure character of NE films were confirmed by mapping the q-dependent scattering intensity in scanning SAXS experiments. SAXS microscopies indicated a higher intrinsic homogeneity of NE films compared to CE films, independently of the TiO 2 load. NE-films containing structures with smaller and more homogeneously distributed building blocks showed greater potential for food applications than the films prepared from sodium caseinate solutions, which are the best known films. Copyright © 2017 Elsevier Ltd. All rights reserved.

  10. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  11. SILAR BiOI-Sensitized TiO2 Films for Visible-Light Photocatalytic Degradation of Rhodamine B and 4-Chlorophenol.

    Science.gov (United States)

    Odling, Gylen; Robertson, Neil

    2017-04-05

    BiOI nanoplates were deposited upon a film of TiO 2 nanoparticles derived from a commercial source using a simple room temperature sequential ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, X-ray photoelectron spectroscopy and electron microscopies have been used to confirm the crystal phase, chemical states of key elements and morphology of the BiOI nanoplate-TiO 2 composites. Using both valence band X-ray photoelectron spectroscopy and UV/Vis diffuse reflectance measurements the band structure of the composites is determined to be that of a type II heterojunction. Through initial screening of the photocatalytic activity of the SILAR-modified films it was determined that five SILAR cycles are optimal in the photocatalytic degradation of rhodamine B. The visible-light sensitisation effect of BiOI was then proven by examination of the photocatalytic degradation of the colourless organic pollutant 4-chlorophenol, showing a large enhancement over an equivalent TiO 2 film. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  13. Cathodic electrochemical deposition of Magnéli phases TinO2n−1 thin films at different temperatures in acetonitrile solution

    International Nuclear Information System (INIS)

    Ertekin, Zeliha; Tamer, Uğur; Pekmez, Kadir

    2015-01-01

    Highlights: • TiO x films were prepared by cathodic electrodeposition in acetonitrile. • One-step electrodeposition of TiO x films without heat treatment process. • Different crystalline Ti n O 2n−1 films (γTi 3 O 5 , λTi 3 O 5 , Ti 4 O 7 , Ti 5 O 9 ) were obtained. - Abstract: The Magnéli phase titanium oxide films prepared by cathodic electrodeposition on indium–tin-oxide coated glass substrates from saturated peroxo-titanium solution in acetonitrile. Electrodeposited brownish semi-conductor thin films were identified via X-ray diffraction, Raman spectroscopy, UV–vis spectroscopy and scanning electron microscopy (SEM). The effects of different potentials and temperatures on the crystallinity of the thin films have been discussed. Ti 3 O 5 , Ti 4 O 7 and Ti 5 O 9 as the most favorable forms of the Ti n O 2n−1 were electrodeposited on ITO electrode at electrochemical deposition potentials and different temperatures. The present investigation reveals that the electrochemical deposition of crystalline Ti n O 2n−1 films by a simple one-step electrodeposition method (without any heat treatment) in acetonitrile solution is possible and very promising as a preparation method for electrochemical applications

  14. Cellulose acetate-based SiO2/TiO2 hybrid microsphere composite aerogel films for water-in-oil emulsion separation

    Science.gov (United States)

    Yang, Xue; Ma, Jianjun; Ling, Jing; Li, Na; Wang, Di; Yue, Fan; Xu, Shimei

    2018-03-01

    The cellulose acetate (CA)/SiO2-TiO2 hybrid microsphere composite aerogel films were successfully fabricated via water vapor-induced phase inversion of CA solution and simultaneous hydrolysis/condensation of 3-aminopropyltrimethoxysilane (APTMS) and tetrabutyl titanate (TBT) at room temperature. Micro-nano hierarchical structure was constructed on the surface of the film. The film could separate nano-sized surfactant-stabilized water-in-oil emulsions only under gravity. The flux of the film for the emulsion separation was up to 667 L m-2 h-1, while the separation efficiency was up to 99.99 wt%. Meanwhile, the film exhibited excellent stability during multiple cycles. Moreover, the film performed excellent photo-degradation performance under UV light due to the photocatalytic ability of TiO2. Facile preparation, good separation and potential biodegradation maked the CA/SiO2-TiO2 hybrid microsphere composite aerogel films a candidate in oil/water separation application.

  15. Hydrogenated TiO2 Thin Film for Accelerating Electron Transport in Highly Efficient Planar Perovskite Solar Cells.

    Science.gov (United States)

    Yao, Xin; Liang, Junhui; Li, Yuelong; Luo, Jingshan; Shi, Biao; Wei, Changchun; Zhang, Dekun; Li, Baozhang; Ding, Yi; Zhao, Ying; Zhang, Xiaodan

    2017-10-01

    Intensive studies on low-temperature deposited electron transport materials have been performed to improve the efficiency of n-i-p type planar perovskite solar cells to extend their application on plastic and multijunction device architectures. Here, a TiO 2 film with enhanced conductivity and tailored band edge is prepared by magnetron sputtering at room temperature by hydrogen doping (HTO), which accelerates the electron extraction from perovskite photoabsorber and reduces charge transfer resistance, resulting in an improved short circuit current density and fill factor. The HTO film with upward shifted Fermi level guarantees a smaller loss on V OC and facilitates the growth of high-quality absorber with much larger grains and more uniform size, leading to devices with negligible hysteresis. In comparison with the pristine TiO 2 prepared without hydrogen doping, the HTO-based device exhibits a substantial performance enhancement leading to an efficiency of 19.30% and more stabilized photovoltaic performance maintaining 93% of its initial value after 300 min continuous illumination in the glove box. These properties permit the room-temperature magnetron sputtered HTO film as a promising electron transport material for flexible and tandem perovskite solar cell in the future.

  16. Electrochemical Characterization of TiO 2 Blocking Layers for Dye-Sensitized Solar Cells

    KAUST Repository

    Kavan, Ladislav

    2014-07-31

    Thin compact layers of TiO2 are grown by thermal oxidation of Ti, by spray pyrolysis, by electrochemical deposition, and by atomic layer deposition. These layers are used in dye-sensitized solar cells to prevent recombination of electrons from the substrate (FTO or Ti) with the hole-conducting medium at this interface. The quality of blocking is evaluated electrochemically by methylviologen, ferro/ferricyanide, and spiro-OMeTAD as the model redox probes. Two types of pinholes in the blocking layers are classified, and their effective area is quantified. Frequency-independent Mott-Schottky plots are fitted from electrochemical impedance spectroscopy. Certain films of the thicknesses of several nanometers allow distinguishing the depletion layer formation both in the TiO2 film and in the FTO substrate underneath the titania film. The excellent blocking function of thermally oxidized Ti, electrodeposited film (60 nm), and atomic-layer-deposited films (>6 nm) is documented by the relative pinhole area of less than 1%. However, the blocking behavior of electrodeposited and atomic-layer-deposited films is strongly reduced upon calcination at 500 °C. The blocking function of spray-pyrolyzed films is less good but also less sensitive to calcination. The thermally oxidized Ti is well blocking and insensitive to calcination. © 2014 American Chemical Society.

  17. Nanoimprinted distributed feedback lasers comprising TiO2 thin films

    DEFF Research Database (Denmark)

    Vannahme, Christoph; Smith, Cameron; Leung, Michael C.

    2013-01-01

    Design guidelines for optimizing the sensing performance of nanoimprinted second order distributed feedback dye lasers are presented. The guidelines are verified by experiments and simulations. The lasers, fabricated by UV-nanoimprint lithography into Pyrromethene doped Ormocomp thin films on glass......, have their sensor sensitivity enhanced by a factor of up to five via the evaporation of a titanium dioxide (TiO2) waveguiding layer. The influence of the TiO2 layer thickness on the device sensitivity is analyzed with a simple model that accurately predicts experimentally measured wavelength shifts...

  18. 500 keV Ar2+ ion irradiation induced anatase to brookite phase transformation and ferromagnetism at room temperature in TiO2 thin films

    Science.gov (United States)

    Bharati, B.; Mishra, N. C.; Kanjilal, D.; Rath, Chandana

    2018-01-01

    In our earlier report, where we have demonstrated ferromagnetic behavior at room temperature (RT) in TiO2 thin films deposited through electron beam evaporation technique followed by annealing either in Ar or O2 atmosphere [Mohanty et al., Journal of Magnetism and Magnetic Materials 355 (2014) 240-245], here we have studied the evolution of structure and magnetic properties after irradiating the TiO2 thin films with 500 keV Ar2+ ions. The pristine film while exhibits anatase phase, the films become amorphous after irradiating at fluence in the range 1 × 1014 to 1 × 1016 ions/cm2. Increasing the fluence up to 5 × 1016 ions/cm2, amorphous to crystalline phase transformation occurs and the structure becomes brookite. Although anatase to rutile phase transformation is usually reported in literatures, anatase to brookite phase transformation is an unusual feature which we have reported here for the first time. Such anatase to brookite phase transformation is accompanied with grain growth without showing any change in film thickness evidenced from Rutherford's Back Scattering (RBS) measurement. From scanning probe micrographs (SPM), roughness is found to be more in amorphous films than in the crystalline ones. Anatase to brookite phase transformation could be realized by considering the importance of intermediate amorphous phase. Because due to amorphous phase, heat deposited by energetic ions are localized as dissipation of heat is less and as a result, the localized region crystallizes in brookite phase followed by grain growth as observed in highest fluence. Further, we have demonstrated ferromagnetic behavior at RT in irradiated films similar to pristine one, irrespective of their phase and crystallinity. Origin for room temperature ferromagnetism (RTFM) is attributed to the presence of oxygen vacancies which is confirmed by carrying out XPS measurement.

  19. Effect of TiCl4 treatment on the refractive index of nanoporous TiO2 films

    Science.gov (United States)

    Lee, Jeeyoung; Lee, Myeongkyu

    2015-12-01

    We investigate the effect of TiCl4 treatment on the refractive index of a nanoporous TiO2 film. A nanoparticulate TiO2 film prepared on a glass substrate was immersed in a TiCl4 aqueous solution. The subsequent reaction of TiCl4 with H2O produces TiO2 and thus modifies the density and the refractive index of the film. With increasing TiCl4 concentration, the refractive index initially increased and then declined after being maximized (n = 2.02 at 633 nm) at 0.08 M concentration. A refractive index change as large as 0.45 could be obtained with the TiCl4 treatment, making it possible to achieve diffraction efficiency exceeding 80% in a diffraction grating-embedded TiO2 film. For high TiCl4 concentrations of 0.32 M and 0.64 M, the refractive index remained nearly unchanged. This was attributed to the limited permeability of high-viscosity TiCl4 solutions into the nanoporous films. The measured pore size distributions were in good agreement with the results of a diffraction analysis and refractive index measurement.

  20. Effect of chemical treatment on surface characteristics of sputter deposited Ti-rich NiTi shape memory alloy thin-films

    International Nuclear Information System (INIS)

    Sharma, S.K.; Mohan, S.

    2014-01-01

    Graphical abstract: FTIR spectra recorded for sputter deposited (a) untreated and (b) chemically treated NiTi SMA thin-films. - Highlights: • The effect of chemical treatment on surface properties of NiTi films demonstrated. • Chemically treated films offer strong ability to form protective TiO 2 layer. • TiO 2 layer formation offer great application prospects in biomedical fields. - Abstract: NiTi thin-films were deposited by DC magnetron sputtering from single alloy target (Ni/Ti:45/55 at.%). The rate of deposition and thickness of sputter deposited films were maintained to ∼35 nm min −1 and 4 μm respectively. A set of sputter deposited NiTi films were selected for specific chemical treatment with the solution comprising of de-ionized water, HF and HNO 3 respectively. The influence of chemical treatment on surface characteristics of NiTi films before and after chemical treatment was investigated for their structure, micro-structure and composition using different analytical techniques. Prior to chemical treatment, the composition of NiTi films using energy dispersive X-ray dispersive spectroscopy (EDS), were found to be 51.8 atomic percent of Ti and 48.2 atomic percent of Ni. The structure and morphology of these films were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM). XRD investigations, demonstrated the presence of dominant Austenite (1 1 0) phase along with Martensite phase, for untreated NiTi films whereas some additional diffraction peaks viz. (1 0 0), (1 0 1), and (2 0 0) corresponding to Rutile and Anatase phase of Titanium dioxide (TiO 2 ) along with parent Austenite (1 1 0) phase were observed for chemically treated NiTi films. FTIR studies, it can be concluded that chemically treated films have higher tendency to form metal oxide/hydroxide than the untreated NiTi films. XPS investigations, demonstrated the presence of Ni-free surface and formation of a protective metal oxide (TiO 2 ) layer on the surface of

  1. Dry-spray deposition of TiO2 for a flexible dye-sensitized solar cell (DSSC) using a nanoparticle deposition system (NPDS).

    Science.gov (United States)

    Kim, Min-Saeng; Chun, Doo-Man; Choi, Jung-Oh; Lee, Jong-Cheon; Kim, Yang Hee; Kim, Kwang-Su; Lee, Caroline Sunyong; Ahn, Sung-Hoon

    2012-04-01

    TiO2 powders were deposited on indium tin oxide (ITO) coated polyethylene terephthalate (PET) substrates for application to the photoelectrode of a dye-sensitized solar cell (DSSC). In the conventional DSSC manufacturing process, a semiconductor oxide such as TiO2 powder requires a sintering process at higher temperature than the glass transition temperature (T(g)) of polymers, and thus utilization of flexible polymer substrates in DSSC research has been constrained. To overcome this restriction related to sintering, we used a nanoparticle deposition system (NPDS) that could produce a thin coating layer through a dry-spray method under atmospheric pressure at room temperature. The powder was sprayed through a slit-type nozzle having a 0.4 x 10 mm2 rectangular outlet. In order to determine the deposited TiO2 thickness, five kinds of TiO2 layered specimens were prepared, where the specimens have single and double layer structures. Deposited powders on the ITO coated PET substrates were observed using FE-SEM and a scan profiler The thicker TiO2 photoelectrode with a DSSC having a double layer structure showed higher energy efficiency than the single layer case. The highest fabricated flexible DSSC displayed a short circuit current density J(sc) = 1.99 mA cm(-2), open circuit voltage V(oc) = 0.71 V, and energy efficiency eta = 0.94%. These results demonstrate the possibility of utilizing the dry-spray method to fabricate a TiO2 layer on flexible polymer substrates at room temperature under atmospheric pressure.

  2. Enhanced gas sensing performance of TiO2 functionalized magneto-optical SPR sensors

    OpenAIRE

    Manera, Maria Grazia; Montagna, G.; Ferreiro-Vila, Elías; González-García, Lola; Sánchez-Valencia, J.R.; González-Elipe, Agustín R.; Cebollada, Alfonso; García-Martín, José Miguel; García-Martín, Antonio; Armelles Reig, Gaspar; Rella, Roberto

    2011-01-01

    Porous TiO2 thin films deposited by glancing angle deposition are used as sensing layers to monitor their sensing capabilities towards Volatile Organic Compounds both in a standard Surface Plasmon Resonance (SPR) sensor and in Magneto-Optical Surface Plasmon Resonance (MO-SPR) configuration in order to compare their sensing performances. Here our results on the enhanced sensing capability of these TiO2 functionalized MO-SPR sensors with Au/Co/Au transducers with respect to traditional SPR gas...

  3. Enhanced interfacial contact between PbS and TiO2 layers in quantum dot solar cells using 2D-arrayed TiO2 hemisphere nanostructures

    Science.gov (United States)

    Lee, Wonseok; Ryu, Ilhwan; Lee, Haein; Yim, Sanggyu

    2018-02-01

    Two-dimensionally (2D) arrayed hemispherical nanostructures of TiO2 thin films were successfully fabricated using a simple procedure of spin-coating or dip-coating TiO2 nanoparticles onto 2D close-packed polystyrene (PS) nanospheres, followed by PS extraction. The nanostructured TiO2 film was then used as an n-type layer in a lead sulfide (PbS) colloidal quantum dot solar cell. The TiO2 nanostructure could provide significantly increased contacts with subsequently deposited PbS quantum dot layer. In addition, the periodically arrayed nanostructure could enhance optical absorption of the cell by redirecting the path of the incident light and increasing the path length passing though the active layer. As a result, the power conversion efficiency (PCE) reached 5.13%, which is approximately a 1.7-fold increase over that of the control cell without nanostructuring, 3.02%. This PCE enhancement can mainly be attributed to the increase of the short-circuit current density from 19.6 mA/cm2 to 30.6 mA/cm2, whereas the open-circuit voltage and fill factor values did not vary significantly.

  4. Flexible free-standing TiO2/graphene/PVdF films as anode materials for lithium-ion batteries

    International Nuclear Information System (INIS)

    Ren, H.M.; Ding, Y.H.; Chang, F.H.; He, X.; Feng, J.Q.; Wang, C.F.; Jiang, Y.; Zhang, P.

    2012-01-01

    Highlights: ► Flexible TiO 2 /graphene electrode was prepared by a solvent evaporation technique. ► PVdF was used as substance to support the TiO 2 /graphene active materials. ► The flexible films can be employed as anode materials for Li-ion battery. - Abstract: Graphene composites were prepared by hydrothermal method using titanium dioxide (TiO 2 ) adsorbed graphene oxide (GO) sheets as precursors. Free-standing hybrid films for lithium-ion batteries were prepared by adding TiO 2 /graphene composites to the polyvinylidene fluoride (PVdF)/N-methyl-2-pyrrolidone (NMP) solution, followed by a solvent evaporation technique. These films were characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), scanning electron microscopy (SEM) and various electrochemical techniques. Flexible films show an excellent cycling performance, which was attributed to the interconnected graphene conducting network, which depressed the increasing of electric resistance during the cycling.

  5. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    International Nuclear Information System (INIS)

    Nsimama, Patrick D.; Herz, Andreas; Wang, Dong; Schaaf, Peter

    2016-01-01

    Highlights: • Dewetting of thin gold films is faster on TiO_2 than on SiO_2. • Dewetting of thin gold films is faster on amorphous TiO_2 than on crystalline TiO_2. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO_2 substrates is different to those deposited on SiO_2 substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO_2 substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO_2 (c-TiO_2) and amorphous TiO_2 (a-TiO_2) as well as amorphous SiO_2 substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO_2 substrates than on SiO_2 substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO_2 than on c-TiO_2, possibly due to the crystallization of TiO_2 during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO_2 are characterized by branched holes. The XRD patterns of the Au films deposited on TiO_2 substrates constituted peaks from both metallic Au and anatase TiO_2. The activation energy of Au films deposited on crystalline TiO_2 substrates was higher than that that of the films deposited on amorphous TiO_2 substrates.

  6. Rapid fabrication of mesoporous TiO2 thin films by pulsed fibre laser for dye sensitized solar cells

    Science.gov (United States)

    Hadi, Aseel; Alhabradi, Mansour; Chen, Qian; Liu, Hong; Guo, Wei; Curioni, Michele; Cernik, Robert; Liu, Zhu

    2018-01-01

    In this paper we demonstrate for the first time that a fibre laser with a wavelength of 1070 nm and a pulse width of milliseconds can be applied to generate mesoporous nanocrystalline (nc) TiO2 thin films on ITO coated glass in ambient atmosphere, by complete vaporisation of organic binder and inter-connection of TiO2 nanoparticles, without thermally damaging the ITO layer and the glass substrate. The fabrication of the mesoporous TiO2 thin films was achieved by stationary laser beam irradiation of 1 min. The dye sensitized solar cell (DSSC) with the laser-sintered TiO2 photoanode reached higher power conversion efficiency (PCE) of 3.20% for the TiO2 film thickness of 6 μm compared with 2.99% for the furnace-sintered. Electrochemical impedance spectroscopy studies revealed that the laser sintering under the optimised condition effectively decreased charge transfer resistance and increased electron lifetime of the TiO2 thin films. The use of the fibre laser with over 40% wall-plug efficiency offers an economically-feasible, industrial viable solution to the major challenge of rapid fabrication of large scale, mass production of mesoporous metal oxide thin film based solar energy systems, potentially for perovskite and monolithic tandem solar cells, in the future.

  7. Synergic effect of the TiO2-CeO2 nanoconjugate system on the band-gap for visible light photocatalysis

    International Nuclear Information System (INIS)

    Contreras-García, M.E.; García-Benjume, M. Lorena; Macías-Andrés, Víctor I.; Barajas-Ledesma, E.; Medina-Flores, A.; Espitia-Cabrera, M.I.

    2014-01-01

    Graphical abstract: - Highlights: • Nanostructured TiO 2 -CeO 2 films are successfully synthesized by combining of sputtering and electrophoresis methods. • Synergic effect of CeO 2 on TiO 2 band gap was demonstrated, CeO 2 diminishes it from 3.125 to 2.74. • Morphologic characterization of the nanoconjugate TiO 2 -CeO 2 films by different microscopy techniques. - Abstract: The TiO 2 -CeO 2 photocatalytic system in films is proposed here, in order to obtain photocatalytic systems that can be excited by solar light. The films were obtained through the electrophoretic deposition (EPD) of TiO 2 -CeO 2 gel on sputtered Ti Corning glass substrates. The synergic effect of CeO 2 in TiO 2 films was analyzed as a function of the optical band gap reduction at different concentrations (1, 5, 10, and 15 mol%). The effect of two thermal treatments was also evaluated. The lowest band gap value was obtained for the sample with 5 mol% ceria that was thermally treated at 700 °C. The nanostructured films were characterized by Raman spectroscopy, scanning electron microscopy (SEM), transmission electron microscopy (TEM), high angle annular dark field (HAADF), high resolution transmission electron microscopy (HRTEM), and atomic force microscopy (AFM). The nanocomposites were formed by TiO 2 and CeO 2 nanoparticles in the anatase and fluorite type phases, respectively

  8. Temperature-dependent leakage current behavior of epitaxial Bi0.5Na0.5TiO3-based thin films made by pulsed laser deposition

    Science.gov (United States)

    Hejazi, M. M.; Safari, A.

    2011-11-01

    This paper discusses the electrical conduction mechanisms in a 0.88 Bi0.5Na0.5TiO3-0.08 Bi0.5K0.5TiO3-0.04 BaTiO3 thin film in the temperature range of 200-350 K. The film was deposited on a SrRuO3/SrTiO3 substrate by pulsed laser deposition technique. At all measurement temperatures, the leakage current behavior of the film matched well with the Lampert's triangle bounded by three straight lines of different slopes. The relative location of the triangle sides varied with temperature due to its effect on the density of charge carriers and un-filled traps. At low electric fields, the ohmic conduction governed the leakage mechanism. The calculated activation energy of the trap is 0.19 eV implying the presence of shallow traps in the film. With increasing the applied field, an abrupt increase in the leakage current was observed. This was attributed to a trap-filling process by the injected carriers. At sufficiently high electric fields, the leakage current obeyed the Child's trap-free square law suggesting the space charge limited current was the dominant mechanism.

  9. Influence of Ni doping on phase transformation and optical properties of TiO2 films deposited on quartz substrates by sol-gel process

    International Nuclear Information System (INIS)

    Tian Jianjun; Deng Hongmei; Sun Lin; Kong Hui; Yang Pingxiong; Chu Junhao

    2012-01-01

    The Ni-doped TiO 2 films were synthesized on quartz substrates by the sol-gel method. Results from X-ray diffraction and Raman spectra indicate that Ni doping catalyzes the anatase-to-rutile transformation. When Ni content is up to 10 mol%, the transformation has been finished. The dielectric functions of Ni-doped TiO 2 films were extracted by fitting transmittance spectra according to the Adachi's dielectric function model. The optical band gap decreases from 3.64 eV to 3.51 eV with increasing Ni content. The results suggest that the acceleration of phase change and variation of optical properties may be related to defects due to Ni doping.

  10. Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.

    Science.gov (United States)

    Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio

    2016-06-15

    Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).

  11. TiO2 thin and thick films grown on Si/glass by sputtering of titanium targets in an RF inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2015-01-01

    TiO 2 thin and thick films were deposited on silicon/glass substrates using RF inductive plasma in continuous wave. The films thickness, as well as phases control, is achieved with a gradual increase in temperature substrates varying supplied RF power or working gas pressure besides deposition time as well. The deposition conditions were: argon 80%/oxygen 20% carefully calibrated mixture of 2 to 7×10 −2 mbar as working gas pressure range. Deposition time 0.5 to 5 hours, 500 or 600 W RF power at 13.56 MHz frequency and 242-345 °C substrates temperature range. The titanium dioxide deposited on the substrates is grown by sputtering of a titanium target negatively polarized at 3-5 kV DC situated 14 mm in front of such substrates. The plasma reactor is a simple Pyrex-like glass cylindrical vessel of 50 cm long and 20 cm in diameter. Using the before describe plasma parameters we obtained films only anatase and both anatase/rutile phases with stoichiometric different. The films were characterized by X-ray photoelectron spectroscopy (XPS), stylus profilometer, X-ray diffraction (XRD), scanning electron microscopy (SEM) and Raman spectroscopy. (paper)

  12. MoSe2 modified TiO2 nanotube arrays with superior photoelectrochemical performance

    Science.gov (United States)

    Zhang, Yaping; Zhu, Haifeng; Yu, Lianqing; He, Jiandong; Huang, Chengxing

    2018-04-01

    TiO2 nanotube arrays (TNTs) are first prepared by anodization Ti foils in ethylene glycol electrolyte. Then, MoSe2 deposites electrochemically on TNTs. The as-synthesized MoSe2/TiO2 composite has a much higher photocurrent density of 1.07 mA cm‑2 at 0 V than pure TNTs of 0.38 mA cm‑2, which suggests that the MoSe2/TiO2 composite film has optimum photoelectrocatalysis properties. The electron transport resistances of the MoSe2/TiO2 decreases to half of pure TiO2, at 295.6 ohm/cm2. Both photocurrent-time and Mott-Schottky plots indicate MoSe2 a p-type semiconductor characteristics. MoSe2/TiO2 composite can achieve a maximum 5 orders of magnitude enhancement in carrier density (4.650 × 1027 cm‑3) than that of pure TiO2 arrays. It can be attributed to p-n heterojunction formed between MoSe2 and TiO2, and the composite can be potentially applied in photoelectrochemical, photocatalysis fields.

  13. Structural Modification of Sol-Gel Synthesized V2O5 and TiO2 Thin Films with/without Erbium Doping

    Directory of Open Access Journals (Sweden)

    Fatma Pınar Gökdemir

    2014-01-01

    Full Text Available Comparative work of with/without erbium- (Er- doped vanadium pentoxide (V2O5 and titanium dioxide (TiO2 thin films were carried out via sol-gel technique by dissolving erbium (III nitrate pentahydrate (Er(NO33·5H2O in vanadium (V oxoisopropoxide (OV[OCH(CH32]3 and titanium (IV isopropoxide (Ti[OCH(CH32]4. Effect of Er doping was traced by Fourier transform IR (FTIR, thermogravimetric/differential thermal (TG/DTA, and photoluminescence measurements. UV-Vis transmission/absorption measurement indicated a blue shift upon Er doping in V2O5 film due to the softening of V=O bond while appearance of typical absorption peaks in Er-doped TiO2 film. Granule size of the films increased (reduced upon Er substitution on host material compared to undoped V2O5 and TiO2 films, respectively.

  14. How Does a SILAR CdSe Film Grow? Tuning the Deposition Steps to Suppress Interfacial Charge Recombination in Solar Cells.

    Science.gov (United States)

    Becker, Matthew A; Radich, James G; Bunker, Bruce A; Kamat, Prashant V

    2014-05-01

    Successive ionic layer adsorption and reaction (SILAR) is a popular method of depositing the metal chalcogenide semiconductor layer on the mesoscopic metal oxide films for designing quantum-dot-sensitized solar cells (QDSSCs) or extremely thin absorber (ETA) solar cells. While this deposition method exhibits higher loading of the light-absorbing semiconductor layer than direct adsorption of presynthesized colloidal quantum dots, the chemical identity of these nanostructures and the evolution of interfacial structure are poorly understood. We have now analyzed step-by-step SILAR deposition of CdSe films on mesoscopic TiO2 nanoparticle films using X-ray absorption near-edge structure analysis and probed the interfacial structure of these films. The film characteristics interestingly show dependence on the order in which the Cd and Se are deposited, and the CdSe-TiO2 interface is affected only during the first few cycles of deposition. Development of a SeO2 passivation layer in the SILAR-prepared films to form a TiO2/SeO2/CdSe junction facilitates an increase in photocurrents and power conversion efficiencies of quantum dot solar cells when these films are integrated as photoanodes in a photoelectrochemical solar cell.

  15. Semi-automatic spray pyrolysis deposition of thin, transparent, titania films as blocking layers for dye-sensitized and perovskite solar cells.

    Science.gov (United States)

    Krýsová, Hana; Krýsa, Josef; Kavan, Ladislav

    2018-01-01

    For proper function of the negative electrode of dye-sensitized and perovskite solar cells, the deposition of a nonporous blocking film is required on the surface of F-doped SnO 2 (FTO) glass substrates. Such a blocking film can minimise undesirable parasitic processes, for example, the back reaction of photoinjected electrons with the oxidized form of the redox mediator or with the hole-transporting medium can be avoided. In the present work, thin, transparent, blocking TiO 2 films are prepared by semi-automatic spray pyrolysis of precursors consisting of titanium diisopropoxide bis(acetylacetonate) as the main component. The variation in the layer thickness of the sprayed films is achieved by varying the number of spray cycles. The parameters investigated in this work were deposition temperature (150, 300 and 450 °C), number of spray cycles (20-200), precursor composition (with/without deliberately added acetylacetone), concentration (0.05 and 0.2 M) and subsequent post-calcination at 500 °C. The photo-electrochemical properties were evaluated in aqueous electrolyte solution under UV irradiation. The blocking properties were tested by cyclic voltammetry with a model redox probe with a simple one-electron-transfer reaction. Semi-automatic spraying resulted in the formation of transparent, homogeneous, TiO 2 films, and the technique allows for easy upscaling to large electrode areas. The deposition temperature of 450 °C was necessary for the fabrication of highly photoactive TiO 2 films. The blocking properties of the as-deposited TiO 2 films (at 450 °C) were impaired by post-calcination at 500 °C, but this problem could be addressed by increasing the number of spray cycles. The modification of the precursor by adding acetylacetone resulted in the fabrication of TiO 2 films exhibiting perfect blocking properties that were not influenced by post-calcination. These results will surely find use in the fabrication of large-scale dye-sensitized and perovskite solar

  16. Magneto-optical spectroscopy of diluted magnetic oxides TiO2-δ: Co

    International Nuclear Information System (INIS)

    Gan'shina, E.A.; Granovsky, A.B.; Orlov, A.F.; Perov, N.S.; Vashuk, M.V.

    2009-01-01

    We report an experimental study on transversal Kerr effect (TKE) in magnetic oxide semiconductors TiO 2-δ :Co. The TiO 2-δ : Co thin films were deposited on LaAlO 3 (0 0 1) substrates by magnetron sputtering in the argon-oxygen atmosphere at oxygen partial pressure of 2x10 -6 -2x10 -4 Torr. It was obtained that TKE spectra in ferromagnetic samples are extremely sensitive to the Co-volume fraction, the crystalline structure, and technology parameters. The observed well-pronounced peaks in TKE spectra for anatase Co-doped TiO 2films at low Co ( 2-δ matrix that indicates on intrinsic ferromagnetism in these samples. With increase of Co-volume fraction up to 5-8% the fine structure of TKE spectra disappears and magneto-optical response in reflection mode becomes larger than that for thick Co films

  17. Influence of Nd-Doping on Photocatalytic Properties of TiO2 Nanoparticles and Thin Film Coatings

    Directory of Open Access Journals (Sweden)

    Damian Wojcieszak

    2014-01-01

    Full Text Available Structural, optical, and photocatalytic properties of TiO2 and TiO2:Nd nanopowders and thin films composed of those materials have been compared. Titania nanoparticles with 1, 3, and 6 at. % of Nd-dopant were synthesized by sol-gel method. Additionally, thin films with the same material composition were prepared with the aid of spin-coating method. The analysis of structural investigations revealed that all as-prepared nanopowders were nanocrystalline and had TiO2-anatase structure. The average size of crystallites was ca. 4-5 nm and the correlation between the amount of neodymium and the size of TiO2 crystallites was observed. It was shown that the dopant content influenced the agglomeration of the nanoparticles. The results of photocatalytic decomposition of MO showed that doping with Nd (especially in the amount of 3 at. % increased self-cleaning activity of the prepared titania nanopowder. Similar effect was received in case of the thin films, but the decomposition rate was lower due to their smaller active surface area. However, the as-prepared TiO2:Nd photocatalyst in the form of thin films or nanopowders seems to be a very attractive material for various applications.

  18. Enhancement of electron transfer from CdSe core/shell quantum dots to TiO2 films by thermal annealing

    International Nuclear Information System (INIS)

    Shao, Cong; Meng, Xiangdong; Jing, Pengtao; Sun, Mingye; Zhao, Jialong; Li, Haibo

    2013-01-01

    We demonstrated the enhancement of electron transfer from CdSe/ZnS core/shell quantum dots (QDs) to TiO 2 films via thermal annealing by means of steady-state and time-resolved photoluminescence (PL) spectroscopy. The significant decrease in PL intensities and lifetimes of the QDs on TiO 2 films was clearly observed after thermal annealing at temperature ranging from 100 °C to 300 °C. The obtained rates of electron transfer from CdSe core/shell QDs with red, yellow, and green emissions to TiO 2 films were significantly enhanced from several times to an order of magnitude (from ∼10 7 s −1 to ∼10 8 s −1 ). The improvement in efficiencies of electron transfer in the TiO 2 /CdSe QD systems was also confirmed. The enhancement could be considered to result from the thermal annealing reduced distance between CdSe QDs and TiO 2 films. The experimental results revealed that thermal annealing would play an important role on improving performances of QD based optoelectronic devices. -- Highlights: • Annealing-induced enhancement of electron transfer from CdSe to TiO 2 is reported. • CdSe QDs on TiO 2 and SiO 2 films are annealed at various temperatures. • Steady-state and time-resolved PL spectroscopy of CdSe QDs is studied. • The enhancement is related to the reduced distance between CdSe QDs and TiO 2

  19. Synthesis of V-doped TiO{sub 2} films by chemical bath deposition and the effect of post-annealing on their properties

    Energy Technology Data Exchange (ETDEWEB)

    Shopova-Gospodinova, Denitsa [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Jeurgens, Lars P.H.; Welzel, Udo [Max-Planck-Institut fuer Intelligente Systeme (formerly MPI for Metals Research), Department Mittemeijer, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Bauermann, Luciana Pitta; Hoffmann, Rudolf C. [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Bill, Joachim, E-mail: mwishopova@imw.uni-stuttgart.de [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany)

    2012-07-01

    Amorphous composite films, composed of a Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase and a V{sub 2}O{sub 5} phase, were produced by chemical bath deposition and subsequently air-annealed at various temperatures up to 550 Degree-Sign C. The microstructure and chemical composition of the as-prepared and annealed films were investigated by a combinatorial experimental approach using Scanning electron microscopy, X-ray powder diffraction and X-ray photoelectron spectroscopy. Ultraviolet-Visible Spectrometry was applied to determine the optical band gap of the as-prepared and annealed films. It followed that the incorporation of vanadium in the as-deposited films reduces the optical band gap of TiO{sub 2} from about 3.8 eV to 3.2 eV. Annealing of the films up to 350 Degree-Sign C leads to slight increase of band gap, as attributed to a reduction of the defect density in the initially amorphous oxide films due to the gradual development of long-range order and a concurrent reduction of the V{sup 4+}-dopant concentration in the Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase. The films crystallized upon annealing in air at 550 Degree-Sign C, which resulted in drastic changes of the phase constitution, optical absorbance and surface morphology. Due to the lower solubility of V{sup 4+} in crystalline TiO{sub 2}, V{sup 4+} segregates out of the crystallizing Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase, forming crystalline V{sub 2}O{sub 5} at the film surface. - Highlights: Black-Right-Pointing-Pointer Incorporation of vanadium in TiO2 thin film reduces its optical band gap. Black-Right-Pointing-Pointer Amorphous V-doped TiO2 and TiO2-V2O5 composite films were air-annealed up to 550 Masculine-Ordinal-Indicator C. Black-Right-Pointing-Pointer Annealing of the films up to 350 Degree-Sign C leads to slight increase of the band gap.

  20. Charge transfer in photorechargeable composite films of TiO2 and polyaniline

    Science.gov (United States)

    Nomiyama, Teruaki; Sasabe, Kenichi; Sakamoto, Kenta; Horie, Yuji

    2015-07-01

    A photorechargeable battery (PRB) is a photovoltaic device having an energy storage function in a single cell. The photoactive electrode of PRB is a bilayer film consisting of bare porous TiO2 and a TiO2-polyaniline (PANi) mixture that work as a photovoltaic current generator and an electrochemical energy storage by ion dedoping, respectively. To study the charge transfer between TiO2 and PANi, the photorechargeable quantum efficiency QE ([electron count on discharge]/[incident photon count on photocharge]) was measured by varying the thickness LS of the TiO2-PANi mixture. The quantum efficiency QEuv for UV photons had a maximum of ˜7% at LS ˜ 7 µm. The time constant τTP for the charge transfer was about 10-1 s, which was longer ten times or more than the lifetime of excited electrons within TiO2. These facts reveal that the main rate-limiting factor in the photocharging process is the charge transfer between TiO2 and PANi.

  1. Tailoring of TiO2 films by H2SO4 treatment and UV irradiation to improve anticoagulant ability and endothelial cell compatibility.

    Science.gov (United States)

    Liao, Yuzhen; Li, Linhua; Chen, Jiang; Yang, Ping; Zhao, Ansha; Sun, Hong; Huang, Nan

    2017-07-01

    Surfaces with dual functions that simultaneously exhibit good anticoagulant ability and endothelial cell (EC) compatibility are desirable for blood contact materials. However, these dual functions have rarely been achieved by inorganic materials. In this study, titanium dioxide (TiO 2 ) films were treated by sulphuric acid (H 2 SO 4 ) and ultraviolet (UV) irradiation successively (TiO 2 H 2 SO 4 -UV), resulting in good anticoagulant ability and EC compatibility simultaneously. We found that UV irradiation improved the anticoagulant ability of TiO 2 films significantly while enhancing EC compatibility, though not significantly. The enhanced anticoagulant ability could be related to the oxidation of surface-adsorbed hydrocarbons and increased hydrophilicity. The H 2 SO 4 treatment improved the anticoagulant ability of TiO 2 films slightly, while UV irradiation improved the anticoagulant ability strongly. The enhanced EC compatibility could be related to the increased surface roughness and positive charges on the surface of the TiO 2 films. Furthermore, the time-dependent degradation of the enhanced EC compatibility and anticoagulant ability of TiO 2 H 2 SO 4 -UV was observed. In summary, TiO 2 H 2 SO 4 -UV expressed both excellent anticoagulant ability and good EC compatibility at the same time, which could be desirable for blood contact materials. However, the compatibility of TiO 2 H 2 SO 4 -UV with smooth muscle cells (SMCs) and macrophages was also improved. More effort is still needed to selectively improve EC compatibility on TiO 2 films for better re-endothelialization. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Nanoporous TiO_2 electrode grown by laser ablation of titanium in air at atmospheric pressure and room temperature

    International Nuclear Information System (INIS)

    Białous, Anna; Gazda, Maria; Grochowska, Katarzyna; Atanasov, Petar; Dikovska, Anna; Nedyalkov, Nikolay; Reszczyńska, Joanna; Zaleska-Medynska, Adriana; Śliwiński, Gerard

    2016-01-01

    Recently, fabrication of the nanoporous TiO_2 photoelectrode on metal foils by means of sputtering of the Ti film on preheated metal substrate followed by the TiO_2 deposition (doctor blade technique) and sintering represents the frequently applied technique. This is despite the relatively complicated procedure and number of parameters to be controlled in order to fabricate films of required properties. In this work an approach is applied and discussed in which the nanoporous TiO_2 electrode is fabricated under conditions similar to pulsed laser deposition but with the deposit formed directly on the ablated target at atmospheric pressure and room temperature. The titanium dioxide thin film is grown by ablation of the Ti foil with the nanosecond UV laser (266 nm) at fluence up to 1.5 J/cm"2. The rutile–anatase phase transformation takes place during this one-step process and no thermal pre-and post-treatment of the deposit is needed. In samples produced in air, the presence of mixed phases of the non-stoichiometric anatase (> 70%), rutile and negligible amount of TiN is consistently confirmed by the X-ray diffraction, energy-dispersive X-ray and Raman spectra. For applications of the reported films as electrode material in the third generation photovoltaic cells, the use of industrial lasers could significantly improve the process efficiency. - Highlights: • TiO_2 films via laser ablation of Ti in air under standard temperature and pressure conditions • Nanoporous crystalline structure from one-step process • Anatase content > 70% in the mixed phase film

  3. Preparation of Ag deposited TiO2 (Ag/TiO2) composites and investigation on visible-light photocatalytic degradation activity in magnetic field

    Science.gov (United States)

    Zhang, L.; Ma, C. H.; Wang, J.; Li, S. G.; Li, Y.

    2014-12-01

    In this study, Ag deposited TiO2 (Ag/TiO2) composites were prepared by three different methods (Ultraviolet Irradiation Deposition (UID), Vitamin C Reduction (VCR) and Sodium Borohydride Reduction (SBR)) for the visible-light photocatalytic degradation of organic dyes in magnetic field. And then the prepared Ag deposited TiO2 (Ag/TiO2) composites were characterized physically by X-ray diffraction (XRD) and scanning electron microscopy (SEM). The visible-light photocatalytic activities of these three kinds of Ag deposited TiO2 (Ag/TiO2) composites were examined and compared through the degradation of several organic dyes under visible-light irradiation in magnetic field. In addition, some influence factors such as visible-light irradiation time, organic dye concentration, revolution speed, magnetic field intensity and organic dye kind on the visible-light photocatalytic activity of Ag deposited TiO2 (Ag/TiO2) composite were reviewed. The research results showed that the presence of magnetic field significantly enhanced the visible-light photocatalytic activity of Ag deposited TiO2 (Ag/TiO2) composites and then contributed to the degradation of organic dyes.

  4. The Influence of Electrophoretic Deposition for Fabricating Dye-Sensitized Solar Cell

    Directory of Open Access Journals (Sweden)

    Jung-Chuan Chou

    2014-01-01

    Full Text Available Titanium dioxide (TiO2 film was deposited on fluorine-doped tin oxide (FTO glass substrate by electrophoretic deposition method (EPD. TiO2 films were prepared with different I2 dosages, electric field intensities and deposition time (D.T., electrophotic deposition times. By different I2 dosages, electric field intensities, deposition time, electrophotic deposition times fabricated TiO2 films and compared photoelectric characteristics of TiO2 films to find optimal parameters which were the highest photovoltaic conversion efficiency. And use electrochemical impedance spectroscopy (EIS to measure the Nyquist plots under different conditions and analyze the impendence of dye-sensitized solar cells at the internal heterojunction. According to the experimental results, the I2 dosage was 0.025 g which obtained the optimal characteristic parameters. Thickness of TiO2 film was 10.6 μm, the open-circuit voltage (Voc was 0.77 V, the short-circuit current density (Jsc was 7.20 mA/cm2, the fill factor (F.F. was 53.41%, and photovoltaic conversion efficiency (η was 2.96%.

  5. Gold and TiO2 Nanostructure Surfaces for Assembling of Electrochemical Biosensors

    International Nuclear Information System (INIS)

    Curulli, A.; Zane, D.

    2008-01-01

    Devices based on nano materials are emerging as a powerful and general class of ultrasensitive sensors for the direct detection of biological and chemical species. In this work, we report the preparation and the full characterization of nano materials such as gold nano wires and TiO 2 nano structured films to be used for assembling of electrochemical biosensors. Gold nano wires were prepared by electroless deposition within the pores of polycarbonate particle track-etched membranes (PMS). Glucose oxidase was deposited onto the nano wires using self-assembling monolayer as an anchor layer for the enzyme molecules. Finally, cyclic voltammetry was performed for different enzymes to test the applicability of gold nano wires as biosensors. Considering another interesting nano material, the realization of functionalized TiO 2 thin films on Si substrates for the immobilization of enzymes is reported. Glucose oxidase and horseradish peroxidase immobilized onto TiO 2 -based nano structured surfaces exhibited a pair of well-defined and quasi reversible voltammetric peaks. The electron exchange between the enzyme and the electrodes was greatly enhanced in the TiO 2 nano structured environment. The electrocatalytic activity of HRP and GOD embedded in TiO 2 electrodes toward H 2 O 2 and glucose, respectively, may have a potential perspective in the fabrication of third-generation biosensors based on direct electrochemistry of enzymes.

  6. Ultra-fine structures of Pd-Ag-HAp nanoparticle deposition on protruded TiO2 barrier layer for dental implant

    Science.gov (United States)

    Jang, Jae-Myung; Kim, Seung-Dai; Park, Tae-Eon; Choe, Han-Cheol

    2018-02-01

    The biocompatibility structure of an implant surface is of great importance to the formation of new bone tissue around the dental implant and also has a significant chemical reaction in the osseointegration process. Thus, ultra-fine Pd-Ag-HAp nanoparticles have been electrodeposited on protruded TiO2 barrier layer in mixed electrolyte solutions. Unusual protrusions patterns, which are assigned to Pd-Ag-HAp nanoparticles, can be clearly differentiated from a TiO2 nanotube oxide layer formed by an anodizing process. In the chemical bonding state, the surface characteristics of Pd/Ag/HAp compounds have been investigated by FE-SEM, EDS mapping analysis, and XPS analysis. The mapping dots of the elements including Ti, Ca, Pd, Ag, and P showed a homogeneous distribution throughout the entire surface when deposited onto the protruded TiO2 barrier layer. The XPS spectra of Ti-2p, O-1S, Pd-3d, and Ag-3d have been investigated, with the major XPS peak indicating Pd-3d. The Ag-3d level was clearly observed with further scanning of the Ca-2p region. Based on the results of the chemical states, the structural properties of the protrusion patterns were also examined after being deposited onto the barrier oxide film, resulting in the representative protrusion patterns being mainly composed of Pd-Ag-HAp compounds. The results of the soaking evaluation showed that the protrusion patterns and the protruded TiO2 barrier layer were all effective in regards to biocompatibility.

  7. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  8. Influence of the Porosity of the TiO2 Film on the Performance of the Perovskite Solar Cell

    Directory of Open Access Journals (Sweden)

    Xiaodan Sun

    2017-01-01

    Full Text Available The structure of mesoporous TiO2 (mp-TiO2 films is crucial to the performance of mesoporous perovskite solar cells (PSCs. In this study, we fabricated highly porous mp-TiO2 films by doping polystyrene (PS spheres in TiO2 paste. The composition of the perovskite films was effectively improved by modifying the mass fraction of the PS spheres in the TiO2 paste. Due to the high porosity of the mp-TiO2 film, PbI2 and CH3NH3I could sufficiently infiltrate into the network of the mp-TiO2 film, which ensured a more complete transformation to CH3NH3PbI3. The surface morphology of the mp-TiO2 film and the photoelectric performance of the perovskite solar cells were investigated. The results showed that an increase in the porosity of the mp-TiO2 film resulted in an improvement in the performance of the PSCs. The best device with the optimized mass fraction of 1.0 wt% PS in TiO2 paste exhibited an efficiency of 12.69%, which is 25% higher than the efficiency of the PSCs without PS spheres.

  9. Aggregate formation of eosin-Y adsorbed on nanocrystalline TiO2 films

    Science.gov (United States)

    Yaguchi, Kaori; Furube, Akihiro; Katoh, Ryuzi

    2012-11-01

    We have studied the adsorption of eosin-Y on nanocrystalline TiO2 films with two different solvents namely acetonitrile (ACN) and ethanol (EtOH). A Langmuir-type adsorption isotherm was observed with ACN. In contrast, a Freundlich-type adsorption isotherm was observed with EtOH, suggesting that EtOH molecules co-adsorbed on TiO2 surface. Absorption spectra of the dye adsorbed films clearly show aggregate formation at high concentrations of dye in the solutions. From the analysis of the spectra, we conclude that head-to-tail type aggregates are observed with ACN, whereas various types of aggregates, including H-type and head-to-tail type aggregates, are observed with EtOH.

  10. Study on the effect of hydrogen addition on the variation of plasma parameters of argon-oxygen magnetron glow discharge for synthesis of TiO2 films

    Directory of Open Access Journals (Sweden)

    Partha Saikia

    2016-04-01

    Full Text Available We report the effect of hydrogen addition on plasma parameters of argon-oxygen magnetron glow discharge plasma in the synthesis of H-doped TiO2 films. The parameters of the hydrogen-added Ar/O2 plasma influence the properties and the structural phases of the deposited TiO2 film. Therefore, the variation of plasma parameters such as electron temperature (Te, electron density (ne, ion density (ni, degree of ionization of Ar and degree of dissociation of H2 as a function of hydrogen content in the discharge is studied. Langmuir probe and Optical emission spectroscopy are used to characterize the plasma. On the basis of the different reactions in the gas phase of the magnetron discharge, the variation of plasma parameters and sputtering rate are explained. It is observed that the electron and heavy ion density decline with gradual addition of hydrogen in the discharge. Hydrogen addition significantly changes the degree of ionization of Ar which influences the structural phases of the TiO2 film.

  11. Sn4+-Doped TiO2 Nanorod Array Film with Enhanced Visible Light ...

    Indian Academy of Sciences (India)

    61

    specific surface area of flat film than nano-powder would lead to the decrease of its .... doped TiO2 NAFs were acquired with EDS spectrometer fitted on the microscopy. ... The morphologies of films were obtained by the SEM measurement.

  12. Preparation of Oleyl Phosphate-Modified TiO2/Poly(methyl methacrylate Hybrid Thin Films for Investigation of Their Optical Properties

    Directory of Open Access Journals (Sweden)

    Masato Fujita

    2015-01-01

    Full Text Available TiO2 nanoparticles (NPs modified with oleyl phosphate were synthesized through stable Ti–O–P bonds and were utilized to prepare poly(methyl methacrylate- (PMMA- based hybrid thin films via the ex situ route for investigation of their optical properties. After surface modification of TiO2 NPs with oleyl phosphate, IR and 13C CP/MAS NMR spectroscopy showed the presence of oleyl groups. The solid-state 31P MAS NMR spectrum of the product revealed that the signal due to oleyl phosphate (OP shifted upon reaction, indicating formation of covalent Ti–O–P bonds. The modified TiO2 NPs could be homogeneously dispersed in toluene, and the median size was 16.1 nm, which is likely to be sufficient to suppress Rayleigh scattering effectively. The TEM images of TiO2/PMMA hybrid thin films also showed a homogeneous dispersion of TiO2 NPs, and they exhibited excellent optical transparency even though the TiO2 content was 20 vol%. The refractive indices of the OP-modified TiO2/PMMA hybrid thin films changed higher with increases in TiO2 volume fraction, and the hybrid thin film with 20 vol% of TiO2 showed the highest refractive index (n = 1.86.

  13. Manufacturing and investigation of surface morphology and optical properties of composite thin films reinforced by TiO2, Bi2O3 and SiO2 nanoparticles

    Science.gov (United States)

    Jarka, Paweł; Tański, Tomasz; Matysiak, Wiktor; Krzemiński, Łukasz; Hajduk, Barbara; Bilewicz, Marcin

    2017-12-01

    The aim of submitted paper is to present influence of manufacturing parameters on optical properties and surface morphology of composite materials with a polymer matrix reinforced by TiO2 and SiO2 and Bi2O3 nanoparticles. The novelty proposed by the authors is the use of TiO2 and SiO2 and Bi2O3 nanoparticles simultaneously in polymeric matrix. This allows using the combined effect of nanoparticles to a result composite material. The thin films of composite material were prepared by using spin-coating method with various spinning rates from solutions of different concentration of nanoparticles. In order to prepare the spinning solution polymer, Poly(methyl methacrylate) (PMMA) was used as a matrix. The reinforcing phase was the mixture of the nanoparticles of SiO2, TiO2 and B2O3. In order to identify the surface morphology of using thin films and arrangement of the reinforcing phase Atomic Force Microscope (AFM) and Scanning Electron Microscope (SEM) were used. In order to study the optical properties of the obtained thin films, the thin films of composites was subjected to an ellipsometry analysis. The measurements of absorbance of the obtained materials, from which the value of the band gap width was specified, were carried out using the UV/VIS spectroscopy. The optical properties of obtain composite thin films depend not only on the individual components used, but also on the morphology and the interfacial characteristics. Controlling the participation of three kinds of nanoparticles of different sizes and optical parameters allows to obtaining the most optimal optical properties of nanocomposites and also controlling the deposition parameters allows to obtaining the most optimal surface morphology of nanocomposites.

  14. The Investigation of E-beam Deposited Titanium Dioxide and Calcium Titanate Thin Films

    Directory of Open Access Journals (Sweden)

    Kristina BOČKUTĖ

    2013-09-01

    Full Text Available Thin titanium dioxide and calcium titanate films were deposited using electron beam evaporation technique. The substrate temperature during the deposition was changed from room temperature to 600 °C to test its influence on TiO2 film formation and optical properties. The properties of CaTiO3 were investigated also. For the evaluation of the structural properties the formed thin ceramic films were studied by X-ray diffraction (XRD, energy dispersive spectrometry (EDS, scanning electron microscopy (SEM and atomic force microscopy (AFM. Optical properties of thin TiO2 ceramics were investigated using optical spectroscope and the experimental data were collected in the ultraviolet-visible and near-infrared ranges with a step width of 1 nm. Electrical properties were investigated by impedance spectroscopy.It was found that substrate temperature has influence on the formed thin films density. The density increased when the substrate temperature increased. Substrate temperature had influence on the crystallographic, structural and optical properties also. DOI: http://dx.doi.org/10.5755/j01.ms.19.3.1805

  15. Enhanced Charge Extraction of Li-Doped TiO2 for Efficient Thermal-Evaporated Sb2S3 Thin Film Solar Cells

    Science.gov (United States)

    Lan, Chunfeng; Luo, Jingting; Lan, Huabin; Fan, Bo; Peng, Huanxin; Zhao, Jun; Sun, Huibin; Zheng, Zhuanghao; Liang, Guangxing; Fan, Ping

    2018-01-01

    We provided a new method to improve the efficiency of Sb2S3 thin film solar cells. The TiO2 electron transport layers were doped by lithium to improve their charge extraction properties for the thermal-evaporated Sb2S3 solar cells. The Mott-Schottky curves suggested a change of energy band and faster charge transport in the Li-doped TiO2 films. Compared with the undoped TiO2, Li-doped mesoporous TiO2 dramatically improved the photo-voltaic performance of the thermal-evaporated Sb2S3 thin film solar cells, with the average power conversion efficiency (PCE) increasing from 1.79% to 4.03%, as well as the improved open-voltage (Voc), short-circuit current (Jsc) and fill factors. The best device based on Li-doped TiO2 achieved a power conversion efficiency up to 4.42% as well as a Voc of 0.645 V, which are the highest values among the reported thermal-evaporated Sb2S3 solar cells. This study showed that Li-doping on TiO2 can effectively enhance the charge extraction properties of electron transport layers, offering a new strategy to improve the efficiency of Sb2S3-based solar cells. PMID:29495612

  16. Enhanced Charge Extraction of Li-Doped TiO2 for Efficient Thermal-Evaporated Sb2S3 Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Chunfeng Lan

    2018-02-01

    Full Text Available We provided a new method to improve the efficiency of Sb2S3 thin film solar cells. The TiO2 electron transport layers were doped by lithium to improve their charge extraction properties for the thermal-evaporated Sb2S3 solar cells. The Mott-Schottky curves suggested a change of energy band and faster charge transport in the Li-doped TiO2 films. Compared with the undoped TiO2, Li-doped mesoporous TiO2 dramatically improved the photo-voltaic performance of the thermal-evaporated Sb2S3 thin film solar cells, with the average power conversion efficiency (PCE increasing from 1.79% to 4.03%, as well as the improved open-voltage (Voc, short-circuit current (Jsc and fill factors. The best device based on Li-doped TiO2 achieved a power conversion efficiency up to 4.42% as well as a Voc of 0.645 V, which are the highest values among the reported thermal-evaporated Sb2S3 solar cells. This study showed that Li-doping on TiO2 can effectively enhance the charge extraction properties of electron transport layers, offering a new strategy to improve the efficiency of Sb2S3-based solar cells.

  17. Reflection Enhancement Using TiO2/SiO2 Bilayer Films Prepared by Cost-Effective Sol-gel Method

    Directory of Open Access Journals (Sweden)

    R. Ajay Kumar

    2017-04-01

    Full Text Available Multilayer dielectric thin film structure has been demanded for its application in optoelectronic devices such as optical waveguides, vertical cavity surface-emitting devices, biosensors etc. In this paper, we present the fabrication and characterization of bilayer thin films of TiO2/SiO2 using sol-gel spin coating method. Ellipsometer measurement showed refractive index values 1.46, 2.1 corresponding to the SiO2 and TiO2 films respectively. The FTIR transmittance peaks observed at ~970 cm-1, ~1100 cm-1 and ~1400 cm-1 are attributed to the Ti-O-Si, Si-O-Si and Ti-O-Ti bonds respectively. Maximum reflectance is observed from two bilayer film structure which can be further optimized to get the high reflection to a broad wavelength range.

  18. Laser induced photocurrent and photovoltage transient measurements of dye-sensitized solar cells based on TiO_2 nanosheets and TiO_2 nanoparticles

    International Nuclear Information System (INIS)

    Ghaithan, Hamid M.; Qaid, Saif M.H.; Hezam, Mahmoud; Labis, Joselito P.; Alduraibi, Mohammad; Bedja, Idriss M.; Aldwayyan, Abdullah S.

    2016-01-01

    Dye-sensitized solar cells (DSSCs) based on TiO_2 nanoparticles and TiO_2 nanosheets with exposed {001} facets are investigated using laser-induced photovoltage and photocurrent transient decay (LIPVCD) measurements. We adopted a simplified version of LIPVCD technique, in which a single illumination light source and a laboratory oscilloscope could be conveniently used for the measurements. Although the {001} surface of TiO_2 nanosheets allowed a noticeably slower recombination with the electrolyte, this was counterpoised by a slower electron transport probably due to its planar morphology, resulting in a shorter diffusion length in TiO_2 nanosheets. The nanosheet morphology also resulted in less surface area and therefore reduced short circuit current density in the fabricated devices. Our work highlights the fact that the morphological parameters of TiO_2 nanosheets finally resulting after electrode film deposition is of no less importance than the reported efficient dye adsorption and slow electron recombination at the surface of individual nanosheets.

  19. Deposition of gold nanoparticles from colloid on TiO2 surface

    Science.gov (United States)

    Rehacek, Vlastimil; Hotovy, Ivan

    2017-11-01

    In this paper, experimental results are presented on the deposition of colloidal gold nanoparticles on the surfaces of TiO2 prepared on silicon/silicon dioxide. Important procedures, such as titanium dioxide surface hydrophilization as well as functionalization by an organosilane coupling agent (3-aminopropyl) trimethoxysilane and (3-mercaptopropyl) trimethoxysilane were investigated in order to obtain a metal oxide surface with the most convenient properties for immobilization of gold nanoparticles having a dense and uniform distribution. TiO2 nanotips prepared by reactive ion etching of oxide surface covered with self-mask gold nanoparticles are demonstrated.

  20. Phase transformation synthesis of TiO2/CdS heterojunction film with high visible-light photoelectrochemical activity

    Science.gov (United States)

    Liu, Canjun; Yang, Yahui; Li, Jie; Chen, Shu

    2018-06-01

    CdS/TiO2 heterojunction film used as a photoanode has attracted much attention in the past few years due to its good visible light photocatalytic activity. However, CdS/TiO2 films prepared by conventional methods (successive ionic layer adsorption and reaction, chemical bath deposition and electrodeposition) show numerous grain boundaries in the CdS layer and an imperfect contact at the heterojunction interface. In this study, we designed a phase transformation method to fabricate CdS/TiO2 nanorod heterojunction films. The characterization results showed that the CdS layer with fewer grain boundaries was conformally coated on the TiO2 nanorod surface and the formation mechanism has been explained in this manuscript. Moreover, the prepared CdS/TiO2 films show a high photocatalytic activity and the photocurrent density is as high as 9.65 mA cm‑2 at 0.80 V versus RHE. It may be attributed to fewer grain boundaries and a compact heterojunction contact, which can effectively improve charge separation and transportation.

  1. Interfacial characteristics and dielectric properties of Ba0.65Sr0.35TiO3 thin films

    International Nuclear Information System (INIS)

    Quan Zuci; Zhang Baishun; Zhang Tianjin; Zhao Xingzhong; Pan Ruikun; Ma Zhijun; Jiang Juan

    2008-01-01

    Ba 0.65 Sr 0.35 TiO 3 (BST) thin films were deposited on Pt/Ti/SiO 2 /Si substrates by radio frequency magnetron sputtering technique. X-ray photoelectron spectroscopy (XPS) depth profiling data show that each element component of the BST film possesses a uniform distribution from the outermost surface to subsurface, but obvious Ti-rich is present to BST/Pt interface because Ti 4+ cations are partially reduced to form amorphous oxides such as TiO x (x -7 A/cm 2 at 1.23 V and lower than 5.66 x 10 -6 A/cm 2 at 2.05 V as well as breakdown strength is above 3.01 x 10 5 V/cm

  2. Photocatalytic decomposition of diclofenac potassium using silver-modified TiO2 thin films

    International Nuclear Information System (INIS)

    Cavalheiro, A.A.; Bruno, J.C.; Saeki, M.J.; Valente, J.P.S.; Florentino, A.O.

    2008-01-01

    The effects of silver insertion on the TiO 2 photocatalytic activity for the degradation of diclofenac potassium were reported here. Techniques such as X-ray diffraction, scanning electron microscopy and UV-Vis spectroscopy were used to comprehend the relation between structure and properties of the silver-modified TiO 2 thin films obtained by the sol-gel method. The lattice parameters and the crystallinity of TiO 2 anatase phase were affected by inserted silver, and the film thickness increased about 4 nm for each 1 wt.% of silver inserted. The degradation of diclofenac potassium and by-products reached an efficiency of 4.6 mg C W -1 when the material was modified with silver. Although the first step of degradation involves only the photochemical process related to the loss of the chlorine and hydrogen atoms. This cyclization reaction leads to the formation of intermediate, which degradation is facilitated by the modified material

  3. The photovoltaic impact of atomic layer deposited TiO2 interfacial layer on Si-based photodiodes

    Science.gov (United States)

    Karabulut, Abdulkerim; Orak, İkram; Türüt, Abdulmecit

    2018-06-01

    In present work, photocurrent, current-voltage (I-V) and capacitance/conductance-voltage-frequency (C/G-V-f) measurements were analyzed for the photodiode and diode parameters of Al/TiO2/p-Si structure. The TiO2 thin film structure was deposited on p-Si by using atomic layer deposition technique (ALD) and its thickness was about 10 nm. The surface morphology of TiO2 coated on p-Si structure was observed via atomic force microscope (AFM). Barrier height (Φb) and ideality factor (n) values of device were found to be 0.80 eV, 0.70 eV, 0.56 eV and 1.04, 2.24, 10.27 under dark, 10 and 100 mW/cm2, respectively. Some photodiodes parameters such as fill factor (FF), power efficiency (%η), open circuit voltage (Voc), short circuit current (Isc) were obtained from I-V measurement under different light intensity. FF and η were accounted 49.2, 39,0 and 0.05, 0.45 under 10 and 100 mW/cm2 light power intensity, respectively. C-2-V graph was plotted from C-V-f measurements and zero bias voltage (V0), donor concentration (Nd), Fermi energy (EF), barrier height (Φb) and maximum electric field (Em) were determined from C-2-V data for different frequencies. The electrical and photocurrent values demonstrated that it can be used for photodiode, photo detector and photo sensing applications.

  4. 19.2% Efficient InP Heterojunction Solar Cell with Electron-Selective TiO2 Contact.

    Science.gov (United States)

    Yin, Xingtian; Battaglia, Corsin; Lin, Yongjing; Chen, Kevin; Hettick, Mark; Zheng, Maxwell; Chen, Cheng-Ying; Kiriya, Daisuke; Javey, Ali

    2014-12-17

    We demonstrate an InP heterojunction solar cell employing an ultrathin layer (∼10 nm) of amorphous TiO 2 deposited at 120 °C by atomic layer deposition as the transparent electron-selective contact. The TiO 2 film selectively extracts minority electrons from the conduction band of p-type InP while blocking the majority holes due to the large valence band offset, enabling a high maximum open-circuit voltage of 785 mV. A hydrogen plasma treatment of the InP surface drastically improves the long-wavelength response of the device, resulting in a high short-circuit current density of 30.5 mA/cm 2 and a high power conversion efficiency of 19.2%.

  5. Multi-modal TiO2-LaFeO3 composite films with high photocatalytic activity and hydrophilicity

    International Nuclear Information System (INIS)

    Gao Kun; Li Shudan

    2012-01-01

    In this paper, a series of multi-modal TiO 2 -LaFeO 3 composite films have been successfully synthesized through a two-step method. The resultant films were characterized in detail by several testing techniques, such as X-ray diffraction (XRD), ultraviolet-visible diffuse reflection spectrum (UV-vis DRS), photoluminescence spectrum (PL), surface photovoltage spectroscopy (SPS) and water contact angle measurements. The photocatalytic activity of different films was evaluated for degrading Methylene Blue (MB) aqueous solution. Hydrophilicity of the obtained TiO 2 -LaFeO 3 composite films was also investigated. The results show that TL film and LT film exhibited superior photocatalytic activity and hydrophilicity.

  6. Preparation and switching kinetics of Pb(Zr, Ti)O3 thin films deposited by reactive sputtering

    International Nuclear Information System (INIS)

    Hase, Takashi; Shiosaki, Tadashi

    1991-01-01

    Ferroelectric Pb(Zr, Ti)O 3 [PZT] thin films have been prepared on Pt/Ti/SiO 2 /Si and Pt/SiO 2 /Si substrates using the reactive sputtering method with a metal composite target. The (111)-oriented PZT (80/20) thin films with a perovskite structure have been obtained at a substrate temperature of 595degC on highly (111)-oriented Pt films formed on SiO 2 /Si substrates. When an 8 V pulse sequence was applied to a 265 nm-thick film with an electrode area of 50 x 50 μm 2 , the switching time and the switched charge density measured were 20 ns and 10 μC/cm 2 , respectively. The switching time was strongly dependent on the electrode area. (author)

  7. Effect of iron doping on structural and optical properties of TiO2 thin film by sol–gel routed spin coating technique

    Directory of Open Access Journals (Sweden)

    Stephen Lourduraj

    2017-08-01

    Full Text Available Thin films of iron (Fe-doped titanium dioxide (Fe:TiO2 were prepared by sol–gel spin coating technique and further calcined at 450∘C. The structural and optical properties of Fe-doped TiO2 thin films were investigated by X-ray diffraction (XRD, scanning electron microscopy (SEM, ultraviolet–visible spectroscopy (UV–vis and atomic force microscopic (AFM techniques. The XRD results confirm the nanostructured TiO2 thin films having crystalline nature with anatase phase. The characterization results show that the calcined thin films having high crystallinity and the effect of iron substitution lead to decreased crystallinity. The SEM investigations of Fe-doped TiO2 films also gave evidence that the films were continuous spherical shaped particles with a nanometric range of grain size and film was porous in nature. AFM analysis establishes that the uniformity of the TiO2 thin film with average roughness values. The optical measurements show that the films having high transparency in the visible region and the optical band gap energy of Fe-doped TiO2 film with iron (Fe decrease with increase in iron content. These important requirements for the Fe:TiO2 films are to be used as window layers in solar cells.

  8. Foldable and Cytocompatible Sol-gel TiO2 Photonics.

    Science.gov (United States)

    Li, Lan; Zhang, Ping; Wang, Wei-Ming; Lin, Hongtao; Zerdoum, Aidan B; Geiger, Sarah J; Liu, Yangchen; Xiao, Nicholas; Zou, Yi; Ogbuu, Okechukwu; Du, Qingyang; Jia, Xinqiao; Li, Jingjing; Hu, Juejun

    2015-09-07

    Integrated photonics provides a miniaturized and potentially implantable platform to manipulate and enhance the interactions between light and biological molecules or tissues in in-vitro and in-vivo settings, and is thus being increasingly adopted in a wide cross-section of biomedical applications ranging from disease diagnosis to optogenetic neuromodulation. However, the mechanical rigidity of substrates traditionally used for photonic integration is fundamentally incompatible with soft biological tissues. Cytotoxicity of materials and chemicals used in photonic device processing imposes another constraint towards these biophotonic applications. Here we present thin film TiO2 as a viable material for biocompatible and flexible integrated photonics. Amorphous TiO2 films were deposited using a low temperature (<250 °C) sol-gel process fully compatible with monolithic integration on plastic substrates. High-index-contrast flexible optical waveguides and resonators were fabricated using the sol-gel TiO2 material, and resonator quality factors up to 20,000 were measured. Following a multi-neutral-axis mechanical design, these devices exhibit remarkable mechanical flexibility, and can sustain repeated folding without compromising their optical performance. Finally, we validated the low cytotoxicity of the sol-gel TiO2 devices through in-vitro cell culture tests. These results demonstrate the potential of sol-gel TiO2 as a promising material platform for novel biophotonic devices.

  9. Cluster synthesis of monodisperse rutile-TiO2 nanoparticles and dielectric TiO2-vinylidene fluoride oligomer nanocomposites

    International Nuclear Information System (INIS)

    Balasubramanian, Balamurugan; Kraemer, Kristin L; Valloppilly, Shah R; Ducharme, Stephen; Sellmyer, David J

    2011-01-01

    The embedding of oxide nanoparticles in polymer matrices produces a greatly enhanced dielectric response by combining the high dielectric strength and low loss of suitable host polymers with the high electric polarizability of nanoparticles. The fabrication of oxide-polymer nanocomposites with well-controlled distributions of nanoparticles is, however, challenging due to the thermodynamic and kinetic barriers between the polymer matrix and nanoparticle fillers. In the present study, monodisperse TiO 2 nanoparticles having an average particle size of 14.4 nm and predominant rutile phase were produced using a cluster-deposition technique without high-temperature thermal annealing and subsequently coated with uniform vinylidene fluoride oligomer (VDFO) molecules using a thermal evaporation source, prior to deposition as TiO 2 -VDFO nanocomposite films on suitable substrates. The molecular coatings on TiO 2 nanoparticles serve two purposes, namely to prevent the TiO 2 nanoparticles from contacting each other and to couple the nanoparticle polarization to the matrix. Parallel-plate capacitors made of TiO 2 -VDFO nanocomposite film as the dielectric exhibit minimum dielectric dispersion and low dielectric loss. Dielectric measurements also show an enhanced effective dielectric constant in TiO 2 -VDFO nanocomposites as compared to that of pure VDFO. This study demonstrates for the first time a unique electroactive particle coating in the form of a ferroelectric VDFO that has high-temperature stability as compared to conventionally used polymers for fabricating dielectric oxide-polymer nanocomposites.

  10. Wet and dry atmospheric deposition on TiO2 coated glass

    International Nuclear Information System (INIS)

    Chabas, Anne; Gentaz, Lucile; Lombardo, Tiziana; Sinegre, Romain; Falcone, Roberto; Verita, Marco; Cachier, Helene

    2010-01-01

    To prevent the soiling of glass window used in the built environment, the use TiO 2 coated products appears an important application matter. To test the cleaning efficiency and the sustainability of self-cleaning glass, a field experiment was conducted under real life condition, on a site representative of the background urban pollution. Samples of float glass, used as reference, and commercialized TiO 2 coated glasses were exposed to dry and wet atmospheric deposition during two years. The crossed optical, chemical and microscopic evaluations performed, after withdrawal, allowed highlighting a sensible difference between the reference and the self-cleaning substrate in terms of accumulation, nature, abundance and geometry of the deposit. This experiment conducted in real site emphasized on the efficacy of self-cleaning glass to reduce the maintenance cost. - This paper evaluates the self-cleaning glass efficiency highlighting its ability to prevent soiling and to be used as a mean of remediation.

  11. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Optimizing Thermoelectric Properties of In Situ Plasma-Spray-Synthesized Sub-stoichiometric TiO2-x Deposits

    Science.gov (United States)

    Lee, Hwasoo; Seshadri, Ramachandran Chidambaram; Pala, Zdenek; Sampath, Sanjay

    2018-06-01

    In this article, an attempt has been made to relate the thermoelectric properties of thermal spray deposits of sub-stoichiometric titania to process-induced phase and microstructural variances. The TiO2-x deposits were formed through the in situ reaction of the TiO1.9 or TiO1.7 feedstock within the high-temperature plasma flame and manipulated via varying the amounts of hydrogen fed into in the thermal plasma. Changes in the flow rates of H2 in the plasma plume greatly affected the in-flight particle behavior and composition of the deposits. For reference, a high-velocity oxy-fuel spray torch was also used to deposit the two varieties of feedstocks. Refinements to the representation of the in-flight particle characteristics derived via single particle and ensemble diagnostic methods are proposed using the group parameters (melting index and kinetic energy). The results show that depending on the value of the melting index, there is an inverse proportional relationship between electrical conductivity and Seebeck coefficient, whereas thermal conductivity has a directly proportional relationship with the electrical conductivity. Retention of the original phase and reduced decomposition is beneficial to retain the high Seebeck coefficient or the high electrical conductivity in the TiO2 system.

  13. Enhanced photovoltaic performance of inverted hybrid bulk-heterojunction solar cells using TiO2/reduced graphene oxide films as electron transport layers

    Science.gov (United States)

    Morais, Andreia; Alves, João Paulo C.; Lima, Francisco Anderson S.; Lira-Cantu, Monica; Nogueira, Ana Flavia

    2015-01-01

    In this study, we investigated inverted hybrid bulk-heterojunction solar cells with the following configuration: fluorine-doped tin oxide (FTO) |TiO2/RGO|P3HT:PC61BM|V2O5 or PEDOT:PSS|Ag. The TiO2/GO dispersions were prepared by sol-gel method, employing titanium isopropoxide and graphene oxide (GO) as starting materials. The GO concentration was varied from 0.1 to 4.0 wt%. The corresponding dispersions were spin-coated onto FTO substrates and a thermal treatment was performed to remove organic materials and to reduce GO to reduced graphene oxide (RGO). The TiO2/RGO films were characterized by x-ray diffraction, Raman spectroscopy, and microscopy techniques. Atomic force microscopy (AFM) images showed that the addition of RGO significantly changes the morphology of the TiO2 films, with loss of uniformity and increase in surface roughness. Independent of the use of V2O5 or PEDOT: PSS films as the hole transport layer, the incorporation of 2.0 wt% of RGO into TiO2 films was the optimal concentration for the best organic photovoltaic performance. The solar cells based on TiO2/RGO (2.0 wt%) electrode exhibited a ˜22.3% and ˜28.9% short circuit current density (Jsc) and a power conversion efficiency enhancement, respectively, if compared with the devices based on pure TiO2 films. Kelvin probe force microscopy images suggest that the incorporation of RGO into TiO2 films can promote the appearance of regions with different charge dissipation capacities.

  14. TiO2 Deposition on AZ31 Magnesium Alloy Using Plasma Electrolytic Oxidation

    Directory of Open Access Journals (Sweden)

    Leon White

    2013-01-01

    Full Text Available Plasma electrolytic oxidation (PEO has been used in the past as a useful surface treatment technique to improve the anticorrosion properties of Mg alloys by forming protective layer. Coatings were prepared on AZ31 magnesium alloy in phosphate electrolyte with the addition of TiO2 nanoparticles using plasma electrolytic oxidation (PEO. This present work focuses on developing a TiO2 functional coating to create a novel electrophotocatalyst while observing the surface morphology, structure, composition, and corrosion resistance of the PEO coating. Microstructural characterization of the coating was investigated by X-ray diffraction (XRD and scanning electron microscopy (SEM followed by image analysis and energy dispersive spectroscopy (EDX. The corrosion resistance of the PEO treated samples was evaluated with electrochemical impedance spectroscopy (EIS and DC polarization tests in 3.5 wt.% NaCl. The XRD pattern shows that the components of the oxide film include Mg from the substrate as well as MgO and Mg2TiO4 due to the TiO2 nanoparticle addition. The results show that the PEO coating with TiO2 nanoparticles did improve the corrosion resistance when compared to the AZ31 substrate alloy.

  15. Nanostructured Photocatalytic TiO2 Coating Deposited by Suspension Plasma Spraying with Different Injection Positions

    Science.gov (United States)

    Liu, Xuezhang; Wen, Kui; Deng, Chunming; Yang, Kun; Deng, Changguang; Liu, Min; Zhou, Kesong

    2018-02-01

    High plasma power is beneficial for the deposition efficiency and adhesive strength of suspension-sprayed photocatalytic TiO2 coatings, but it confronts two challenges: one is the reduced activity due to the critical phase transformation of anatase into rutile, and the other is fragmented droplets which cannot be easily injected into the plasma core. Here, TiO2 coatings were deposited at high plasma power and the position of suspension injection was varied with the guidance of numerical simulation. The simulation was based on a realistic three-dimensional time-dependent numerical model that included the inside and outside of torch regions. Scanning electron microscopy was performed to study the microstructure of the TiO2 coatings, whereas x-ray diffraction was adopted to analyze phase composition. Meanwhile, photocatalytic activities of the manufactured TiO2 coatings were evaluated by the degradation of an aqueous solution of methylene blue dye. Fragmented droplets were uniformly injected into the plasma jet, and the solidification pathway of melting particles was modified by varying the position of suspension injection. A nanostructured TiO2 coating with 93.9% anatase content was obtained at high plasma power (48.1 kW), and the adhesive coating bonding to stainless steel exhibited the desired photocatalytic activity.

  16. Enhanced Photocatalytic Activity of TiO2 Nanoparticles Supported on Electrically Polarized Hydroxyapatite.

    Science.gov (United States)

    Zhang, Xuefei; Yates, Matthew Z

    2018-05-23

    Fast recombination of photogenerated charge carriers in titanium dioxide (TiO 2 ) remains a challenging issue, limiting the photocatalytic activity. This study demonstrates increased photocatalytic performance of TiO 2 nanoparticles supported on electrically polarized hydroxyapatite (HA) films. Dense and thermally stable yttrium and fluorine co-doped HA films with giant internal polarization were synthesized as photocatalyst supports. TiO 2 nanoparticles deposited on the support were then used to catalyze the photochemical reduction of aqueous silver ions to produce silver nanoparticles. It was found that significantly more silver nanoparticles were produced on polarized HA supports than on depolarized HA supports. In addition, the photodegradation of methyl orange with TiO 2 nanoparticles on polarized HA supports was found to be much faster than with TiO 2 nanoparticles on depolarized HA supports. It is proposed that separation of photogenerated electrons and holes in TiO nanoparticles is promoted by the internal polarization of the HA support, and consequently, the recombination of charge carriers is mitigated. The results imply that materials with large internal polarization can be used in strategies for enhancing quantum efficiency of photocatalysts.

  17. Influence of Different Defects in Vertically Aligned Carbon Nanotubes on TiO2 Nanoparticle Formation through Atomic Layer Deposition.

    Science.gov (United States)

    Acauan, Luiz; Dias, Anna C; Pereira, Marcelo B; Horowitz, Flavio; Bergmann, Carlos P

    2016-06-29

    The chemical inertness of carbon nanotubes (CNT) requires some degree of "defect engineering" for controlled deposition of metal oxides through atomic layer deposition (ALD). The type, quantity, and distribution of such defects rules the deposition rate and defines the growth behavior. In this work, we employed ALD to grow titanium oxide (TiO2) on vertically aligned carbon nanotubes (VACNT). The effects of nitrogen doping and oxygen plasma pretreatment of the CNT on the morphology and total amount of TiO2 were systematically studied using transmission electron microscopy, Raman spectroscopy, and thermogravimetric analysis. The induced chemical changes for each functionalization route were identified by X-ray photoelectron and Raman spectroscopies. The TiO2 mass fraction deposited with the same number of cycles for the pristine CNT, nitrogen-doped CNT, and plasma-treated CNT were 8, 47, and 80%, respectively. We demonstrate that TiO2 nucleation is dependent mainly on surface incorporation of heteroatoms and their distribution rather than structural defects that govern the growth behavior. Therefore, selecting the best way to functionalize CNT will allow us to tailor TiO2 distribution and hence fabricate complex heterostructures.

  18. Biocompatibility of Mg Ion Doped Hydroxyapatite Films on Ti-6Al-4V Surface by Electrochemical Deposition.

    Science.gov (United States)

    Lee, Kang; Choe, Han-Cheol

    2016-02-01

    In this study, we prepared magnesium (Mg) doped nano-phase hydroxyapatite (HAp) films on the TiO2 nano-network surface using electrochemical deposition method. Ti-6Al-4V ELI surface was anodized in 5 M NaOH solution at 0.3 A for 10 min. Nano-network TiO2 surface were formed by these anodization steps which acted as templates and anchorage for growth of the Mg doped HAp during subsequent pulsed electrochemical deposition process at 85 degrees C. The phase and morphologies of HAp deposits were influenced by the Mg ion concentration.

  19. Enhanced photoelectrocatalytic degradation of 2,4-dichlorophenoxyacetic acid by CuInS2 nanoparticles deposition onto TiO2 nanotube arrays

    International Nuclear Information System (INIS)

    Liu Ronghua; Liu Yutang; Liu Chengbin; Luo Shenglian; Teng Yarong; Yang Lixia; Yang Renbin; Cai Qingyun

    2011-01-01

    Research highlights: → The photocatalytic application of CuInS 2 with a direct band gap of about 1.5 eV and a high absorption coefficient remains unknown. → We describe an impulse electrodeposition approach to deposit CuInS 2 nanoparticles in uniform size of about 20 nm onto the top surface of the highly oriented TiO 2 NT arrays while minimizing the clogging of the tube entrances. → The novel photocatalyst exhibits a highly visible-light photocatalytic degradation activity for the target organic pollutant. → Moreover, the stability of the modified TiO 2 NT is good. → Therefore, CuInS 2 nanoparticles modified TiO 2 NT photocatalysts have potential utility in practical purification of organic wastewater. - Abstract: Surface modification of TiO 2 nanotube (NT) arrays with CuInS 2 nanoparticles (NPs) for photocatalytic degradation of 2,4-dichlorophenoxyacetic acid (2,4-D) was reported. A pulse electrodeposition technique was used to prepare the CuInS 2 NPs, and the resulted CuInS 2 NPs, with a uniform size of about 20 nm, were found to deposit on the top surface of the highly oriented TiO 2 NT while without clogging the tube entrances. Compared with the unmodified TiO 2 NT, the CuInS 2 NPs modified TiO 2 NT (CuInS 2 -TiO 2 NT) showed significantly enhanced photocatalytic activity towards 2,4-D under visible light. After 160 min irradiation, the removal rate of 2,4-D is 100% by using CuInS 2 -TiO 2 NT, much higher than 65.2% by using the unmodified TiO 2 NT in photoelectrocatalytic process. The increased photodegradation efficiency mainly results from the improved photocurrent density as results of enhanced visible-light absorption and decreased hole-electron recombination due to the presence of narrow-band-gap p-type semiconductor CuInS 2 .

  20. Spontaneous Synthesis of Highly Crystalline TiO2 Compact/Mesoporous Stacked Films by a Low-Temperature Steam-Annealing Method for Efficient Perovskite Solar Cells.

    Science.gov (United States)

    Sanehira, Yoshitaka; Numata, Youhei; Ikegami, Masashi; Miyasaka, Tsutomu

    2018-05-23

    Highly crystalline TiO 2 nanostructured films were synthesized by a simple steam treatment of a TiCl 4 precursor film under a saturated water vapor atmosphere at 125 °C, here referred to as the steam-annealing method. In a single TiO 2 film preparation step, a bilayer structure comprising a compact bottom layer and a mesoporous surface layer was formed. The mesoporous layer was occupied by bipyramidal nanoparticles, with a composite phase of anatase and brookite crystals. Despite the low-temperature treatment process, the crystallinity of the TiO 2 film was high, comparable with that of the TiO 2 film sintered at 500 °C. The compact double-layered TiO 2 film was applied to perovskite solar cells (PSCs) as an electron-collecting layer. The PSC exhibited a maximum power conversion efficiency (PCE) of 18.9% with an open-circuit voltage ( V OC ) of 1.15 V. The PCE and V OC were higher than those of PSCs using a TiO 2 film formed by 500 °C sintering.

  1. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R.; Comes, Ryan B.; Ramuhalli, Pradeep; Henager, Charles H.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-ray diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.

  2. Preparation of Porous F-WO3/TiO2 Films with Visible-Light Photocatalytic Activity by Microarc Oxidation

    Directory of Open Access Journals (Sweden)

    Chung-Wei Yeh

    2012-01-01

    Full Text Available Porous F-WO3/TiO2 (mTiO2 films are prepared on titanium sheet substrates using microarc oxidation (MAO technique. The X-ray diffraction patterns show that visible-light (Vis enabling mTiO2 films with a very high content of anatase TiO2 and high loading of WO3 are successfully synthesized at a low applied voltage of 300 V using electrolyte contenting NaF and Na2WO4 without subsequent heat treatment. The cross-sectional transmission electron microscopy micrograph reveals that the mTiO2 films feature porous networks connected by many micron pores. The diffused reflection spectrum displays broad absorbance across the UV-Vis regions and a significant red shift in the band gap energy (∼2.23 eV for the mTiO2 film. Owing to the high specific surface area from the porous microstructure, the mTiO2 film shows a 61% and 50% rate increase in the photocatalytic dye degradation, as compared with the N,C-codoped TiO2 films under UV and Vis irradiation, respectively.

  3. Hydrogen-bonding effects on film structure and photoelectrochemical properties of porphyrin and fullerene composites on nanostructured TiO 2 electrodes

    NARCIS (Netherlands)

    Kira, Aiko; Tanaka, Masanobu; Umeyama, Tomokazu; Matano, Yoshihiro; Yoshimoto, Naoki; Zhang, Yi; Ye, Shen; Lehtivuori, Heli; Tkachenko, Nikolai V.; Lemmetyinen, Helge; Imahori, Hiroshi

    2007-01-01

    Hydrogen-bonding effects on film structures and photophysical, photoelectrochemical, and photovoltaic properties have been examined in mixed films of porphyrin and fullerene composites with and without hydrogen bonding on nanostructured TiO2 electrodes. The nanostructured TiO2 electrodes modified

  4. Particle emission rates during electrostatic spray deposition of TiO2 nanoparticle-based photoactive coating.

    Science.gov (United States)

    Koivisto, Antti J; Jensen, Alexander C Ø; Kling, Kirsten I; Kling, Jens; Budtz, Hans Christian; Koponen, Ismo K; Tuinman, Ilse; Hussein, Tareq; Jensen, Keld A; Nørgaard, Asger; Levin, Marcus

    2018-01-05

    Here, we studied the particle release rate during Electrostatic spray deposition of anatase-(TiO 2 )-based photoactive coating onto tiles and wallpaper using a commercially available electrostatic spray device. Spraying was performed in a 20.3m 3 test chamber while measuring concentrations of 5.6nm to 31μm-size particles and volatile organic compounds (VOC), as well as particle deposition onto room surfaces and on the spray gun user hand. The particle emission and deposition rates were quantified using aerosol mass balance modelling. The geometric mean particle number emission rate was 1.9×10 10 s -1 and the mean mass emission rate was 381μgs -1 . The respirable mass emission-rate was 65% lower than observed for the entire measured size-range. The mass emission rates were linearly scalable (±ca. 20%) to the process duration. The particle deposition rates were up to 15h -1 for deposited particles consisted of mainly TiO 2 , TiO 2 mixed with Cl and/or Ag, TiO 2 particles coated with carbon, and Ag particles with size ranging from 60nm to ca. 5μm. As expected, no significant VOC emissions were observed as a result of spraying. Finally, we provide recommendations for exposure model parameterization. Copyright © 2017 The Author(s). Published by Elsevier B.V. All rights reserved.

  5. Surface modification of porous nanocrystalline TiO2 films for dye-sensitized solar cell application by various gas plasmas

    International Nuclear Information System (INIS)

    Kim, Youngsoo; Yoon, Chang-Ho; Kim, Kang-Jin; Lee, Yeonhee

    2007-01-01

    Titanium dioxide (TiO 2 ) film for dye-sensitized solar cells (DSSCs) has surface defects such as oxygen vacancies created during the annealing process. The authors used a plasma treatment technique to reduce defects on TiO 2 surfaces. They investigated the influence of different gas plasma treatments of TiO 2 film on the photoelectric performance of DSSC. Short-circuit photocurrent density (J sc ), open-circuit photovoltage (V oc ), and the amount of adsorbed dye for DSSCs were measured. As a result, the solar-to-electricity conversion efficiencies of the O 2 - and N 2 -treated cells increased by 15%-20% compared to untreated cells. On the other hand, solar energy conversion efficiency of CF 4 -plasma treated cells decreased drastically. The increased amount of adsorbed dye on the TiO 2 film was measured by time-of-flight secondary ion mass spectrometry. TiO 2 surfaces modified by plasma treatment were characterized using analytical instruments such as x-ray photoelectron spectroscopy and near-edge x-ray absorption fine structure

  6. Evolution of structural and magnetic properties of Co-doped TiO2 thin films irradiated with 100 MeV Ag7+ ions

    International Nuclear Information System (INIS)

    Mohanty, P; Singh, V P; Rath, Chandana; Mishra, N C; Ojha, S; Kanjilal, D

    2014-01-01

    In continuation to our earlier studies where we have shown room temperature ferromagnetism observed in TiO 2 and Co-doped TiO 2 (CTO) thin films independent of their phase (Mohanty et al 2012 J. Phys. D: Appl. Phys. 45 325301), here the modifications in structure and magnetic properties in CTO thin films using 100 MeV Ag 7+ ion irradiation are reported. Owing to the important role of defects in tailoring the magnetic properties of the material, we vary the ion fluence from 5 × 10 11 to 1 × 10 12  ions cm −2 to create post-deposition defects. While the film deposited under 0.1 mTorr oxygen partial pressure retains its crystallinity showing radiation-resistant behaviour even at a fluence of 1 × 10 12  ions cm −2 , films deposited under 1 to 300 mTorr oxygen partial pressure becomes almost amorphous at the same fluence. Using Poisson's law, the diameter of the amorphized region surrounding the ion path is calculated to be ∼4.2 nm from the x-ray diffraction peak intensity ((1 1 0) for rutile phase) as a function of ion fluence. The saturation magnetization (M s ) decreases exponentially similar to the decrease in x-ray peak intensity with fluence, indicating magnetic disordered region surrounding the ion path. The diameter of the magnetic disordered region is found to be ∼6.6 nm which is larger than the diameter of the amorphized latent track. Therefore, it is confirmed that swift heavy ion irradiation induces a more significant magnetic disorder than the structural disorder. (paper)

  7. Fabrication of TiO2/PU Superhydrophobic Film by Nanoparticle Assisted Cast Micromolding Process.

    Science.gov (United States)

    Li, Jie; Zheng, Jianyong; Zhang, Jing; Feng, Jie

    2016-06-01

    Lotus-like surfaces have attracted great attentions in recent years for their wide applications in water repellency, anti-fog and self-cleaning. This paper introduced a novel process, nanoparticle assisted cast micromolding, to create polymer film with superhydrophobic surface. Briefly, waterborne polyurethane (WPU) sol and nano TiO2/WPU sol were each cast onto the featured surfaces of the poly(dimethylsiloxane) (PDMS) stamps replicated from fresh lotus leaves. After being dried and peeled off from the stamps, PU and TiO2/WPU replica films were created respectively. To the former, only high hydrophobic property was observed with static water contact angle (WCA) at 142.5 degrees. While to the later, superhydrophobic property was obtained with WCA more than 150 degrees and slide angle less than 3 degrees. Scanning electron microscopy (SEM) imaging showed that the PU replica film only had the micro-papillas and the TiO2/PU replica film not only had micro papillas but also had a large number of nano structures distributed on and between the micro-papillas. Such nano and micro hierarchical structures were very similar with those on the natural lotus leaf surface, thus was the main reason for causing superhydrophobic property. Although an elastic PDMS stamp from lotus leaf was used in herein process, hard molds may also be used in theory. This study supplied an alternative technique for large scale production of polymeric films with superhydrophobic.

  8. Facile synthesis of Ag nanoparticles supported on TiO2 inverse opal with enhanced visible-light photocatalytic activity

    International Nuclear Information System (INIS)

    Zhao Yongxun; Yang Beifang; Xu Jiao; Fu Zhengping; Wu Min; Li Feng

    2012-01-01

    TiO 2 inverse opal films loaded with silver nanoparticles (ATIO) were synthesized on glass substrates. TiO 2 inverse opal (TIO) films were prepared via a sol–gel process using self-assembly of SiO 2 colloidal crystal template and a facile wet chemical route featuring an AgNO 3 precursor solution to fabricate silver nanoparticles on the TIO films. The inverse opal structure and Ag deposition physically and chemically modify titania, respectively. The catalysts were characterized by Raman spectroscopy, field-emission scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), UV–vis absorption spectra, X-ray photoelectron spectroscopy and photoluminescence spectroscopy. The HRTEM results show that Ag nanoparticles measuring 5–10 nm were evenly distributed on TIO. Both the UV- and visible-light photocatalytic activities of the samples were evaluated by analyzing the degradation of methylene blue (MB) in aqueous solution. The results reveal that the apparent reaction rate constant (k app ) of MB degradation of the sample ATIO under UV-light irradiation is approximately 1.5 times that of the conventional Ag-loaded TiO 2 film (ATF) without an ordered porous structure at an AgNO 3 concentration of 5 mM in the precursor solution. At an AgNO 3 concentration of 10 mM, the sample exhibits a k app value approximately 4.2 times that of ATF under visible-light irradiation. This enhanced visible-light photocatalytic performance can be attributed to the synergistic effect of optimized Ag nanoparticle deposition and an ordered macroporous TIO structure. Repeated cycling tests revealed that the samples showed stable photocatalytic activity, even after six repeated cycles. - Highlights: ►TiO 2 inverse opal films loaded with silver nanoparticles were synthesized. ►Physical and chemical modifications of TiO 2 were achieved simultaneously. ►The catalysts exhibited enhanced visible-light photocatalytic activity. ►The mechanism for enhanced

  9. Development of nanostructured porous TiO2 thick film with uniform spherical particles by a new polymeric gel process for dye-sensitized solar cell applications

    International Nuclear Information System (INIS)

    Bakhshayesh, A.M.; Mohammadi, M.R.

    2013-01-01

    A novel simple synthetic procedure for fabrication of high surface area nanostructured TiO 2 electrode with uniform particles for photovoltaic application is reported. Modifying the TiO 2 particulate sol by pH adjustment together with employment of a polymeric agent, so-called polymeric gel process, was developed. The polymeric gel process was used to deposit nanostructured thick electrode by dip coating incorporated in dye-sensitized solar cells (DSSCs). X-ray diffraction (XRD) analysis revealed that deposited film was composed of primary nanoparticles with average crystallite size in the range 21-39 nm. Field emission scanning electron microscope (FE-SEM) images showed that deposited film had nanostructured and porous morphology containing uniform spherical particles with diameter about 2.5 μm. The spherical particles were made of small nanoparticles with average grain size of 60 nm improving light scattering and dye loading of the DSSC. Moreover, atomic force microscope (AFM) analysis verified that the roughness mean square of prepared electrode was low, enhancing electron transport to the counter electrode. Photovoltaic measurements showed that solar cell made of polymeric gel process had higher photovoltaic performance than that made of conventional paste. An enhancement of power conversion efficiency from 4.54%, for conventional paste, to 6.21%, for polymeric gel process, was achieved. Electrochemical impedance spectroscopy (EIS) study showed that the recombination process in solar cell made of polymeric gel process was slower than that in solar cell made of conventional paste. The presented strategy would open up new insight into fabrication of low-cost TiO 2 DSSCs with high power conversion efficiency

  10. Influence of TiCl4 post-treatment condition on TiO2 electrode for enhancement photovoltaic efficiency of dye-sensitized solar cells.

    Science.gov (United States)

    Eom, Tae Sung; Kim, Kyung Hwan; Bark, Chung Wung; Choi, Hyung Wook

    2014-10-01

    Titanium tetrachloride (TiCl4) treatment processed by chemical bath deposition is usually adopted as pre- and post-treatment for nanocrystalline titanium dioxide (TiO2) film deposition in the dye-sensitized solar cells (DSSCs) technology. TiCl4 post-treatment is a widely known method capable of improving the performance of dye-sensitized solar cells. In this work, the effect of TiCl4 post-treatment on the TiO2 electrode is proposed and compared to the untreated film. A TiO2 passivating layer was deposited on FTO glass by RF magnetron sputtering. The TiO2 sol prepared sol-gel method, nanoporous TiO2 upper layer was deposited by screen printing method on the passivating layer. TiCl4 post-treatment was deposited on the substrate by hydrolysis of TiCl4 aqueous solution. Crystalline structure was adjusted by various TiCl4 concentration and dipping time: 20 mM-150 mM and 30 min-120 min. The conversion efficiency was measured by solar simulator (100 mW/cm2). The dye-sensitized solar cell using TiCl4 post-treatment was measured the maximum conversion efficiency of 5.04% due to electron transport effectively. As a result, the DSSCs based on TiCl4 post-treatment showed better photovoltaic performance than cells made purely of TiO2 nanoparticles. The relative DSSCs devices are characterized in terms of short circuit current density, open circuit voltage, fill factor, conversion efficiency.

  11. Sputtered PdO Decorated TiO2 Sensing Layer for a Hydrogen Gas Sensor

    Directory of Open Access Journals (Sweden)

    Jeong Hoon Lee

    2018-01-01

    Full Text Available We report a sputtered PdO decorated TiO2 sensing layer by radiofrequency (RF sputtering methods and demonstrated gas sensing performance for H2 gas. We prepared sputtered anatase TiO2 sensing films with 200 nm thickness and deposited a Pd layer on top of the TiO2 films with a thickness ranging from 3 nm to 13 nm. Using an in situ TiO2/Pd multilayer annealing process at 550°C for 1 hour, we observed that Pd turns into PdO by Auger electron spectroscopy (AES depth profile and confirmed decorated PdO on TiO2 sensing layer from scanning electron microscope (SEM and atomic-force microscope (AFM. We also observed a positive sensing signal for 3, 4.5, and 6.5 nm PdO decorated TiO2 sensor while we observed negative output signal for a 13.5 nm PdO decorated one. Using a microheater platform, we acquired fast response time as ~11 sec and sensitivity as 6 μV/ppm for 3 nm PdO under 33 mW power.

  12. Adsorption Equilibrium and Kinetics of Gardenia Blue on TiO2 Photoelectrode for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Tae-Young Kim

    2014-01-01

    Full Text Available Nanostructured porous TiO2 paste was deposited on the FTO conductive glass using squeeze printing technique in order to obtain a TiO2 thin film with a thickness of 10 μm and an area of 4 cm2. Gardenia blue (GB extracted from Gardenia jasminode Ellis was employed as the natural dye for a dye-sensitized solar cell (DSSC. Adsorption studies indicated that the maximum adsorption capacity of GB on the surface of TiO2 thin film was approximately 417 mg GB/g TiO2 photoelectrode. The commercial and natural dyes, N-719 and GB, respectively, were employed to measure the adsorption kinetic data, which were analyzed by pseudo-first-order and pseudo-second-order models. The energy conversion efficiency of the TiO2 electrode with successive adsorptions of GB dye was about 0.2%.

  13. Nanocrystalline Pt-doped TiO2 thin films prepared by spray pyrolysis ...

    Indian Academy of Sciences (India)

    Administrator

    Spray pyrolysis techniques; TiO2 thin films; hydrogen gas response. 1. Introduction ... tion is necessary during the production, storage and use of hydrogen. It is also ..... ient, and 'green': it may be used to large scale industrial application for ...

  14. Spray pyrolysed Ru:TiO2 thin film electrodes prepared for electrochemical supercapacitor

    Science.gov (United States)

    Fugare, B. Y.; Thakur, A. V.; Kore, R. M.; Lokhande, B. J.

    2018-04-01

    Ru doped TiO2 thin films are prepared by using 0.06 M aqueous solution of potassium titanium oxalate (pto), and 0.005 M aqueous solution of ruthenium tri chloride (RuCl3) precursors. The deposition was carried on stainless steel (SS) by using well known ultrasonic spray pyrolysis technique (USPT) at 723° K by maintaining the spray rate 12 cc/min and compressed air flow rate 10 Lmin-1. Prepared Ru:TiO2 thin films were characterized by structurally, morphologically and electrochemically. Deposited RuO2 shows amorphous structure and TiO2 shows tetragonal crystal structure with rutile as prominent phase at very low decomposition temperature. SEM micrographs of RuO2 exhibits porous, interconnected, spherical grains type morphology and TiO2 shows porous, nanorods and nanoplates like morphology and also Ru doped TiO2 shows porous, spherical, granular and nanorods type morphology. The electrochemical cyclic voltammetery shows mixed capacitive behavior. The achieved highest value of specific capacitance 2692 F/g was Ru doped TiO2 electrode in 0.5 M H2SO4.

  15. Anatase phase stability and doping concentration dependent refractivity in codoped transparent conducting TiO2 films

    International Nuclear Information System (INIS)

    Chen, T L; Furubayashi, Y; Hirose, Y; Hitosugi, T; Shimada, T; Hasegawa, T

    2007-01-01

    Nb 0.06 Sn x Ti 0.94-x O 2 (x ≤ 0.3) thin films were grown by a pulsed-laser deposition method with varying Sn concentration. Through a combinatorial technique, we find that Sn concentration can reach a maximum of about x = 0.3 while maintaining the stable anatase phase and epitaxy. A doping concentration dependence of the refractivity is revealed, in which refractivity reduction at a wavelength of λ = 500 nm is estimated to be 12.4% for Nb 0.06 Sn 0.3 Ti 0.64 O 2 thin film. Sn doping induced band-gap blue shift can be contributed to the mixing of extended Sn 5s orbitals with the conduction band of TiO 2 . Low resistivity on the order of 10 -4 Ω cm at room temperature and high internal transmittance of more than 95% in the visible light region are exhibited for Nb 0.06 Sn x Ti 0.94-x O 2 thin films (x ≤ 0.2). Optical and transport analyses demonstrate that doping Sn into Nb 0.06 Ti 0.94 O 2 can reduce the refractivity while maintaining low resistivity and high transparency

  16. Photocatalytic properties of nano-structured TiO2-carbon films obtained by means of electrophoretic deposition

    International Nuclear Information System (INIS)

    Peralta-Hernandez, J.M.; Manriquez, J.; Meas-Vong, Y.; Rodriguez, Francisco J.; Chapman, Thomas W.; Maldonado, Manuel I.; Godinez, Luis A.

    2007-01-01

    Recent studies have shown that the light-absorption and photocatalytic efficiencies of TiO 2 can be improved by coupling TiO 2 nano-particles with nonmetallic dopants, such as carbon. In this paper, we describe the electrophoretic preparation of a novel TiO 2 -carbon nano-composite photocatalyst on a glass indium thin oxide (ITO) substrate. The objective is to take better advantage of the (e - /h + ) pair generated by photoexcitation of semiconducting TiO 2 particles. The transfer of electrons (e - ) into adjacent carbon nano-particles promotes reduction of oxygen to produce hydrogen peroxide (H 2 O 2 ) which, in the presence of iron ions, can subsequently form hydroxyl radicals ( · OH) via the Fenton reaction. At the same time, · OH is formed from water by the (h + ) holes in the TiO 2 . Thus, the · OH oxidant is produced by two routes. The efficiency of this photolytic-Fenton process was tested with a model organic compound, Orange-II (OG-II) azo dye, which is employed in the textile industry

  17. Synthesis and characterization of anatase-TiO2 thin films

    International Nuclear Information System (INIS)

    Sankapal, B.R.; Lux-Steiner, M.Ch.; Ennaoui, A.

    2005-01-01

    A new and effective method for the preparation of nanocrystalline TiO 2 (anatase) thin films is presented. This method is based on the use of peroxo-titanium complex as a single precursor. Post-annealing treatment is necessary to convert the deposited amorphous film into TiO 2 (anatase) phase. The films obtained are uniform, compact and free of pinholes. A wide range of techniques are used for characterization, namely X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), transmission electron microscopy (TEM), energy-dispersive X-ray analysis (EDAX) and UV-Vis-NIR spectrophotometer. Glass, indium-doped tin oxide (ITO) and quartz are used as substrates. TiO 2 (anatase) phase with (1 0 1) preferred orientation is obtained for the films. Byproduct (collected powder) consists of the same crystal structure. The optical measurement reveals the indirect bandgap of 3.2 eV

  18. Synthesis and characterization of anatase-TiO 2 thin films

    Science.gov (United States)

    Sankapal, B. R.; Lux-Steiner, M. Ch.; Ennaoui, A.

    2005-01-01

    A new and effective method for the preparation of nanocrystalline TiO 2 (anatase) thin films is presented. This method is based on the use of peroxo-titanium complex as a single precursor. Post-annealing treatment is necessary to convert the deposited amorphous film into TiO 2 (anatase) phase. The films obtained are uniform, compact and free of pinholes. A wide range of techniques are used for characterization, namely X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), transmission electron microscopy (TEM), energy-dispersive X-ray analysis (EDAX) and UV-Vis-NIR spectrophotometer. Glass, indium-doped tin oxide (ITO) and quartz are used as substrates. TiO 2 (anatase) phase with (1 0 1) preferred orientation is obtained for the films. Byproduct (collected powder) consists of the same crystal structure. The optical measurement reveals the indirect bandgap of 3.2 eV.

  19. Preparation of Porous F-WO3/TiO2 Films with Visible-Light Photocatalytic Activity by Microarc Oxidation

    OpenAIRE

    Yeh, Chung-Wei; Wu, Kee-Rong; Hung, Chung-Hsuang; Chang, Hao-Cheng; Hsu, Chuan-Jen

    2012-01-01

    Porous F-WO3/TiO2 (mTiO2) films are prepared on titanium sheet substrates using microarc oxidation (MAO) technique. The X-ray diffraction patterns show that visible-light (Vis) enabling mTiO2 films with a very high content of anatase TiO2 and high loading of WO3 are successfully synthesized at a low applied voltage of 300 V using electrolyte contenting NaF and Na2WO4 without subsequent heat treatment. The cross-sectional transmission electron microscopy micrograph reveals that the mTiO2 films...

  20. Tensile properties of latex paint films with TiO2 pigment

    Science.gov (United States)

    Hagan, Eric W. S.; Charalambides, Maria N.; Young, Christina T.; Learner, Thomas J. S.; Hackney, Stephen

    2009-05-01

    The tensile properties of latex paint films containing TiO2 pigment were studied with respect to temperature, strain-rate and moisture content. The purpose of performing these experiments was to assist museums in defining safe conditions for modern paintings held in collections. The glass transition temperature of latex paint binders is in close proximity to ambient temperature, resulting in high strain-rate dependence in typical exposure environments. Time dependence of modulus and failure strain is discussed in the context of time-temperature superposition, which was used to extend the experimental time scale. Nonlinear viscoelastic material models are also presented, which incorporate a Prony series with the Ogden or Neo-Hookean hyperelastic function for different TiO2 concentrations.

  1. Optimization of TiO2/Cu/TiO2 multilayers as a transparent composite electrode deposited by electron-beam evaporation at room temperature

    Science.gov (United States)

    Sun, Hong-Tao; Wang, Xiao-Ping; Kou, Zhi-Qi; Wang, Li-Jun; Wang, Jin-Ye; Sun, Yi-Qing

    2015-04-01

    Highly transparent indium-free composite electrodes of TiO2/Cu/TiO2 are deposited by electron-beam evaporation at room temperature. The effects of Cu thickness and annealing temperature on the electrical and optical properties of the multilayer film are investigated. The critical thickness of Cu mid-layer to form a continuous conducting layer is found to be 11 nm. The multilayer with a mid-Cu thickness of 11 nm is optimized to obtain a resistivity of 7.4×10-5 Ω·cm and an average optical transmittance of 86% in the visible spectral range. The figure of merit of the TiO2/Cu(11 nm)/TiO2 multilayer annealed at 150 °C reaches a minimum resistivity of 5.9×10-5 Ω·cm and an average optical transmittance of 88% in the visible spectral range. The experimental results indicate that TiO2/Cu/TiO2 multilayers can be used as a transparent electrode for solar cell and other display applications. Project supported by the Research Innovation Key Project of Education Committee of Shanghai, China (Grant No. 14ZZ137) and the National Cultivation Fund from University of Shanghai for Science and Technology (Grant No. 14XPM04).

  2. Vacuum arc plasma deposition of thin titanium dioxide films on silicone elastomer as a functional coating for medical applications.

    Science.gov (United States)

    Boudot, Cécile; Kühn, Marvin; Kühn-Kauffeldt, Marina; Schein, Jochen

    2017-05-01

    Silicone elastomer is a promising material for medical applications and is widely used for implants with blood and tissue contact. However, its strong hydrophobicity limits adhesion of tissue cells to silicone surfaces, which can impair the healing process. To improve the biological properties of silicone, a triggerless pulsed vacuum cathodic arc plasma deposition technique was applied to deposit titanium dioxide (TiO 2 ) films onto the surface. Scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy, Raman spectroscopy and contact angle measurements were used for coating characterization. Deposited films were about 150nm thick and exhibited good adhesion to the underlying silicone substrate. Surface wettability and roughness both increased after deposition of the TiO 2 layer. In addition, cell-biological investigations demonstrated that the in-vitro cytocompatibility of TiO 2 -coated samples was greatly improved without impacting silicone's nontoxicity. For validation of use in medical devices, further investigations were conducted and demonstrated stability of surface properties in an aqueous environment for a period of 68days and the coating's resistance to several sterilization methods. Copyright © 2016 Elsevier B.V. All rights reserved.

  3. Existence, release, and antibacterial actions of silver nanoparticles on Ag–PIII TiO2 films with different nanotopographies

    Directory of Open Access Journals (Sweden)

    Li J

    2014-07-01

    Full Text Available Jinhua Li, Yuqin Qiao, Hongqin Zhu, Fanhao Meng, Xuanyong Liu State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai, People’s Republic of China Abstract: Nanotopographical TiO2 films (including nanorod, nanotip, and nanowire topographies were successfully fabricated on the metallic Ti surface via hydrothermal treatment and then underwent Ag plasma immersion ion implantation to incorporate Ag with TiO2. The surface morphology, phase component, and chemical composition before and after Ag–PIII were characterized. In view of the potential clinical applications, both Gram-negative Escherichia coli and Gram-positive Staphylococcus aureus were used to estimate their antimicrobial effect. The nanostructured TiO2 films on a Ti surface exhibit a better bacteriostatic effect on both microbes compared to the pristine Ti. The nanotopographies of the TiO2 films affect the nucleation, growth, and distribution of Ag nanoparticles in the films during Ag–PIII process. The Ag nanoparticles are completely embedded into the nanorod film while partially exposed out of the nanotip and nanowire films, which account for the significant differences in the release behaviors of Ag ions in vitro. However, no significant difference exists in their antimicrobial activity against both microbes. The antimicrobial actions of the Ag@TiO2 system described here consist of two methods – the contact-killing action and the release-killing action. Nevertheless, based on the observed results, the contact-killing action should be regarded as the main method to destroy microbes for all the Ag plasma-modified TiO2 nanofilms. This study provides insight to optimize the surface design of Ti-based implants to acquire more effective antimicrobial surfaces to meet clinical applications. Keywords: silver, nanoparticles, titania, nanostructure, antibacterial, plasma

  4. Nanoscale self-recovery of resistive switching in Ar+ irradiated TiO2-x films

    Science.gov (United States)

    Barman, A.; Saini, C. P.; Sarkar, P. K.; Das, D.; Dhar, S.; Singh, M.; Sinha, A. K.; Kanjilal, D.; Gupta, M.; Phase, D. M.; Kanjilal, A.

    2017-11-01

    Nanoscale evidence of self-recovery in resistive switching (RS) behavior was found in TiO2-x film by conductive atomic force microscopy when exposed to Ar+-ions above a threshold fluence of 1  ×  1016 ions cm-2. This revealed an evolution and gradual disappearance of bipolar RS-loops, followed by reappearance with increasing number of voltage sweep. This was discussed in the realm of oxygen vacancy (OV) driven formation, dissolution and reformation of conducting filaments. The presence of OVs in ion-beam irradiated TiO2-x films was evidenced by decreasing trend of work function in scanning-Kelvin probe microscopy, and was further verified by x-ray absorption near edge spectroscopy at Ti and O-K edges.

  5. Chromium doped TiO2 sputtered thin films synthesis, physical investigations and applications

    CERN Document Server

    Hajjaji, Anouar; Gaidi, Mounir; Bessais, Brahim; El Khakani, My Ali

    2014-01-01

    This book presents co-sputtered processes ways to produce chrome doped TiO2 thin films onto various substrates such as quartz, silicon and porous silicon. Emphasis is given on the link between the experimental preparation and physical characterization in terms of Cr content. Moreover, the structural, optical and optoelectronic investigations are emphasized throughout. The book explores the potencial applications of devices based on Cr doped TiO2 thin films as gas sensors and in photocatalysis and in the photovoltaic industry. Also, this book provides extensive leads into research literature, and each chapter contains details which aim to develop awareness of the subject and the methods used. The content presented here will be useful for graduate students as well as researchers in materials science, physics, chemistry and engineering.

  6. Modification of TiO(2) nanotube surfaces by electro-spray deposition of amoxicillin combined with PLGA for bactericidal effects at surgical implantation sites.

    Science.gov (United States)

    Lee, Jung-Hwan; Moon, Seung-Kyun; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2013-01-01

    To fabricate the antibiotic-releasing coatings on TiO(2) nanotube surfaces for wide applications of implant and bone plate in medical and dental surgery, the optimal deposition time of amoxicillin/PLGA solution simultaneously performing non-toxicity and a high bactericidal effect for preventing early implant failures was found. FE-SEM, ESD and FT-IR were used for confirming deposition of amoxicillin/PLGA on the TiO(2) surface. Also, the elution of amoxicillin/PLGA in a TiO(2) nanotube surface was measured by a UV-VIS spectrophotometer. The bactericidal effect of amoxicillin on the TiO(2) nanotube surface was evaluated by using Staphylococcus aureus (S. aureus). The cytotoxicity and cell proliferation were observed by WST assay using MC3T3-E1 osteoblast cells. The results indicated that the TiO(2) nanotube surface controlled by electro-spray deposition time with amoxicillin/PLGA solution could provide a high bactericidal effect against S. aureus by the bactericidal effect of amoxicillin, as well as good osteoblast cell proliferation at the TiO(2) nanotube surface without toxicity. This study used electro-spray deposition (ESD) methodology to obtain amoxicillin deposition in nanotube structures of TiO(2) and found the optimal deposition time of amoxicillin/PLGA solution simultaneously performing non-toxicity and a high bactericidal effect for preventing early implant failures.

  7. Fast fabrication of long TiO2 nanotube array with high photoelectrochemical property on flexible stainless steel.

    Science.gov (United States)

    Tao, Jie; Wu, Tao; Gao, Peng

    2012-03-01

    Oriented highly ordered long TiO2 nanotube array films with nanopore structure and high photoelectrochemical property were fabricated on flexible stainless steel substrate (50 microm) by anodization treatment of titanium thin films in a short time. The samples were characterized by means of field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD) and photoelectrochemical methods, respectively. The results showed that Ti films deposited at the condition of 0.7 Pa Ar pressure and 96 W sputtering power at room temperature was uniform and dense with good homogeneity and high crystallinity. The voltage and the anodization time both played significant roles in the formation of TiO2 nanopore-nanotube array film. The optimal voltage was 60 V and the anodization time is less than 30 min by anodizing Ti films in ethylene glycerol containing 0.5% (w) NH4F and 3% (w) H2O. The growth rate of TiO2 nanotube array was as high as 340 nm/min. Moreover, the photocurrent-potential curves, photocurrent response curves and electrochemical impedance spectra results indicated that the TiO2 nanotube array film with the nanoporous structure exhibited a better photo-response ability and photoelectrochemical performance than the ordinary TiO2 nanotube array film. The reason is that the nanoporous structure on the surface of the nanotube array can separate the photo electron-hole pairs more efficiently and completely than the tubular structure.

  8. The effect of bulk/surface defects ratio change on the photocatalysis of TiO_2 nanosheet film

    International Nuclear Information System (INIS)

    Wang, Fangfang; Ge, Wenna; Shen, Tong; Ye, Bangjiao; Fu, Zhengping; Lu, Yalin

    2017-01-01

    Highlights: • The defect behaviors of TiO_2 nanosheet array films were studied by positron annihilation spectroscopy. • Different bulk/surface defect ratios were realized by annealing at different temperature. • It was concluded that bulk defects are mainly Ti"3"+ vacancy defects. • The separation efficiency of photogenerated electrons and holes could be significantly improved by optimizing the bulk/surface defects ratio. - Abstract: The photocatalysis behavior of TiO_2 nanosheet array films was studied, in which the ratio of bulk/surface defects were adjusted by annealing at different temperature. Combining positron annihilation spectroscopy, EPR and XPS, we concluded that the bulk defects belonged to Ti"3"+ related vacancy defects. The results show that the separation efficiency of photogenerated electrons and holes could be significantly improved by optimizing the bulk/surface defects ratio of TiO_2 nanosheet films, and in turn enhancing the photocatalysis behaviors.

  9. Comparative analysis of Dye-Sensitized Solar Cells (DSSC) having different nanocrystalline TiO2 layer structures

    International Nuclear Information System (INIS)

    Forcade, Fresnel; Gonzalez, Bernardo; Vigil, Elena; Jennings, James R.; Duna, Halina; Wang, Hongxia; Peter, Laurence M.

    2009-01-01

    Full text: Dye-sensitized solar cells (DSSC) are very prospective because of their low cost and comparatively not so low efficiency. This represents an advantage together with the innocuous character of the constituent materials. We study different types of DSSC. The procedure for making them has been the same except for the TiO 2 layer structure. This layer must be porous and nanocrystalline in order to increase light absorption by the sensitizer. On the other hand, this condition causes that the electrolyte contacts the transparent conducting oxide (TCO) underneath the TiO 2 originating undesired recombinations. Also the electrical contact of the Tio to the TCO depends on the technology used to deposit the TiO 2 . In order to avoid possible leakage currents caused by recombinations from the TCO to the electrolyte and improve TiO 2 -TCO electrical contact, a thin TiO 2 film is placed in between the porous TiO 2 layer and the TCO. Different structures are obtained using different technologies to obtain the thin TiO 2 film. These structures are analyzed from their volt-amperic characteristic, external quantum efficiency spectra and voltage decay observed when light is suppressed. Results obtained allow making recommendations regarding nanocrystalline TiO 2 structure to be used in DSSC. (author)

  10. TiO2 based photo-catalysts prepared by chemical vapor infiltration (CVI) on micro-fibrous substrates

    International Nuclear Information System (INIS)

    Sarantopoulos, Ch.

    2007-10-01

    This thesis deals with micro-fibrous glass substrates functionalized with TiO 2 . The oxide is deposited as a thin film onto the micro fibres by chemical vapour infiltration (CVI), yielding a photo-catalytic material usable for cleaning polluted air. We studied the relation between the structure of the material and its photo-catalytic efficiency. TiO 2 thin films were prepared at low pressure, in a hot-wall CVD reactor, using Ti(O-iPr) 4 as a precursor. They were characterized by XRD, SEM, EDX, XPS and BET, and by recording the kinetics of decomposition of varied pollutants in solution (orange G, malic acid, imazapyr) and in air (toluene). The conditions favoring the growth of porous films through a columnar growth mode were established by MOCVD-depositing TiO 2 thin films on flat substrates. The subsequent works with micro fibrous thick substrates showed the uniformity of infiltration to be the main factor governing the photo-catalytic efficiency. Operating parameters that optimize infiltration do not yield columnar growth mode. A compromise is necessary. Our photo-catalysts are showing high efficiency comparable, if not higher, to those actually commercialized. These promising results are opening real perspectives for the proposed process. (author)

  11. Optimization of charge transfer and transport processes at the CdSe quantum dots/TiO2 nanorod interface by TiO2 interlayer passivation

    International Nuclear Information System (INIS)

    Jaramillo-Quintero, O A; Rincon, M E; Triana, M A

    2017-01-01

    Surface trap states hinder charge transfer and transport properties in TiO 2 nanorods (NRs), limiting its application on optoelectronic devices. Here, we study the interfacial processes between rutile TiO 2 NR and CdSe quantum dots (QDs) using TiO 2 interlayer passivation treatments. Anatase or rutile TiO 2 thin layers were deposited on an NR surface by two wet-chemical deposition treatments. Reduced interfacial charge recombination between NRs and CdSe QDs was observed by electrochemical impedance spectroscopy with the introduction of TiO 2 thin film interlayers compared to bare TiO 2 NRs. These results can be ascribed to in-gap trap state passivation of the TiO 2 NR surface, which led to an increase in open circuit voltage. Moreover, the rutile thin layer was more efficient than anatase to promote a higher photo-excited electron transfer from CdSe QDs to TiO 2 NRs due to a large driving force for charge injection, as confirmed by surface photovoltage spectroscopy. (paper)

  12. Synthesis and characterization of Fe3+ doped TiO2 nanoparticles and films and their performance for photocurrent response under UV illumination

    International Nuclear Information System (INIS)

    Elghniji, Kais; Atyaoui, Atef; Livraghi, Stefano; Bousselmi, Latifa; Giamello, Elio; Ksibi, Mohamed

    2012-01-01

    Graphical abstract: Schematic diagram illustrating the charge transfer from excited TiO 2 to the different states of Fe 3+ ions; C B and V B refer to the energy levels of the conduction and valence bands of TiO 2 , respectively. Highlights: ► In this study we examine the Iron as catalyst precursor to synthesize the Fe 3+ doped TiO 2 nanoparticles. ► The Fe 3+ doped TiO 2 catalysts show the presence of a mixed phase of anatase. ► The iron is completely absent in the XRD pattern of the doped iron TiO 2 powder. ► The analysis of EPR result further confirms that Fe 3+ ion are successfully doped in the TiO 2 lattice by substituting Ti 4+ . ► Fe 3+ doping can efficiently separate the photo-generated electrons and holes. - Abstract: Undoped TiO 2 and Fe 3+ doped (0.1, 0.3, 0.6 and 1 wt.%) TiO 2 nanoparticles have been synthesized by the acid-catalyzed sol–gel method. Iron cations are introduced in the initial solution, before gelification, what promotes their lattice localization. The Fe 3+ doped TiO 2 films have been fabricated using a dip-coating technique. The effect of iron content on the crystalline structure, phase transformation and grain growth were determined by X-ray diffraction (XRD), Raman spectroscopy, UV–visible diffused reflectance spectroscopy (DRS) and Electron paramagnetic resonance (EPR) spectroscopy. It has demonstrated that all catalysts are composed of mixed-phase crystals of anatase and brookite with anatase as dominant phase. The crystallinity of the brookite and anatase phases decreased with increasing the iron content. The analysis of EPR result further confirms that Fe 3+ ion are successfully doped in the TiO 2 lattice by substituting Ti 4+ . It was demonstrated that Fe 3+ ion in the TiO 2 films plays a role as the intermediate for the efficient separation of photogenerated hole–electron pairs and increases the photocurrent response of the film under UV light irradiation. The maximum photocurrent is obtained on the Fe 3+ doped TiO

  13. Nanoparticle and nanorod films deposited by matrix assisted pulsed laser evaporation

    Science.gov (United States)

    Caricato, A. P.; Cesaria, M.; Luches, A.; Martino, M.

    2012-07-01

    The promising results obtained with the MAPLE-deposition of nanostructured thin films, to be used in different fields, are reviewed. Nanoparticles (TiO2, SnO2, CdS) and nanorods (TiO2) with well defined dimensions were suspended in appropriate solvents (distilled water, toluene) with low concentration (1wt% or less). The solutions were flash frozen at the liquid nitrogen temperature to form the targets to be laser irradiated. The MAPLE process allowed a successful transfer from the target to rough and flat substrates, preserving the starting composition and crystalline phase of the nanostructures in a wide range of experimental conditions. In contrast, a careful choice of the laser fluence is mandatory to avoid shape modifications. Growth of metal nanoparticles with a low dispersion in size was also obtained by the MAPLE technique, starting from target solutions of a metallorganic element (AcPd) diluted in different solvents (acetone, diethyl ether). It seems that selecting the solvent with appropriate values of viscosity and boiling temperatures, it is possible to modulate the nanoparticles size. Most of the deposited nanostructured films were tested as sensing elements for gas sensors.

  14. Structural, morphological and optical properties of thermal annealed TiO thin films

    International Nuclear Information System (INIS)

    Zribi, M.; Kanzari, M.; Rezig, B.

    2008-01-01

    Structural, morphological and optical properties of TiO thin films grown by single source thermal evaporation method were studied. The films were annealed from 300 to 520 deg. C in air after evaporation. Qualitative film analysis was performed with X-ray diffraction, atomic force microscopy and optical transmittance and reflectance spectra. A correlation was established between the optical properties, surface roughness and growth morphology of the evaporated TiO thin films. The X-ray diffraction spectra indicated the presence of the TiO 2 phase for the annealing temperature above 400 deg. C

  15. Factors affecting color strength of printing on film-coated tablets by UV laser irradiation: TiO2 particle size, crystal structure, or concentration in the film, and the irradiated UV laser power.

    Science.gov (United States)

    Hosokawa, Akihiro; Kato, Yoshiteru

    2011-08-01

    The purpose of this article is to study factors affecting color strength of printing on film-coated tablets by ultraviolet (UV) laser irradiation: particle size, crystal structure, or concentration of titanium dioxide (TiO2) in film, and irradiated UV laser power. Hydroxypropylmethylcellulose films containing 4.0% of TiO2, of which BET particle sizes were ranging from 126.1 to 219.8 nm, were irradiated 3.14W of UV laser at a wavelength 355 nm to study effects of TiO2 particle size and crystal structure on the printing. The films containing TiO2 concentration ranging from 1.0 to 7.7% were irradiated 3.14 or 5.39W of the UV laser to study effect of TiO2 concentration on the printing. The film containing 4.0% of TiO2, was irradiated the UV laser up to 6.42W to study effect of the UV laser power on the printing. The color strength of the printed films was estimated by a spectrophotometer as total color difference (dE). Particle size, crystal structure, and concentration of TiO2 in the films did not affect the printing. In the relationship between the irradiated UV laser power and dE, there found an inflection point (1.6W). When the UV laser power was below 1.6W, the films were not printed. When it was beyond the point, total color difference increased linearly in proportion with the irradiated laser power. The color strength of the printing on film was not changed by TiO2 particle size, crystal structure, and concentration, but could be controlled by regulating the irradiated UV laser power beyond the inflection point.

  16. Effect of RF power and substrate temperature on physical properties of Zr0.8Sn0.2TiO4 films by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Hsu Cheng-Shing; Huang Cheng-Liang

    2001-01-01

    Physical properties of rf-sputtered crystalline (Zr 0.8 Sn 0.2 )TiO 4 (ZST) thin films deposited on n-type Si(100) substrates at different rf powers and substrate temperatures have been investigated. The structural and morphological characteristics analyzed by X-ray diffraction (XRD) and scanning electron microscopy (SEM) were found to be sensitive to deposition conditions, such as rf power from 300 W to 400 W and substrate temperature (400degC, 450degC). Highly oriented ZST (111) and (002) perpendicular to the substrate surface were identified at a rf power of 400 W and a substrate temperature of 450degC. The selected-area diffraction pattern showed that the deposited films exhibited a polycrystalline microstructure. The grain size as well as the deposition rate of the film increased with the increase in both the rf power and the substrate temperature. The leakage current decreased with increasing rf power and substrate temperature. As rf power = 400 W and substrate temperature = 450degC, a leakage current of 7.2x10 -11 A was obtained at 1 V. (author)

  17. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  18. Role of yttria-stabilized zirconia produced by ion-beam-assisted deposition on the properties of RuO2 on SiO2/Si

    International Nuclear Information System (INIS)

    Jia, Q.X.; Arendt, P.; Groves, J.R.; Fan, Y.; Roper, J.M.; Foltyn, S.R.

    1998-01-01

    Highly conductive biaxially textured RuO 2 thin films were deposited on technically important SiO 2 /Si substrates by pulsed laser deposition, where yttria-stabilized zirconia (YSZ) produced by ion-beam-assisted-deposition (IBAD) was used as a template to enhance the biaxial texture of RuO 2 on SiO 2 /Si. The biaxially oriented RuO 2 had a room-temperature resistivity of 37 μΩ-cm and residual resistivity ratio above 2. We then deposited Ba 0.5 Sr 0.5 TiO 3 thin films on RuO 2 /IBAD-YSZ/SiO 2 /Si. The Ba 0.5 Sr 0.5 TiO 3 had a pure (111) orientation normal to the substrate surface and a dielectric constant above 360 at 100 kHz. copyright 1998 Materials Research Society

  19. The effect of bulk/surface defects ratio change on the photocatalysis of TiO2 nanosheet film

    Science.gov (United States)

    Wang, Fangfang; Ge, Wenna; Shen, Tong; Ye, Bangjiao; Fu, Zhengping; Lu, Yalin

    2017-07-01

    The photocatalysis behavior of TiO2 nanosheet array films was studied, in which the ratio of bulk/surface defects were adjusted by annealing at different temperature. Combining positron annihilation spectroscopy, EPR and XPS, we concluded that the bulk defects belonged to Ti3+ related vacancy defects. The results show that the separation efficiency of photogenerated electrons and holes could be significantly improved by optimizing the bulk/surface defects ratio of TiO2 nanosheet films, and in turn enhancing the photocatalysis behaviors.

  20. Study on plasma pre-functionalized PVC film grafted with TiO2/PVP to improve blood compatible and antibacterial properties

    International Nuclear Information System (INIS)

    Suganya, Arjunan; Shanmugavelayutham, Gurusamy; Rodríguez, Carmen Serra

    2017-01-01

    Research into the design of new biopolymers/polymer functionalized with nanoparticles is of tremendous interest to the medical sector, particularly with regard to blood-contacting devices. In this present study, a steady blood compatible and active antibacterial coating was fabricated by the grafting of titanium dioxide (TiO 2 )/polyvinylpyyrolidone (PVP) onto a polyvinyl chloride (PVC) film surface via the direct-current glow discharge plasma method. To enhance the chemical interaction between TiO 2 /PVP and PVC, the surfaces of the PVC films were functionalized by different plasmas (air, argon, and oxygen) before coating. In this study, the plasma parameters were varied, such as treatment time of about 5–20 min for a constant power of 100 W, potential 300 V, and a constant gas pressure of 2 Pa for air, argon, and oxygen gas environment. Then, the different plasma treatments on the PVC films, TiO 2 /PVP were grafted using a simple dip-coating method. In addition, the TiO 2 /PVP-grafted PVC films were characterized by contact angle, attenuated total reflectance Fourier transform infrared spectroscopy, field-emission scanning electron microscope, and x-ray photo electron spectroscopy. Importantly, TiO 2 /PVP is grafted onto the PVC surface due to the plasma-based retained functionality and demonstrates adhesive efficiency, which was observed by XPS. The bio-stability of the TiO 2 /PVP-modified PVC film was evaluated by in vitro platelet activation analysis and protein adsorption analysis. Then, the antibacterial properties were evaluated by the agar diffusion method against Escherichia coli . The result reveals that the grafting of TiO 2 /PVP was slightly higher for the 15 min oxygen plasma-functionalized PVC, which significantly decreases the platelet adhesion and protein adsorption. Moreover, the antibacterial properties of the 15 min oxygen plasma-functionalized PVC with TiO 2 /PVP-grafted film is also greatly improved compared with an air- and argon

  1. Deodorisation effect of diamond-like carbon/titanium dioxide multilayer thin films deposited onto polypropylene

    International Nuclear Information System (INIS)

    Ozeki, K.; Hirakuri, K.K.; Masuzawa, T.

    2011-01-01

    Many types of plastic containers have been used for the storage of food. In the present study, diamond-like carbon (DLC)/titanium oxide (TiO 2 ) multilayer thin films were deposited on polypropylene (PP) to prevent flavour retention and to remove flavour in plastic containers. For the flavour removal test, two types of multilayer films were prepared, DLC/TiO 2 films and DLC/TiO 2 /DLC films. The residual gas concentration of acetaldehyde, ethylene, and turmeric compounds in bottle including the DLC/TiO 2 -coated and the DLC/TiO 2 /DLC-coated PP plates were measured after UV radiation, and the amount of adsorbed compounds to the plates was determined. The percentages of residual gas for acetaldehyde, ethylene, and turmeric with the DLC/TiO 2 coated plates were 0.8%, 65.2% and 75.0% after 40 h of UV radiation, respectively. For the DLC/TiO 2 /DLC film, the percentages of residual gas for acetaldehyde, ethylene and turmeric decreased to 34.9%, 76.0% and 85.3% after 40 h of UV radiation, respectively. The DLC/TiO 2 /DLC film had a photocatalytic effect even though the TiO 2 film was covered with the DLC film.

  2. Nanoscale TiO2 and Fe2O3 Architectures for Solar Energy Conversion Schemes

    Science.gov (United States)

    Sedach, Pavel Anatolyvich

    The direct conversion of sunlight into more useable forms of energy has the potential of alleviating the environmental and social problems associated with a dependence on fossil fuels. If solar energy is to be utilized en-masse, however, it must be inexpensive and widely available. In this vein, the focus of this thesis is on nanostructured materials relevant to solar energy conversion and storage. Specifically, this thesis describes the ambient sol-gel synthesis of titanium dioxide (Ti02) nanowires designed for enhanced charge-transfer in solar collection devices, and the synthesis of novel disordered metal-oxide (MOx) catalysts for water oxidation. The introductory chapter of this thesis gives an overview of the various approaches to solar energy conversion. Sol---gel reaction conditions that enable the growth of one-dimensional (1-D) anatase TiO2 nanostructures from fluorine-doped tin oxide (FTO) for photovoltaics (PVs) are described in the second chapter. The generation of these linear nanostructures in the absence of an external bias or template is achieved by using facile experimental conditions (e.g., acetic acid (HOAc) and titanium isopropoxide (Ti(OiPr)4) in anhydrous heptane). The procedure was developed by functionalizing base-treated substrates with Ti-oxide nucleation sites that serve as a foundation for the growth of linear Ti-oxide macromolecules, which upon calcination, render uniform films of randomly oriented anatase TiO2 nanowires. A systematic evaluation of how reaction conditions (e.g., solvent volume, stoichiometry of reagents, substrate base treatment) affect the generation of these TiO 2 films is presented. A photo-organic MO. deposition route (i.e., photochemical metal-organic deposition (PMOD)) used to deposit thin-films of amorphous iron oxide (a-Fe2O3) for water oxidation catalysis is detailed in third chapter. It is shown that the irradiation of a spin-coated metal-organic film produces a film of non-crystalline a-Fe203. It is shown

  3. Studies of surface morphology and optical properties of ZnO nanostructures grown on different molarities of TiO_2 seed layer

    International Nuclear Information System (INIS)

    Asib, N. A. M.; Afaah, A. N.; Aadila, A.; Khusaimi, Z.; Rusop, M.

    2016-01-01

    Titanium dioxide (TiO_2) seed layer was prepared by using sol-gel spin-coating technique, followed by growth of 0.01 M of Zinc oxide (ZnO) nanostructures by solution-immersion. The molarities of TiO_2 seed layer were varied from 1.1 M to 0.100 M on glass substrates. The nanostructures thin films were characterized by Field Emission Scanning Electrons Microscope (FESEM), Photoluminescence (PL) spectroscopy and Ultraviolet-Visible (UV-Vis) spectroscopy. FESEM images demonstrate that needle-like ZnO nanostructures are formed on all TiO_2 seed layer. The smallest diameter of needle-like ZnO nanostructures (90.3 nm) were deposited on TiO_2 seed layer of 0.100 M. PL spectra of the TiO_2: ZnO nanostructures thin films show the blue shifted emissions in the UV regions compared to the ZnO thin film. Meanwhile, UV-vis spectra of films display high absorption in the UV region and high trasparency in the visible region. The highest absorbance at UV region was recorded for sample which has 0.100 M of TiO_2 seed layer.

  4. CdTe and graphene co-sensitized TiO2 nanotube array photoanodes for protection of 304SS under visible light

    International Nuclear Information System (INIS)

    Li, Hong; Wang, Xiutong; Hou, Baorong; Zhang, Liang

    2015-01-01

    CdTe/graphene/TiO 2 films that served as photoanodes for cathodic protection application were prepared by an electrochemical deposition method. The deposition of graphene and CdTe nanoparticles (NPs) on the surface of the TiO 2 nanotubes was confirmed by scanning electron microscope and transmission electron microscopy. The composites exhibited high light absorption in both the UV and visible light region. The results indicated that TiO 2 nanotube photoelectrodes sensitized by 20-cycle graphene and 30-cycle CdTe NPs exhibited effective photocathodic protection properties for 304 stainless steel (304SS) under the visible-light illumination, with an photopotential of −750 mV versus saturated calomel electrode and a current density of 560 μA cm −2 . Due to the efficient photogenerated charge separation, the three-component CdTe/graphene/TiO 2 showed stronger photoresponse than pure TiO 2 under visible-light illumination. In summary, the CdTe/graphene could improve the photocathodic protection properties of TiO 2 films. (paper)

  5. High-Quality Fe-doped TiO2 films with Superior Visible-Light Performance

    DEFF Research Database (Denmark)

    Su, Ren; Bechstein, Ralf; Kibsgaard, Jakob

    2012-01-01

    We report on high-quality polycrystalline Fe-doped TiO2 (Fe–TiO2) porous films synthesized via one-step electrochemical oxidation. We demonstrate that delicate properties such as the impurity concentration and the microstructure that strongly influence the performance of the material for photovol...

  6. Preparation and optical properties of mesoporous TiO2 thin films by a two-step sol-gel technique

    International Nuclear Information System (INIS)

    Kartini, I.; Lu, G.Q.; Meredith, P.; Zhao, X.S.

    2002-01-01

    This paper concerns the preparation of mesoporous titania nanopowders and thin films for use in next generation photoelectrochemical solar cells. We have recently developed a novel method for preparing mesoporous TiO 2 powders using a Two-Step Sol-gel method (TSS). These materials have crystalline domains characteristic of anatase. The first step of the process involves the hydrolysis of titanium isopropoxide in a basic aqueous solution mediated by neutral surfactant. The solid product resulting from Step-1 is then treated in acidified ethanol solution containing a titanium precursor to yield anatase TiO 2 . The resultant powder exhibits a high surface area and large pore volume with uniform mesopores. Slurries made from the resultant powder of Steps 1 and 2 have been used to produce thin titania films on glass slides. The optical and structural properties of these films have been compared to the films made of a commercial titania (Degussa P25, BASF). We will discuss these properties with respect to the possible use of such mesoporous titania films as the wide band gap semiconductor in dye-sensitized nanocrystalline TiO 2 solar cells

  7. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    Science.gov (United States)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  8. Contribution of thickness dependent void fraction and TiSixOy interlayer to the optical properties of amorphous TiO2 thin films

    International Nuclear Information System (INIS)

    Zhang, Fan; Zhang, Rong-Jun; Zheng, Yu-Xiang; Xu, Zi-Jie; Zhang, Dong-Xu; Wang, Zi-Yi; Yu, Xiang; Chen, Liang-Yao

    2013-01-01

    The optical properties of TiO 2 thin films prepared by electron beam evaporation were studied by spectroscopic ellipsometry and analyzed quantitatively using effective medium approximation theory and an effective series capacitance model. The refractive indices of TiO 2 are essentially constant and approach to those of bulk TiO 2 for films thicker than 40 nm, but drop sharply with a decrease in thickness from 40 to 5.5 nm. This phenomenon can be interpreted quantitatively by the thickness dependence of the void fraction and interfacial oxide region. The optical band gaps calculated from Tauc law increase with an increase of film thickness, and can be attributed to the contribution of disorder effect. - Highlights: • Amorphous TiO 2 thin films fabricated on Si substrate by electron beam evaporation • The refractive index and band gap are obtained from spectroscopic ellipsometry. • The refractive index decreases with decreasing film thickness. • Effective medium approximation theory and effective series capacitance model introduced • A band gap increases gradually with an increase in film thickness

  9. Chemical synthesis of CdS onto TiO2 nanorods for quantum dot sensitized solar cells

    Science.gov (United States)

    Pawar, Sachin A.; Patil, Dipali S.; Lokhande, Abhishek C.; Gang, Myeng Gil; Shin, Jae Cheol; Patil, Pramod S.; Kim, Jin Hyeok

    2016-08-01

    A quantum dot sensitized solar cell (QDSSC) is fabricated using hydrothermally grown TiO2 nanorods and successive ionic layer adsorption and reaction (SILAR) deposited CdS. Surface morphology of the TiO2 films coated with different SILAR cycles of CdS is examined by Scanning Electron Microscopy which revealed aggregated CdS QDs coverage grow on increasing onto the TiO2 nanorods with respect to cycle number. Under AM 1.5G illumination, we found the TiO2/CdS QDSSC photoelectrode shows a power conversion efficiency of 1.75%, in an aqueous polysulfide electrolyte with short-circuit photocurrent density of 4.04 mA/cm2 which is higher than that of a bare TiO2 nanorods array.

  10. Thickness Dependent Optical Properties of Sol-gel based MgF2TiO2 Thin Films

    Directory of Open Access Journals (Sweden)

    Siddarth Krishnaraja Achar

    2018-04-01

    Full Text Available MgF2TiO2 thin films were prepared by cost effective solgel technique onto glass substrates and optical parameters were determined by envelope technique. Thin films were characterized by optical transmission spectroscopy in the spectral range 290 – 1000 nm. The refractive index, extinction coefficient, Optical thickness and band gap dependency on thickness were evaluated. Thickness dependency of thin films showed direct allowed transition with band gap of 3.66 to 3.73 eV.

  11. Transparent TiO2 nanowire networks via wet corrosion of Ti thin films for dye-sensitized solar cells

    Science.gov (United States)

    Shin, Eunhye; Jin, Saera; Hong, Jongin

    2017-09-01

    Transparent TiO2 nanowire networks were prepared by corrosion of Ti thin films on F-doped SnO2 glass substrates in an alkaline (potassium hydroxide: KOH) solution. The formation of the porous TiO2 nanostructures from the Ti thin films was thoroughly investigated. Dye-sensitized solar cells with a photoanode of 1.2-μm-thick nanowire networks exhibit an average optical transmittance of 40% in the visible light region and a power conversion efficiency of 1.0% under one sun illumination.

  12. Flow-Regulated Growth of Titanium Dioxide (TiO2 ) Nanotubes in Microfluidics.

    Science.gov (United States)

    Fan, Rong; Chen, Xinye; Wang, Zihao; Custer, David; Wan, Jiandi

    2017-08-01

    Electrochemical anodization of titanium (Ti) in a static, bulk condition is used widely to fabricate self-organized TiO 2 nanotube arrays. Such bulk approaches, however, require extended anodization times to obtain long TiO 2 nanotubes and produce only vertically aligned nanotubes. To date, it remains challenging to develop effective strategies to grow long TiO 2 nanotubes in a short period of time, and to control the nanotube orientation. Here, it is shown that the anodic growth of TiO 2 nanotubes is significantly enhanced (≈16-20 times faster) under flow conditions in microfluidics. Flow not only controls the diameter, length, and crystal orientations of TiO 2 nanotubes, but also regulates the spatial distribution of nanotubes inside microfluidic devices. Strikingly, when a Ti thin film is deposited on silicon substrates and anodized in microfluidics, both vertically and horizontally aligned (relative to the bottom substrate) TiO 2 nanotubes can be produced. The results demonstrate previously unidentified roles of flow in the regulation of growth of TiO 2 nanotubes, and provide powerful approaches to effectively grow long, oriented TiO 2 nanotubes, and construct hierarchical TiO 2 nanotube arrays on silicon-based materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Influence of surfactant and annealing temperature on optical properties of sol-gel derived nano-crystalline TiO2 thin films.

    Science.gov (United States)

    Vishwas, M; Sharma, Sudhir Kumar; Rao, K Narasimha; Mohan, S; Gowda, K V Arjuna; Chakradhar, R P S

    2010-03-01

    Titanium dioxide thin films have been synthesized by sol-gel spin coating technique on glass and silicon substrates with and without surfactant polyethylene glycol (PEG). XRD and SEM results confirm the presence of nano-crystalline (anatase) phase at an annealing temperature of 300 degrees C. The influence of surfactant and annealing temperature on optical properties of TiO(2) thin films has been studied. Optical constants and film thickness were estimated by Swanepoel's (envelope) method and by ellipsometric measurements in the visible spectral range. The optical transmittance and reflectance were found to decrease with an increase in PEG percentage. Refractive index of the films decreased and film thickness increased with the increase in percentage of surfactant. The refractive index of the un-doped TiO(2) films was estimated at different annealing temperatures and it has increased with the increasing annealing temperature. The optical band gap of pure TiO(2) films was estimated by Tauc's method at different annealing temperature. Copyright 2010 Elsevier B.V. All rights reserved.

  14. Preparation of Pb(Zr, Ti)O3 Thin Films by Plasma-Assisted Sputtering

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Suzuki, Kouji

    1999-09-01

    A novel plasma-assisted RF magnetron sputtering system with an immersed coil antenna between a target and a substrate was applied for preparing Pb(Zr, Ti)O3 (PZT) thin films. The antenna enabled the generation of inductively coupled plasma (ICP) independently of the target RF source. The plasma assisted by the antenna resulted in the changes of ion fluxes and these energy distributions irradiating to the substrate. The crystalline phase of the deposited PZT thin films was occupied by the perovskite phase depending on the antenna power. In addition, a high deposition rate, modified uniformity of film thickness, and a dense film structure with large columnar grains were obtained as a result of effects of the assisted plasma. The application of the plasma-assisted sputtering method may enable the preparation of PZT thin films that haveexcellent properties.

  15. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  16. The Effect of Annealing on the Structural and Optical Properties of Titanium Dioxide Films Deposited by Electron Beam Assisted PVD

    Directory of Open Access Journals (Sweden)

    Yaser M. Abdulraheem

    2013-01-01

    Full Text Available Titanium dioxide thin films were deposited on crystalline silicon substrates by electron beam physical vapor deposition. The deposition was performed under vacuum ranging from 10−5 to 10−6 Torr without process gases, resulting in homogeneous TiO2-x layers with a thickness of around 100 nm. Samples were then annealed at high temperatures ranging from 500°C to 800°C for 4 hours under nitrogen, and their structural and optical properties along with their chemical structure were characterized before and after annealing. The chemical and structural characterization revealed a substoichiometric TiO2-x film with oxygen vacancies, voids, and an interface oxide layer. It was found from X-ray diffraction that the deposited films were amorphous and crystallization to anatase phase occurred for annealed samples and was more pronounced for annealing temperatures above 700°C. The refractive index obtained through spectroscopic ellipsometry ranged between 2.09 and 2.37 in the wavelength range, 900 nm to 400 nm for the as-deposited sample, and jumped to the range between 2.23 and 2.65 for samples annealed at 800°C. The minimum surface reflectance changed from around 0.6% for the as-deposited samples to 2.5% for the samples annealed at 800°C.

  17. Surface characterization of poly(methylmethacrylate) based nanocomposite thin films containing Al2O3 and TiO2 nanoparticles

    International Nuclear Information System (INIS)

    Lewis, S.; Haynes, V.; Wheeler-Jones, R.; Sly, J.; Perks, R.M.; Piccirillo, L.

    2010-01-01

    Poly(methylmethacrylate) (PMMA) based nanocomposite electron beam resists have been demonstrated by spin coating techniques. When TiO 2 and Al 2 O 3 nanoparticles were directly dispersed into the PMMA polymer matrix, the resulting nanocomposites produced poor quality films with surface roughnesses of 322 and 402 nm respectively. To improve the surface of the resists, the oxide nanoparticles were encapsulated in toluene and methanol. Using the zeta potential parameter, it was found that the stabilities of the toluene/oxide nanoparticle suspensions were 7.7 mV and 19.4 mV respectively, meaning that the suspension was not stable. However, when the TiO 2 and Al 2 O 3 nanoparticles were encapsulated in methanol the zeta potential parameter was 31.9 mV and 39.2 mV respectively. Therefore, the nanoparticle suspension was stable. This method improved the surface roughness of PMMA based nanocomposite thin films by a factor of 6.6 and 6.4, when TiO 2 and Al 2 O 3 were suspended in methanol before being dispersed into the PMMA polymer.

  18. Photoluminescence study of trap-state defect on TiO2 thin films at different substrate temperature via RF magnetron sputtering

    Science.gov (United States)

    Abdullah, S. A.; Sahdan, M. Z.; Nafarizal, N.; Saim, H.; Bakri, A. S.; Cik Rohaida, C. H.; Adriyanto, F.; Sari, Y.

    2018-04-01

    This paper highlights the defect levels using photoluminescence spectroscopy of TiO2 thin films. The TiO2 were deposited by Magnetron Sputtering system with 200, 300, 400, and 500 °C substrate temperature on microscope glass substrate. The PL result shows profound effect of various substrate temperatures to defect levels of oxygen vacancies and Ti3+ at titanium interstitial site. Increasing temperature would minimize the oxygen vacancy defect, however Ti3+ shows otherwise. Green region of PL consist of trapped hole for oxygen vacancy, while red region of PL is trapped electron associated to structural defect Ti3+. Green PL is dominant peak at temperature 200 °C, indicating that oxygen vacancy is the main defect at this temperature. However, PL peak shows slightly same value for others samples indicating that the temperature did not give high influence to other level of defect after 200 °C.

  19. Preparation and properties of TiO2 films by complexing agent-assisted sol-gel method. Yuki haiishi wo mochiita sol gel ho ni yoru TiO2 usumaku no sakusei to seishitsu

    Energy Technology Data Exchange (ETDEWEB)

    Nishide, T [Nissan Motor Co. Ltd., Tokyo (Japan); Mizukami, F [National Chemical Laboratory for Industry, Tsukuba (Japan)

    1992-09-01

    In order to control optical properties of TiO2 thin films, the TiO2 films were prepared by the sol-gel method using glycols or their ether derivatives as organic ligands to study the effect of the organic ligands on the refractive indices and crystal phases. Samples were prepared as follows: Organic ligand was added into the ethanol solution of Ti(O-iso-pr) 4, and aqueous nitric acid solution was added after reflux, and sol obtained by subsequent reflux was applied on soda lime glass or silicon wafer substrates, which were baked at 400 to 900 centigrade. Three kinds of ligand such as 2-(2-methoxyethoxy) ethanol were used. Measured items are the film thickness, thermal characteristics, crystal phase and refractive indices of thin films. The key points are as follows: In case of TiO2 thin films on the soda lime glass substrate, the changing pattern of refractive indices by temperature changes with the kind of ligand used and further the peak strength of anatase changes with the kind of ligand used. In case of silicon wafer substrates, the peak strength of anatase and rutile changes with the kind of ligand. The refractive indices and crystal phase can be controlled by properly using the ligand. 7 refs., 11 figs.

  20. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; Wanninayake, Namal; Browning, James F.; Strzalka, Joseph; Kim, Doo Young; Rankin, Stephen E.

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible light absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.

  1. TiO2 coating promotes human mesenchymal stem cell proliferation without the loss of their capacity for chondrogenic differentiation

    International Nuclear Information System (INIS)

    Kaitainen, Salla; Lappalainen, Reijo; Mähönen, Anssi J; J Lammi, Mikko; Qu, Chengjuan; Kröger, Heikki

    2013-01-01

    Human mesenchymal stem cells (hMSCs) are used in applications, which may require a large amount of cells; therefore, efficient expansion of the cells is desired. We studied whether TiO 2 coating on plastic cell culture dishes could promote proliferation of hMSCs without adverse effects in chondrogenic differentiation. TiO 2 -films were deposited on polystyrene dishes and glass coverslips using an ultrashort pulsed laser deposition technique. Human MSCs from three donors were expanded on them until 95% confluence, and the cells were evaluated by morphology, immunocytochemistry and quantitative RT-PCR (qRT-PCR). The chondrogenic differentiation in pellets was performed after cultivation on TiO 2 -coated dishes. Chondrogenesis was evaluated by histological staining of proteoglycans and type II collagen, and qRT-PCR. Human MSC-associated markers STRO-1, CD44, CD90 and CD146 did not change after expansion on TiO 2 -coated coverslips. However, the cell number after a 48h-culture period was significantly higher on TiO 2 -coated culture dishes. Importantly, TiO 2 coating caused no significant differences in the proteoglycan and type II collagen staining of the pellets, or the expression of chondrocyte-specific genes in the chondrogenesis assay. Thus, the proliferation of hMSCs could be significantly increased when cultured on TiO 2 -coated dishes without weakening their chondrogenic differentiation capacity. The transparency of TiO 2 -films allows easy monitoring of the cell growth and morphology under a phase-contrast microscope. (paper)

  2. Ultrasonic Spray-Coating of Large-Scale TiO2 Compact Layer for Efficient Flexible Perovskite Solar Cells

    Directory of Open Access Journals (Sweden)

    Peng Zhou

    2017-02-01

    Full Text Available Flexible electronics have attracted great interest in applications for the wearable devices. Flexible solar cells can be integrated into the flexible electronics as the power source for the wearable devices. In this work, an ultrasonic spray-coating method was employed to deposit TiO2 nanoparticles on polymer substrates for the fabrication of flexible perovskite solar cells (PSCs. Pre-synthesized TiO2 nanoparticles were first dispersed in ethanol to prepare the precursor solutions with different concentrations (0.5 mg/mL, 1.0 mg/mL, 2.0 mg/mL and then sprayed onto the conductive substrates to produce compact TiO2 films with different thicknesses (from 30 nm to 150 nm. The effect of the different drying processes on the quality of the compact TiO2 film was studied. In order to further improve the film quality, titanium diisopropoxide bis(acetylacetonate (TAA was added into the TiO2-ethanol solution at a mole ratio of 1.0 mol % with respect to the TiO2 content. The final prepared PSC devices showed a power conversion efficiency (PCE of 14.32% based on the indium doped tin oxide coated glass (ITO-glass substrate and 10.87% on the indium doped tin oxide coated polyethylene naphthalate (ITO-PEN flexible substrate.

  3. Annealing time dependence of the physical, electrical and pH response characteristics of spin coated TiO2 thin films

    International Nuclear Information System (INIS)

    Zulkefle, M A; Rahman, R A; Yusoff, K A; Herman, S H; Abdullah, W F H; Rusop, M

    2015-01-01

    Titanium dioxide (TiO 2 ) thin film was deposited on indium tin oxide (ITO) substrate and used as sensing membrane of EGFET pH sensor. The thin film was fabricated using sol- gel spin coating method. All samples were annealed at 400 °C but the annealing time was varied. This is done to study the effects of annealing time on physical and electrical properties of titanium dioxide thin film. The sensitivity of each sample towards H + ion was measured and result shows that sample annealed for 45 minutes has the highest sensitivity (52.6 mV/pH). It is found that increasing annealing duration will increase the pH sensitivity but a limit will be reached at certain point. Longer annealing processes done beyond this point will results in lower pH sensitivity. (paper)

  4. Degradation of organic dyes using spray deposited nanocrystalline stratified WO3/TiO2 photoelectrodes under sunlight illumination

    Science.gov (United States)

    Hunge, Y. M.; Yadav, A. A.; Mahadik, M. A.; Bulakhe, R. N.; Shim, J. J.; Mathe, V. L.; Bhosale, C. H.

    2018-02-01

    The need to utilize TiO2 based metal oxide hetero nanostructures for the degradation of environmental pollutants like Rhodamine B and reactive red 152 from the wastewater using stratified WO3/TiO2 catalyst under sunlight illumination. WO3, TiO2 and stratified WO3/TiO2 catalysts were prepared by a spray pyrolysis method. It was found that the stratified WO3/TiO2 heterostructure has high crystallinity, no mixed phase formation occurs, strong optical absorption in the visible region of the solar spectrum, and large surface area. The photocatalytic activity was tested for degradation of Rhodamine B (Rh B) and reactive red 152 in an aqueous medium. TiO2 layer in stratified WO3/TiO2 catalyst helps to extend its absorption spectrum in the solar light region. Rh B and Reactive red 152is eliminated up to 98 and 94% within the 30 and 40 min respectively at optimum experimental condition by stratified WO3/TiO2. Moreover, stratified WO3/TiO2 photoelectrode has good stability and reusability than individual TiO2 and WO3 thin film in the degradation of Rh B and reactive red 152. The photoelectrocatalytic experimental results indicate that stratified WO3/TiO2 photoelectrode is a promising material for dye removal.

  5. Improved performance of dye-sensitized solar cell based on TiO_2 photoanode with FTO glass and film both treated by TiCl_4

    International Nuclear Information System (INIS)

    Li, Jinlun; Zhang, Haiyan; Wang, Wenguang; Qian, Yannan; Li, Zhenghui

    2016-01-01

    The dye-sensitized solar cell (DSSC) based on TiO_2 photoanode with FTO glass and TiO_2 film co-treated by TiCl_4 were fabricated. The effects of TiCl_4 treatment on the photovoltaic performance of the DSSCs were investigated. TiCl_4 treatment of the FTO glass resulted in the formation of a compact TiO_2 thin layer on its surface, which could increase the electron collection efficiency. Meanwhile, TiCl_4 treatment of the TiO_2 film could fill gaps between nanoparticles in the TiO_2 film, leading to better electron transfer. These advantages make the DSSC exhibit a highest conversion efficiency of 3.34% under a simulated solar irradiation with an intensity of 100 mW/cm"2 (1 sun), increased by 38% compared with that of the untreated DSSC.

  6. Photoelectrochemical properties of the TiO2-ZnO nanorod hierarchical structure prepared by hydrothermal process

    Directory of Open Access Journals (Sweden)

    Bao SUN

    2018-02-01

    Full Text Available In order to increase the transport channels of the photogenerated electrons and enhance the photosensitizer loading ability of the electrode, a new TiO2-ZnO nanorod hierarchical structure is prepared through two-step hydrothermal process. First, TiO2 nanorod array is grown on the FTO conductive glass substrate by hydrothermal proess. Then, ZnO sol is coated onto the TiO2 nanorods through dip-coating method and inverted to ZnO seed layer by sintering. Finally, the secondary ZnO nanorods are grown onto the TiO2 nanorods by the sencond hydrothermal method to form the designed TiO2-ZnO nanorod hierarchical structure. A spin-coating assisted successive ionic layer reaction method (SC-SILR is used to deposit the CdS nanocrystals into the TiO2 nanorod array and the TiO2-ZnO nanorod hierarchical structure is used to form the CdS/TiO2 and CdS/TiO2-ZnO nanocomposite films. Different methods, such as SEM, TEM, XRD, UV-Vis and transient photocurrent, are employed to characterize and measure the morphologies, structures, light absorption and photoelectric conversion performance of all the samples, respectively. The results indicate that, compared with the pure TiO2 nanorod array, the TiO2-ZnO nanorod hierarchical structure can load more CdS photosensitizer. The light absorption properties and transient photocurrent performance of the CdS/TiO2-ZnO nanorod hierarchical structure composite film are evidently superior to that of the CdS/TiO2 nanocomposite films. The excellent photoelctrochemical performance of theTiO2-ZnO hierarchical structure reveales its application prospect in photoanode material of the solar cells.

  7. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  8. Development of Cotton Fabrics with Durable UV Protective and Self-cleaning Property by Deposition of Low TiO2 Levels through Sol-gel Process.

    Science.gov (United States)

    Mishra, Anu; Butola, Bhupendra Singh

    2018-01-19

    In this article, the deposition of TiO 2 on cotton fabric using sol-gel technique has been described. Various process routes (pad-dry-cure, pad-dry-hydrothermal and pad-dry-solvothermal) were examined to impart a stable coating of TiO 2 on fabric. The role of precursor concentration, process temperature and time of treatment were studied to aim at a wash durable, UV protective and self-cleaning property in the treated fabric. EDX and ICP-MS techniques were used to examine the add-on percentage of TiO 2 on cotton fabrics treated via different routes. It has been found that the TiO 2 remains largely amorphous and nondurable if it is given a short thermal treatment. To convert the deposited TiO 2 to its anatase crystal form, a prolonged hydrothermal treatment for at least 3 h needs to be given. TiO 2 deposition levels of less than 0.1% were found to be effective in imparting reasonable degree of UV protection and self-cleaning property to the cotton fabric. The self-cleaning ability of the treated fabric against coffee stain was also studied and was found to be related to the process route and the deposition levels of TiO 2 . © 2018 The American Society of Photobiology.

  9. A Humidity Sensor Based on Nb-doped Nanoporous TiO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Mansoor Anbia

    2011-11-01

    Full Text Available The humidity sensing properties of the sensor fabricated from Nb-doped nanoporous TiO2 by screen-printing on the alumina substrate with Ag-Pd interdigital electrodes have been investigated. The nanoporous thin film has been prepared by sol-gel technique. The product has been characterized by X-ray diffraction and scanning electron microscopy to analyze the structure and its morphology. It is found that the impedance of this sensor changes more than four orders of magnitude in the relative humidity (RH range of 11–95 % at 25 °C. The response and recovery time of the sensor are about 19 and 25 s, respectively, during the RH variation from 11 to 95 %. The sensor shows high humidity sensitivity, rapid response and recovery, prominent stability, good repeatability and narrow hysteresis loop. These results indicate that Nb-doped nanoporous TiO2 thin films have a great potential for humidity sensing applications in room temperature operations.

  10. Ion assisted deposition of refractory oxide thin film coatings for improved optical and structural properties

    International Nuclear Information System (INIS)

    Sahoo, N.K.; Thakur, S.; Bhattacharyya, D.; Das, N.C.

    1999-03-01

    Ion assisted deposition technique (IAD) has emerged as a powerful tool to control the optical and structural properties of thin film coatings. Keeping in view the complexity of the interaction of ions with the films being deposited, sophisticated ion sources have been developed that cater to the need of modern optical coatings with stringent spectral and environmental specifications. In the present work, the results of ion assisted deposition (IAD) of two commonly used refractory oxides, namely TiO 2 and ZrO 2 , using cold cathode ion source (CC-102R) are presented. Through successive feedback and calibration techniques, various ion beams as well as deposition parameters have been optimized to achieve the best optical and structural film properties in the prevalent deposition geometry of the coating system. It has been possible to eliminate the unwanted optical and structural inhomogeneities from these films using and optimized set of process parameters. Interference modulated spectrophotometric and phase modulated ellipsometric techniques have been very successfully utilized to analyze the optical and structural parameters of the films. Several precision multilayer coatings have been developed and are being used for laser and spectroscopic applications. (author)

  11. Strategies to prepare TiO2 thin films, doped with transition metal ions, that exhibit specific physicochemical properties to support osteoblast cell adhesion and proliferation

    International Nuclear Information System (INIS)

    Dhayal, Marshal; Kapoor, Renu; Sistla, Pavana Goury; Pandey, Ravi Ranjan; Kar, Satabisha; Saini, Krishan Kumar; Pande, Gopal

    2014-01-01

    Metal ion doped titanium oxide (TiO 2 ) thin films, as bioactive coatings on metal or other implantable materials, can be used as surfaces for studying the cell biological properties of osteogenic and other cell types. Bulk crystallite phase distribution and surface carbon–oxygen constitution of thin films, play an important role in determining the biological responses of cells that come in their contact. Here we present a strategy to control the polarity of atomic interactions between the dopant metal and TiO 2 molecules and obtain surfaces with smaller crystallite phases and optimal surface carbon–oxygen composition to support the maximum proliferation and adhesion of osteoblast cells. Our results suggest that surfaces, in which atomic interactions between the dopant metals and TiO 2 were less polar, could support better adhesion, spreading and proliferation of cells. - Highlights: • Electrochemical properties of dopants control the nature of TiO 2 thin films. • A model explains the correlation of dopant properties and behaviour of TiO 2 films. • Dopants with less polar interaction with TiO 2 exhibit better biological activity

  12. Highly photocatalytic TiO_2 interconnected porous powder fabricated by sponge-templated atomic layer deposition

    International Nuclear Information System (INIS)

    Pan, Shengqiang; Zhao, Yuting; Huang, Gaoshan; Li, Menglin; Mei, Yongfeng; Wang, Jiao; Zheng, Lirong; Baunack, Stefan; Schmidt, Oliver G; Gemming, Thomas

    2015-01-01

    A titanium dioxide (TiO_2) interconnected porous structure has been fabricated by means of atomic layer deposition of TiO_2 onto a reticular sponge template. The obtained freestanding TiO_2 with large surface area can be easily taken out of the water to solve a complex separation procedure. A compact and conformal nanocoating was evidenced by morphologic characterization. A phase transition, as well as production of oxygen vacancies with increasing annealing temperature, was detected by x-ray diffraction and x-ray photoelectron spectroscopy, respectively. The photocatalytic experimental results demonstrated that the powder with appropriate annealing treatment possessed excellent photocatalytic ability due to the co-action of high surface area, oxygen vacancies and the optimal crystal structure. (paper)

  13. Hybrid Solar Cell with TiO2 Film: BBOT Polymer and Copper Phthalocyanine as Sensitizer

    Directory of Open Access Journals (Sweden)

    Saptadip Saha

    2016-01-01

    Full Text Available An organic-inorganic hybrid solar cell was fabricated using Titanium dioxide (TiO2: 2,5-bis(5-tert-butyl-2-benzoxazolyl thiophene (BBOT film and Copper Phthalocyanine (CuPc as a sensitizer. BBOT was used in photodetector in other reported research works, but as per best of our knowledge, it was not implemented in solar cells till date. The blend of TiO2: BBOT blend was used to fabricate the film on ITO-coated glass and further a thin layer of CuPc was coated on the film. This was acted as photoanode and another ITO coated glass with a platinum coating was used as a counter electrode (cathode. An optimal blend of acetonitrile (solvent (50-100%, 1,3-dimethylimidazolium iodide (10-25%, iodine (2.5-10% and lithium iodide, pyridine derivative and thiocyanate was used as electrolytes in the hybrid solar cell. The different structural, optical and electrical characteristics were measured. The Hybrid solar cell showed a maximum conversion efficiency of 6.51%.

  14. ALD TiO2 thin film as dielectric for Al/p-Si Schottky diode

    Indian Academy of Sciences (India)

    Abstract. Electrical analysis of Al/p-Si Schottky diode with titanium dioxide (TiO2) thin film was performed at ..... This work was partially supported by The Management Unit of Scientific Research Project of Bozok University and Hitit. University.

  15. The Effect of Titanium Dioxide (TiO2) Nanoparticles on Hydroxyapatite (HA)/TiO2 Composite Coating Fabricated by Electrophoretic Deposition (EPD)

    Science.gov (United States)

    Amirnejad, M.; Afshar, A.; Salehi, S.

    2018-05-01

    Composite coatings of Hydroxyapatite (HA) with ceramics, polymers and metals are used to modify the surface structure of implants. In this research, HA/TiO2 composite coating was fabricated by electrophoretic deposition (EPD) on 316 stainless steel substrate. HA/TiO2 composite coatings with 5, 10 and 20 wt.% of TiO2, deposited at 40 V and 90 s as an optimum condition. The samples coated at this condition led to an adherent, continuous and crack-free coating. The influence of TiO2 content was studied by performing different characterization methods such as scanning electron microscopy (SEM), energy dispersive x-ray spectroscopy (EDS), corrosion resistance in simulated body fluid (SBF), coating's dissolution rate in physiological solution and bond strength to the substrate. The results showed that the higher amount of TiO2 in the composite coating led to increase in bond strength of coating to stainless steel substrate from 3 MPa for HA coating to 5.5 MPa for HA-20 wt.% TiO2 composite coating. In addition, it caused to reduction of corrosion current density of samples in the SBF solution from 18.92 μA/cm2 for HA coating to 6.35 μA/cm2 for HA-20 wt.% TiO2 composite coating.

  16. The Effect of Titanium Dioxide (TiO2) Nanoparticles on Hydroxyapatite (HA)/TiO2 Composite Coating Fabricated by Electrophoretic Deposition (EPD)

    Science.gov (United States)

    Amirnejad, M.; Afshar, A.; Salehi, S.

    2018-04-01

    Composite coatings of Hydroxyapatite (HA) with ceramics, polymers and metals are used to modify the surface structure of implants. In this research, HA/TiO2 composite coating was fabricated by electrophoretic deposition (EPD) on 316 stainless steel substrate. HA/TiO2 composite coatings with 5, 10 and 20 wt.% of TiO2, deposited at 40 V and 90 s as an optimum condition. The samples coated at this condition led to an adherent, continuous and crack-free coating. The influence of TiO2 content was studied by performing different characterization methods such as scanning electron microscopy (SEM), energy dispersive x-ray spectroscopy (EDS), corrosion resistance in simulated body fluid (SBF), coating's dissolution rate in physiological solution and bond strength to the substrate. The results showed that the higher amount of TiO2 in the composite coating led to increase in bond strength of coating to stainless steel substrate from 3 MPa for HA coating to 5.5 MPa for HA-20 wt.% TiO2 composite coating. In addition, it caused to reduction of corrosion current density of samples in the SBF solution from 18.92 μA/cm2 for HA coating to 6.35 μA/cm2 for HA-20 wt.% TiO2 composite coating.

  17. Studies on the performance of TiO2 thin films as protective layer to chlorophyll in Ocimum tenuiflorum L from UV radiation

    International Nuclear Information System (INIS)

    Malliga, P.; Selvi, B. Karunai; Pandiarajan, J.; Prithivikumaran, N.; Neyvasagam, K.

    2015-01-01

    Thin films of TiO 2 were prepared on glass substrates using sol-gel dip coating technique. The films with 10 coatings were prepared and annealed at temperatures 350°C, 450°C and 550°C for 1 hour in muffle furnace. The annealed films were characterized by X – Ray diffraction (XRD), UV – Visible, AFM, Field Effect Scanning Electron Microscopy (FESEM) and EDAX studies. Chlorophyll has many health benefits due to its structural similarity to human blood and its good chelating ability. It has antimutagenic and anticarcinogenic properties. UV light impairs photosynthesis and reduces size, productivity, and quality in many of the crop plant species. Increased exposure of UV light reduces chlorophyll contents a, b and total content in plants. Titanium Dioxide (TiO 2 ) is a wide band gap semiconductor and efficient light harvester. TiO 2 has strong UltraViolet (UV) light absorbing capability. Here, we have studied the performance of TiO 2 thin films as a protective layer to the chlorophyll contents present in medicinal plant, tulsi (Ocimum tenuiflorum L) from UV radiation. The study reveals that crystallite size increases, transmittance decreases and chlorophyll contents increases with increase in annealing temperature. This study showed that TiO 2 thin films are good absorber of UV light and protect the chlorophyll contents a, b and total content in medicinal plants

  18. Investigation of E. coli bacteria inactivation by photocatalytic activity of TiO2 coated expanded polystyrene foam

    Science.gov (United States)

    Varnagiris, S.; Sakalauskaite, S.; Tuckute, S.; Lelis, M.; Daugelavicius, R.; Milcius, D.

    2017-03-01

    Photocatalytic properties of anatase and other TiO2 polymorphs are widely researched and applied in practical application. In current study TiO2 films on the plasma pre-treated expanded polystyrene (EPS) foam were deposited using magnetron sputtering technique. Main properties of the films were characterised using combination of XRD, XPS and SEM techniques. Photocatalytic properties of the observed crystalline anatase phase were tested by investigating bleaching of the methylene blue (MB) aqueous solution and by testing Escherichia coli (E. coli) viability after incubation under UV-B irradiation. E. coli viability experiments indicated that there are two mechanisms of E. coli bacteria inactivation. UV irradiation alone causes rapid damage to the outer membrane of E. coli bacteria. The second mechanism of E. coli inactivation is invoked only with synergistic combination of TiO2 and UV. Acting as photocatalyst TiO2 generates active radicals who initiate the chain peroxidation of organic molecules and within 45 min reduce E. coli bacteria viability by nearly 90%.

  19. Novel Synthesis of the TiO2(B) Multilayer Templated Films

    Czech Academy of Sciences Publication Activity Database

    Procházka, Jan; Kavan, Ladislav; Zukalová, Markéta; Frank, Otakar; Kalbáč, Martin; Zukal, Arnošt; Klementová, Mariana; Carbone, D.; Graetzel, M.

    2009-01-01

    Roč. 21, č. 8 (2009), s. 1457-1464 ISSN 0897-4756 R&D Projects: GA MŠk LC510; GA AV ČR IAA400400804; GA AV ČR KAN200100801; GA AV ČR KAN100500652 Institutional research plan: CEZ:AV0Z40400503; CEZ:AV0Z40320502 Keywords : TiO2(B) * synthesis * films Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 5.368, year: 2009

  20. Effective charge collection in dye-sensitized nanocrystalline TiO2

    Science.gov (United States)

    Yanagida, Masatoshi; Numata, Youhei; Yoshimatsu, Keiichi; Satoh, Shin; Han, Liyuan

    2013-03-01

    The effective charge collection in dye-sensitized solar cells (DSCs) is an important factor to improve the efficiency. Here, we report the comparison of three types of structures in DSCs. One type of structure is a sandwich-type DSC (SW-DSC), in which the TiO2 film is sandwiched between a TCO glass front electron-collection electrode and a sputtered Ti back collection electrode. The second is a normal DSC (N-DSC), which has no back electrode. The third is a back-contact-type DSC (BC-DSC), in which a sputtered Ti back electrode is deposited on a TiO2 film on the opposite side of the normal glass as an optical window. The photocurrent response of an SW-DSC is the fastest of the three structures due to using intensity modulated photocurrent spectroscopy, which can be explained by the electron diffusion model. The model shows that the SW-DSC is a favorable structure for effective charge collection in DSCs.

  1. Effective charge collection in dye-sensitized nanocrystalline TiO2

    International Nuclear Information System (INIS)

    Yanagida, Masatoshi; Numata, Youhei; Yoshimatsu, Keiichi; Satoh, Shin; Han, Liyuan

    2013-01-01

    The effective charge collection in dye-sensitized solar cells (DSCs) is an important factor to improve the efficiency. Here, we report the comparison of three types of structures in DSCs. One type of structure is a sandwich-type DSC (SW-DSC), in which the TiO 2 film is sandwiched between a TCO glass front electron-collection electrode and a sputtered Ti back collection electrode. The second is a normal DSC (N-DSC), which has no back electrode. The third is a back-contact-type DSC (BC-DSC), in which a sputtered Ti back electrode is deposited on a TiO 2 film on the opposite side of the normal glass as an optical window. The photocurrent response of an SW-DSC is the fastest of the three structures due to using intensity modulated photocurrent spectroscopy, which can be explained by the electron diffusion model. The model shows that the SW-DSC is a favorable structure for effective charge collection in DSCs. (paper)

  2. Tailoring optical properties of TiO2-Cr co-sputtered films using swift heavy ions

    Science.gov (United States)

    Gupta, Ratnesh; Sen, Sagar; Phase, D. M.; Avasthi, D. K.; Gupta, Ajay

    2018-05-01

    Effect of 100 MeV Au7+ ion irradiation on structure and optical properties of Cr-doped TiO2 films has been studied using X-ray photoelectron spectroscopy, soft X-ray absorption spectroscopy, UV-Visible spectroscopy, X-ray reflectivity, and atomic force microscopy. X-ray reflectivity measurement implied that film thickness reduces as a function of ion fluence while surface roughness increases. The variation in surface roughness is well correlated with AFM results. Ion irradiation decreases the band gap energy of the film. Swift heavy ion irradiation enhances the oxygen vacancies in the film, and the extra electrons in the vacancies act as donor-like states. In valence band spectrum, there is a shift in the Ti3d peak towards lower energies and the shift is equivalent to the band gap energy obtained from UV spectrum. Evidence for band bending is also provided by the corresponding Ti XPS peak which exhibits a shift towards lower energy due to the downward band bending. X-ray absorption studies on O Kand Cr L3,2 edges clearly indicate that swift heavy ion irradiation induces formation of Cr-clusters in TiO2 matrix.

  3. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  4. Unraveling the charge transfer/electron transport in mesoporous semiconductive TiO2 films by voltabsorptometry.

    Science.gov (United States)

    Renault, Christophe; Nicole, Lionel; Sanchez, Clément; Costentin, Cyrille; Balland, Véronique; Limoges, Benoît

    2015-04-28

    In this work, we demonstrate that chronoabsorptometry and more specifically cyclic voltabsorptometry are particularly well suited techniques for acquiring a comprehensive understanding of the dynamics of electron transfer/charge transport within a transparent mesoporous semiconductive metal oxide film loaded with a redox-active dye. This is illustrated with the quantitative analysis of the spectroelectrochemical responses of two distinct heme-based redox probes adsorbed in highly-ordered mesoporous TiO2 thin films (prepared from evaporation-induced self-assembly, EISA). On the basis of a finite linear diffusion-reaction model as well as the establishment of the analytical expressions governing the limiting cases, it was possible to quantitatively analyse, predict and interpret the unusual voltabsorptometric responses of the adsorbed redox species as a function of the potential applied to the semiconductive film (i.e., as a function of the transition from an insulating to a conductive state or vice versa). In particular, we were able to accurately determine the interfacial charge transfer rates between the adsorbed redox species and the porous semiconductor. Another important and unexpected finding, inferred from the voltabsorptograms, is an interfacial electron transfer process predominantly governed by the extended conduction band states of the EISA TiO2 film and not by the localized traps in the bandgap. This is a significant result that contrasts those previously observed for dye-sensitized solar cells formed of randomly sintered TiO2 nanoparticles, a behaviour that was ascribed to a particularly low density of localized surface states in EISA TiO2. The present methodology also provides a unique and straightforward access to an activation-driving force relationship according to the Marcus theory, thus opening new opportunities not only to investigate the driving-force effects on electron recombination dynamics in dye-sensitized solar cells but also to study the

  5. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao

    2013-09-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing process of the films. The refractive index and bandgap of TiO2 films changed with the growth and annealing temperatures. The optimization of the annealing conditions for TiO2 films was also done by morphology and density studies. © 2013 Elsevier B.V. All rights reserved.

  6. CdS-sensitized TiO2 nanocorals: hydrothermal synthesis, characterization, application.

    Science.gov (United States)

    Mali, S S; Desai, S K; Dalavi, D S; Betty, C A; Bhosale, P N; Patil, P S

    2011-10-01

    Cadmium sulfide (CdS) nanoparticle-sensitized titanium oxide nanocorals (TNC) were synthesized using a two-step deposition process. The TiO(2) nanocorals were grown on the conducting glass substrates (FTO) using A hydrothermal process and CdS nanoparticles were loaded on TNC using successive ionic layer adsorption and reaction (SILAR) method. The TiO(2), CdS and TiO(2)-CdS samples were characterized by optical absorption, X-ray diffraction (XRD), FT-Raman, FT-IR, scanning electron microscopy (SEM) and contact angle. Further, their photoelectrochemical (PEC) performance was tested in NaOH, Na(2)S-NaOH-S and Na(2)S electrolytes, respectively. When CdS nanoparticles are coated on TNCs, the optical absorption is found to be enhanced and band edge is red-shifted towards visible region. The TiO(2)-CdS sample exhibits improved photoelectrochemical (PEC) performance with maximum short circuit current of (J(sc)) 1.04 mA cm(-2). After applying these TiO(2)-CdS electrodes in photovoltaic cells, the photocurrent was found to be enhanced by 2.7 and 32.5 times, as compared with those of bare CdS and TiO(2) nanocorals films electrodes respectively. Also, the power conversion efficiency of TiO(2)-CdS electrodes is 0.72%, which is enhanced by about 16 and 29 times for TiO(2), CdS samples. This journal is © The Royal Society of Chemistry and Owner Societies 2011

  7. Effect of Aging Time and Film Thickness on the Photoelectrochemical Properties of TiO2 Sol-Gel Photoanodes

    Directory of Open Access Journals (Sweden)

    D. Regonini

    2014-01-01

    Full Text Available This work has focused on the investigation of a non-aqueous based sol-gel process to produce TiO2 based photoelectrodes for solar water splitting. In particular, the effect of the aging time of the sol and TiO2 film thickness on the photoelectrochemical properties of the photoanodes has been investigated. In order to achieve optimal performances (i.e., photocurrent density up to 570 µA/cm2 and IPCE of 26% at 300 nm, the sol needs to be aged for 3 to 6 h, before being dip-coated to produce the photoanodes. The importance of the aging time can also be appreciated from the optical properties of the TiO2 films; the absorbance threshold of the sol-gel aged for 3–6 h is slightly shifted towards longer wavelenghts in comparison to 0 h aging. Aging is necessary to build up a well-interconnected sol-gel network which finally leads to a photoelectrode with optimized light absorption and electron collection properties. This is also confirmed by the higher IPCE signal of aged photoelectrodes, especially below 340 nm. Among thicknesses considered, there is no apparent significant difference in the photoresponse (photocurrent density and IPCE of the TiO2 sol-gel films.

  8. The Effects of Anchor Groups on (1) TiO2-Catalyzed Photooxidation and (2) Linker-Assisted Assembly on TiO2

    Science.gov (United States)

    Anderson, Ian Mark

    Quantum dot-sensitized solar cells (QDSSCs) are a popular target for research due to their potential for highly efficient, easily tuned absorption. Typically, light is absorbed by quantum dots attached to a semiconductor substrate, such as TiO2, via bifunctional linker molecules. This research aims to create a patterned monolayer of linker molecules on a TiO2 film, which would in turn allow the attachment of a patterned layer of quantum dots. One method for the creation of a patterned monolayer is the functionalization of a TiO2 film with a linker molecule, followed by illumination with a laser at 355 nm. This initiates a TiO 2-catalyzed oxidation reaction, causing loss of surface coverage. A second linker molecule can then be adsorbed onto the TiO2 surface in the illuminated area. Towards that end, the behaviors of carboxylic and phosphonic acids adsorbed on TiO2 have been studied. TiO2 films were functionalized by immersion in solutions a single adsorbate and surface coverage was determined by IR spectroscopy. It is shown that phosphonic acids attain higher surface coverage than carboxylic acids, and will displace them from TiO2 when in a polar solvent. Alkyl chain lengths, which can influence stabilities of monolayers, are shown not to have an effect on this relationship. Equilibrium binding data for the adsorption of n-hexadecanoic acid to TiO2 from a THF solution are presented. It is shown that solvent polarity can affect monolayer stability; carboxylates and phosphonates undergo more desorption into polar solvents than nonpolar. Through illumination, it was possible to remove nearly all adsorbed linkers from TiO2. However, the illuminated areas were found not to be receptive to attachment by a second adsorbate. A possible reason for this behavior is presented. I also report on the synthesis and characterization of a straight-chain, thiol-terminated phosphonic acid. Initial experiments involving monolayer formation and quantum dot attachment are presented

  9. PHOTO-ELECTROCHEMICAL QUANTUM EFFICIENCY OF TiO2 THIN FILMS : EFFECT OF CRISTAL STRUCTURE, PLASMA HYDROGENATION AND SURFACE PHOTOETCHING

    Directory of Open Access Journals (Sweden)

    E TEYAR

    2007-12-01

    Full Text Available The use of semi-conducting materials in the photoelectrochemical detoxification of water became a very important research field. For this purpose, TiO2 nanostructures thin films with size of 18 nm to 45nm have been synthesized at low temperature. It is found by means of cyclic voltametry and coulometry measurements that the best photoelectrochemical quantum efficiency under UV monochromatic light with a wavelength of 365 nm and a solution of NaOH 0.1N is obtained in the case of thermal oxidation deposition method which can reach 28% compared to ultrasonic spray and dip coating methods of which the quantum is less than 20%. The crystal structure has an influence on the photo-degradation of methanol. The crystal structure which is recommended for this task is the anatase one, especially in the dipping case when the quantum increases after addition of methanol more than twice compared to the solution of NaOH without methanol. The photoelectrochemical quantum efficiency of these films is related to the number of dips and annealing under air at 550°C during one hour. The annealing has no effect on the quantum efficiency of the films, but decreases there photocatalytic activity as showed by the measure of the photocurrent related to methanol photodegradation. The annealing has no effect on the crystal structure of the material. The impedance spectroscopy of six dips deposited films with and without methanol shows that the annealing increases the doping and weakly decreases the film quantum efficiency. This implies, the importance of surface morphology which the rough is decreasing as showed by scanning electron microscopy. The effect of the precursor concentration in ethanol have been investigated by using films, synthesized at T=550°C. The quantum efficiency increases weakly according the precursor concentration. It tends towards a saturation at great concentrations of precursor. In Na OH with methanol added, it passes by a maximum at

  10. Photoelectrocatalytic Degradation of Organic Pollutants in Aqueous Solution Using a Pt-TiO2 Film

    Directory of Open Access Journals (Sweden)

    Chun He

    2009-01-01

    Full Text Available A series of Pt-TiO2 films with nanocrystaline structure was prepared by a procedure of photodeposition and subsequent dip-coating. The Pt-TiO2 films were characterized by X-ray diffraction, scanning electronic microscope, electrochemical characterization to examine the surface structure, chemical composition, and the photoelectrochemical properties. The photocatalytic activity of the Pt-TiO2 films was evaluated in the photocatalytic (PC and photoelectrocatalytic (PEC degradation of formic acid in aqueous solution. Compared with a TiO2 film, the efficiency of formic acid degradation using the Pt-TiO2 films was significantly higher in both the PC and PEC processes. The enhancement is attributed to the action of Pt deposits on the TiO2 surface, which play a key role by attracting conduction band photoelectrons. In the PEC process, the anodic bias externally applied on the illuminated Pt-TiO2 films can further drive away the accumulated photoelectrons from the metal deposits and promote a process of interfacial charge transfer.

  11. A practical demonstration of water disinfection using TiO2 films and sunlight.

    Science.gov (United States)

    Gelover, Silvia; Gómez, Luis A; Reyes, Karina; Teresa Leal, Ma

    2006-10-01

    The scope of this study is the assessment of the efficiency of solar disinfection by heterogeneous photocatalysis with sol-gel immobilized (titanium dioxide) TiO2 films over glass cylinders. The solar disinfection process known as SODIS was considered as a reference. Spring water naturally polluted with coliform bacteria was exposed to sunlight in plastic bottles with and without TiO2 over simple solar collectors and the disinfection effectiveness was measured. Total and fecal coliforms quantification was performed by means of the chromogenic substrate method in order to obtain the efficiency of each disinfection treatment. The disinfection with TiO2 was more efficient than the SODIS process, inactivating total coliforms as well as fecal coliforms. On a sunny day (more than 1000 W m(-2) irradiance), it took the disinfection with immobilized TiO2 15 min of irradiation to inactivate the fecal coliforms to make them undetectable. For inactivation of total coliforms, 30 min was required, so that in less than half the time it takes SODIS, the treated water complies with the microbial standards for drinking water in Mexico. Another important part of this study has been to determine the bacterial regrowth in water after the disinfection processes were tested. After SODIS, bacterial regrowth of coliforms was observed. In contrast, when using the TiO2 catalyst, coliforms regrowth was not detected, neither for total nor for fecal coliforms. The disinfection process using TiO2 kept treated water free of coliforms at least for seven days after sun irradiation. This demonstration opens the possibility of application of this simple method in rural areas of developing countries.

  12. An efficient visible and UV-light-activated B–N-codoped TiO2 photocatalytic film for solar depollution prepared via a green method

    International Nuclear Information System (INIS)

    Xu Qingchi; Zhang Yan; He Ziming; Loo, Say Chye Joachim; Tan, Timothy Thatt Yang

    2012-01-01

    This work reports an efficient visible and UV-light-activated boron and nitrogen codoped TiO 2 porous film prepared via a “green” and direct coating approach. Such photocatalyst is highly promising for solar depollution application due to its efficient photocatalytic activities in both visible and UV spectrum. The preparation method avoids the use of organic solvents, which are usually more expensive and hazardous compared with water. Using stearic acid as the model organic pollutant, the visible-light photocatalytic activity of optimized porous B–N-codoped TiO 2 film (p-3B–N–TiO 2 ) is 3 times higher than that of porous N-doped TiO 2 (p-N–TiO 2 ) film, while its UV photocatalytic activity is almost double that of p-N–TiO 2 film and comparable to that of porous TiO 2 . The enhancement in photocatalytic activity is attributed to higher surface area due to the porous structure, improved visible-light absorption attributed to interstitially substituted boron atoms, and coexistence of boron and nitrogen dopants which may reduce Ti 3+ recombination centers.

  13. Hydroxyapatite/gelatin functionalized graphene oxide composite coatings deposited on TiO2 nanotube by electrochemical deposition for biomedical applications

    International Nuclear Information System (INIS)

    Yan, Yajing; Zhang, Xuejiao; Mao, Huanhuan; Huang, Yong; Ding, Qiongqiong; Pang, Xiaofeng

    2015-01-01

    Highlights: • Graphene oxide cross-linked gelatin was firstly employed as reinforcement fillers in hydroxyapatite coatings by electrochemical deposition process on TiO 2 nanotube arrays. • Gelatin functionalized graphene oxide induced the formation of hydroxyapatite coatings. • The success of gelatin and graphene oxide incorporation was evidenced with FTIR and XPS. • The synthesized composite coatings showed good biocompatibility and no adverse effect in cell culture tests. - Abstract: Graphene oxide cross-linked gelatin was employed as reinforcement fillers in hydroxyapatite coatings by electrochemical deposition process on TiO 2 nanotube arrays (TNs). The TNs were grown on titanium by electrochemical anodization in hydrofluoric electrolyte using constant voltage. Fourier transform infrared spectroscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Field emission scanning electron microscopy equipped with energy dispersive X-ray analysis and biological studies were used to characterize the coatings. The corrosion resistance of the coatings was also investigated by electrochemical method in simulated body fluid solution

  14. Structure, Morphology and Optical Properties of TiO2 Films Formed by Anodizing in a Mixed Solution of Citric Acid and Sulfamic Acid

    Science.gov (United States)

    Choudhary, R. K.; Sarkar, P.; Biswas, A.; Mishra, P.; Abraham, G. J.; Sastry, P. U.; Kain, V.

    2017-08-01

    TiO2 films of 50-180 nm thickness were formed at room temperature by anodization of titanium metal in a mixture of citric acid and sulfamic acid in the potential range of 5-30 V. The films so obtained were characterized for their crystal structure, surface morphology, chemical composition and optical properties. Grazing incidence x-ray diffraction and micro-laser Raman spectroscopy measurements of the anodic films confirmed the formation of brookite phase of TiO2 at anodizing potentials of 15, 20, 25 and 30 V and amorphous structure at 5 and 10 V. Field emission scanning electron microscopy revealed non-porous microstructure of the films. Spectroscopic ellipsometry measurements evaluated the band gap of TiO2 at around 3.3 eV, whereas the refractive index of the films was found to be in the range of 2-2.35, in the visible range of spectrum.

  15. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  16. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  17. Relationship between plasma parameters and film microstructure in radio frequency magnetron sputter deposition of barium strontium titanate

    Science.gov (United States)

    Panda, B.; Dhar, A.; Nigam, G. D.; Bhattacharya, D.; Ray, S. K.

    1998-01-01

    Radio frequency magnetron sputtered Ba0.8Sr0.2TiO3 thin films have been deposited on silicon and Si/SiO2/SiN/Pt substrates. The analysis of plasma discharge has been carried out using the Langmuir probe technique. Both the pressure and power have been found to influence the ion density and self-bias of the target. Introduction of oxygen into the discharge effectively decreases the ion density. The structural and electrical properties have been investigated using x-ray diffraction, atomic force microscopy of deposited films and capacitance-voltage, conductance-voltage, and current density-electric field characteristics of fabricated capacitors. The growth and orientation of the films have been found to depend upon the type of substrates and deposition temperatures. The texture in the film is promoted at a pressure 0.25 Torr with a moderately high value of ion density and low ion bombardment energy. Films deposited on Si/SiO2/SiN/Pt substrate have shown higher dielectric constant (191) and lower leakage current density (2.8×10-6 A/cm2 at 100 kV/cm) compared to that on silicon.

  18. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  19. Properties of Sn-doped TiO2 nanotubes fabricated by anodization of co-sputtered Ti–Sn thin films

    International Nuclear Information System (INIS)

    Kyeremateng, Nana Amponsah; Hornebecq, Virginie; Knauth, Philippe; Djenizian, Thierry

    2012-01-01

    Self-organized Sn-doped TiO 2 nanotubes (nts) were fabricated for the first time, by anodization of co-sputtered Ti and Sn thin films. This nanostructured material was characterized by scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, UV–vis spectroscopy and transmission electron microscopy. Due to their remarkable properties, Sn-doped TiO 2 nts can find potential applications in Li-ion microbatteries, photovoltaics, and catalysis. Particularly, the electrochemical performance as an anode material for Li-ion microbatteries was evaluated in Li test cells. With current density of 70 μA cm −2 (1 C) and cut-off potential of 1 V, Sn-doped TiO 2 nts showed improved performance compared to simple TiO 2 nts, and differential capacity plots revealed that the material undergoes full electrochemical reaction as a Rutile-type TiO 2 .

  20. Surface nanostructuring of TiO2 thin films by ion beam irradiation

    International Nuclear Information System (INIS)

    Romero-Gomez, P.; Palmero, A.; Yubero, F.; Vinnichenko, M.; Kolitsch, A.; Gonzalez-Elipe, A.R.

    2009-01-01

    This work reports a procedure to modify the surface nanostructure of TiO 2 anatase thin films through ion beam irradiation with energies in the keV range. Irradiation with N + ions leads to the formation of a layer with voids at a depth similar to the ion-projected range. By setting the ion-projected range a few tens of nanometers below the surface of the film, well-ordered nanorods appear aligned with the angle of incidence of the ion beam. Slightly different results were obtained by using heavier (S + ) and lighter (B + ) ions under similar conditions

  1. Nb and Ta Co-Doped TiO2 Transparent Conductive Thin Films by Magnetron Sputtering: Fabrication, Structure, and Characteristics

    Science.gov (United States)

    Liu, Yang; Peng, Qian; Qiao, Yadong; Yang, Guang

    2018-06-01

    Nb and Ta co-doped anatase titanium dioxide (NTTO) nanocrystalline thin films were deposited on quartz and Si (100) substrates by RF magnetron sputtering. The influence of RF power on the growth, structure, morphology, and properties of the samples are discussed in detail. X-ray diffraction measurements show that the films are polycrystalline with anatase tetragonal structure, which is further confirmed by Raman spectroscopy analysis. Meanwhile, Raman spectroscopy results indicate that the peak width of E g(1) mode, which is directly correlated to the carrier density, changes obviously with RF power. It is found that the substitution of Nb5+ and Ta5+ at Ti site is significantly improved with the increase of RF power from 150 W to 210 W. For the sample deposited at 210 W, the optical transmittance is above 82% in the visible range and the electrical resistivity is as low as 1.3 × 10-3 Ω cm with carrier density of 1.1 × 1021 cm-3 and Hall mobility of 4.5 cm2 V-1 s-1. The optical and electrical properties of NTTO thin films can be compared to those of Nb or Ta doped anatase TiO2. However, co-doping with Nb and Ta gives a possible platform to complement the limitations of each individual dopant.

  2. Effect of annealing temperature on electrical properties of poly (methyl methacrylate): titanium dioxide nanocomposite films using spin coating deposition technique

    International Nuclear Information System (INIS)

    Ismail, L N; Habibah, Z; Herman, S H; Rusop, M

    2014-01-01

    Nanocomposite poly (methyl methacrylate) :titanium dioxide (PMMA :TiO 2 ) film were deposited on glass substrate. The effect of annealing temperature, especially on electrical, dielectric and the morphological properties of the thin films were investigated by current-voltage (I-V) measurement, impedance spectroscopy, and FESEM. The annealing temperature is varies from 120°C, 140°C, 160°C, 180°C and 200°C. The electrical properties results showing when nanocomposite film annealed at '20°C produce the lowest current. Meanwhile, when the annealing temperature increased, the current increased drastically and this indicates the PMMA:TiO 2 nanocomposite film are no longer having insulating properties. The dielectric properties also indicate that film annealed at 120°C has the best dielectric properties compared to other temperature. The FESEM results show that as the temperature increased, the PMMA:TiO 2 nanocomposite film started to create a phase separation between the PMMA matrix and TiO 2 nanoparticles

  3. Structural and electrical characteristics of ZrO2-TiO2 thin films by sol-gel method

    International Nuclear Information System (INIS)

    Hsu, Cheng-Hsing; Tseng, Ching-Fang; Lai, Chun-Hung; Tung, Hsin-Han; Lin, Shih-Yao

    2010-01-01

    In this paper, we investigated electrical properties and microstructures of ZrTiO 4 (ZrO 2 -TiO 2 ) thin films prepared by the sol-gel method on ITO substrates at different annealing temperatures. All films exhibited ZrTiO 4 (1 1 1) and (1 0 1) orientations perpendicular to the substrate surface, and the grain size increased with increase in the annealing temperature. A low leakage current density of 2.06 x 10 -6 A/cm 2 was obtained for the prepared films. Considering the primary memory switching behavior of ZrTiO 4 , ReRAM based on ZrTiO 4 shows promise for future nonvolatile memory applications.

  4. Highly flexible self-standing film electrode composed of mesoporous rutile TiO2/C nanofibers for lithium-ion batteries

    International Nuclear Information System (INIS)

    Zhao Bote; Cai Rui; Jiang Simin; Sha Yujing; Shao Zongping

    2012-01-01

    There is increasing interest in flexible, safe, high-power thin-film lithium-ion batteries which can be applied to various modern devices. Although TiO 2 in rutile phase is highly attractive as an anode material of lithium-ion batteries for its high thermal stability and theoretical capacity of 336 mA h g −1 and low price, its inflexibility and sluggish lithium intercalation kinetics of bulk phase strongly limit its practical application for particular in thin-film electrode. Here we show a simple way to prepare highly flexible self-standing thin-film electrodes composed of mesoporous rutile TiO 2 /C nanofibers with low carbon content ( 2 in as-fabricated nanofibers. Big size (10 cm × 4 cm), flexible thin film is obtained after heat treatment under 10%H 2 –Ar at 900 °C for 3 h. After optimization, the diameter of fibers can reach as small as ∼110 nm, and the as-prepared rutile TiO 2 films show high initial electrochemical activity with the first discharge capacity as high as 388 mA h g −1 . What is more, very stable reversible capacities of ∼122, 92, and 70 mA h g −1 are achieved respectively at 1, 5 and 10 C rates with negligible decay rate within 100 cycling times.

  5. Synergistic reinforcing effect of TiO2 and montmorillonite on potato starch nanocomposite films: Thermal, mechanical and barrier properties.

    Science.gov (United States)

    Oleyaei, Seyed Amir; Almasi, Hadi; Ghanbarzadeh, Babak; Moayedi, Ali Akbar

    2016-11-05

    In this study, ternary potato starch (PS) bionanocomposite films containing two types of nanoparticles, sodium montmorillonite (MMT), one-dimensional (1D) clay platelets, (3 and 5wt%) and TiO2, three-dimensional (3D) nanospheres, (0.5, 1 and 2wt%), are prepared using solvent casting method. X-ray diffraction (XRD) test confirms the completely exfoliated structure formed in the PS-MMT nanocomposites containing 3 and 5% MMT. The success of the formation of new hydrogen bonds between the hydroxyl groups of starch and nanofillers is confirmed by Fourier transform infrared (FTIR) spectroscopy. Tensile strength (TS), elongation at break (EB), glass transition temperature (Tg), and melting point (Tm) of the films are also enhanced after MMT and TiO2 incorporation. The water vapor permeability (WVP) and the visible, UVA, UVB and UVC lights transmittance decreases upon TiO2 and MMT content increasing. Generally, a synergistic effect is observed between MMT and TiO2 at lower concentrations of MMT. Copyright © 2016 Elsevier Ltd. All rights reserved.

  6. Improved tribological properties of TiC with porous nanostructured TiO2 intermediate layer

    International Nuclear Information System (INIS)

    Shanaghi, Ali; Ahangarani, Shahrokh; Sabour Rouhaghdam, Ali Reza; Chu, Paul K.

    2011-01-01

    Highlights: ► The porous TiO 2 nanoparticle coating is deposited as an intermediate layer on steel. ► A homogenous and low friction TiC nanostructure coating is deposited by plasma CVD. ► Intermediate layer can be determined the nucleation and growth of the TiC coating. ► The porous interlayer improves the friction and wear of the TiC nanostructure coating. - Abstract: The mismatch in the thermal expansion coefficients between TiC coatings and steel substrates and residual stress in the TiC degrade the tribological properties. In this work, a porous nanostructured TiO 2 coating is deposited as an intermediate layer on hot-work steel (H 11 ) before final deposition of the TiC film. This intermediate layer is expected to reduce the interfacial energy, decreases the thermal mismatch between TiC and steel, and improves the tribological properties. Grazing incidence X-ray diffraction (GIXRD), X-ray photoelectron spectroscopy (XPS), scanning electron microscopy (SEM), atomic force microscopy (AFM), and pin-on-disk are used to study the structure as well as tribological properties such as friction, wear, and hardness. Our results reveal that the porous TiO 2 interlayer improves the friction, wear, hardness, and elastic modulus of the system.

  7. SURFACE MODIFICATION OF SEMICONDUCTOR THIN FILM OF TiO2 ON GRAPHITE SUBSTRATE BY Cu-ELECTRODEPOSITION

    Directory of Open Access Journals (Sweden)

    Fitria Rahmawati

    2010-06-01

    Full Text Available Surface modification of graphite/TiO2 has been done by mean of Cu electrodeposition. This research aims to study the effect of Cu electrodeposition on photocatalytic enhancing of TiO2. Electrodeposition has been done using CuSO4 0,4 M as the electrolyte at controlled current. The XRD pattern of modified TiO2 thin film on graphite substrate exhibited new peaks at 2θ= 43-44o and 2θ= 50-51o that have been identified as Cu with crystal cubic system, face-centered crystal lattice and crystallite size of 26-30 nm. CTABr still remains in the material as impurities. Meanwhile, based on morphological analysis, Cu particles are dissipated in the pore of thin film. Graphite/TiO2/Cu has higher photoconversion efficiency than graphite/TiO2.   Keywords: semiconductor, graphite/TiO2, Cu electrodeposition

  8. Photocatalytic and photoelectrochemical properties of sol–gel TiO2 films of controlled thickness and porosity

    Czech Academy of Sciences Publication Activity Database

    Krýsa, J.; Baudyš, M.; Zlámal, M.; Krýsová, Hana; Morozová, Magdalena; Klusoň, Petr

    2014-01-01

    Roč. 230, JUL 2014 (2014), s. 2-7 ISSN 0920-5861 Institutional support: RVO:61388955 ; RVO:67985858 Keywords : TiO2 film * Sol-gel * Thickness Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.893, year: 2014

  9. Electrodeposition of Ru in TiO_2 nanotubes: increase of photocatalytical activity and identification of deposition route

    International Nuclear Information System (INIS)

    Castelhano, Douglas Iafrate; Rodrigues, Christiane de Arruda; Bertazzoli, Rodnei

    2014-01-01

    TiO2 nanotubes are semiconductors widely used in heterogeneous photocatalysis processes. It has a band gap energy (E_b_g) of 3,2 eV and an photoactive crystalline structure (anatase). To increase the photocatalytic activity of this oxide, by lowering the Ebg and reduction of charge recombination rate, a modification of oxide crystalline layer was made with ruthenium, using electrochemical deposition at constant potential. TiO_2 layer was made by anodization process at 20V, followed by thermic treatment in 450 deg C and electrodeposition of Ru at constant potential. Voltammetric studies showed that Ru electrodeposition occurs in two stages, and in the second stage is the deposition of metallic Ru. Photocurrent studies showed that the amount of Ru in the oxide layer varies according with the applied potential and a significant increase of semiconductor activity is obtained with the introduction of small quantities of Ru, increasing at least 70% in current values. Morphological and crystallinity analysis were made using SEM and XRD. To identify and quantify Ru in TiO_2 was used WDS. (author)

  10. Exchange of TiO2 nanoparticles between streams and streambeds.

    Science.gov (United States)

    Boncagni, Natalia Ticiana; Otaegui, Justo Manuel; Warner, Evelyn; Curran, Trisha; Ren, Jianhong; de Cortalezzi, Maria Marta Fidalgo

    2009-10-15

    The expanding use of manufactured nanoparticles has increased the potential for their release into the natural environment. Particularly, TiO2 nanoparticles pose significant exposure risk to humans and other living species due to their extensive use in a wide range of fields. To better understand the environmental and health risks associated with the release of TiO2 nanoparticles, knowledge on their fate and transport is needed. This study evaluates the transport of two different TiO2 nanoparticles: one commercially available (P25 TiO2 and the other synthesized at a lab scale (synthesized TiO2). Laboratory flume, column, and batch experiments were conducted to investigate the processes dominating the transport of TiO2 nanoparticles between streams and streambeds and to characterize the properties of these nanoparticles under different physicochemical conditions. Results show that the synthesized TiO2 was more stable compared to the P25 TiO2, which underwent significant aggregation under the same experimental conditions. As a result, P25 TiO2 deposited at a faster rate than the synthesized TiO2 in the streambed. Both types of TiO2 nanoparticles deposited in the streambed were easily released when the stream velocity was increased. The aggregation and deposition of P25 TiO2 were highly dependent on pH. A process-based colloid exchange model was applied to interpret the observed transport behavior of the TiO2 nanoparticles.

  11. Synthesis, characterization, and photocatalytic activities of Cobalt(II)-Titanium dioxide nanorods, and electrophoretic deposition of Titanium dioxide nanoparticle/nanorod composite films for self-cleaning applications

    Science.gov (United States)

    Kang, Wonjun

    This dissertation consists of two projects. The first project is synthesis, characterization, and photocatalytic activities of Co(II)-TiO2 nanorods. We modified brookite TiO2 nanorods with cobalt(II) ions to design new photocatalysts with visible light absorption. X-ray absorption spectroscopy (XAS) and X-ray photoelectron spectroscopy (XPS) data indicated that the local structure of Co(II)-TiO2 nanorods was shown as tetrahedral and octahedral Co(II) sites at TiO2 nanorod surface. Dimethylglyoxime (DMG) has been used to remove surface Co(II) from Co(II)-TiO2 nanorods to determine single-site Co(II) ions selectively attached to the TiO 2 nanorod surface. We proposed a mechanism that the Co-Co bond of the precursor Co2(CO)8 undergoes heterolysis followed by disproportionation of Co(I) to produce Co(II) and Co(0) precipitate. Finally, the Co(II)-TiO2 nanorods showed greater activity than TiO 2 nanorods in the degradation of 5,8-dihydroxy-1,4-naphthoquinone (DHNQ) dye under visible light irradiation. The second project is electrophoretic deposition (EPD) of TiO2 nanoparticle/nanorod composite films for self-cleaning applications. We developed novel electrolyte system for EPD of TiO2 nanoparticle/nanorod composites for self-cleaning coatings. A mixture of TiO2 powder and TiO2 nanorods was used as EPD suspension in a mixture of THF and acetone. TiO2 nanoparticle/nanorod composite films were fabricated on aluminium substrates via the EPD method, and were characterized by scanning electron microscope (SEM). SEM images showed that TiO2 nanoparticle/nanorod composite films had a uniform pore structure. The hydrophobic properties of surfaces in TiO2 nanoparticle/nanorod composite films were evaluated by water contact angle measurements. It was found that the surfaces of TiO2 nanoparticle/nanorod composite films were hydrophobic with contact angle of 103°. These hydrophobic surfaces are expected to have potential applications for self-cleaning.

  12. Preparation and Photocatalytic Property of TiO2/Diatomite-Based Porous Ceramics Composite Materials

    Directory of Open Access Journals (Sweden)

    Shuilin Zheng

    2012-01-01

    Full Text Available The diatomite-based porous ceramics was made by low-temperature sintering. Then the nano-TiO2/diatomite-based porous ceramics composite materials were prepared by hydrolysis deposition method with titanium tetrachloride as the precursor of TiO2 and diatomite-based porous as the supporting body of the nano-TiO2. The structure and microscopic appearance of nano-TiO2/diatomite-based porous ceramics composite materials was characterized by XRD and SEM. The photocatalytic property of the composite was investigated by the degradation of malachite green. Results showed that, after calcination at 550°C, TiO2 thin film loaded on the diatomite-based porous ceramics is anatase TiO2 and average grain size of TiO2 is about 10 nm. The degradation ratio of the composite for 5 mg/L malachite green solution reached 86.2% after irradiation for 6 h under ultraviolet.

  13. Investigation on the influence of pH on structure and photoelectrochemical properties of CdSe electrolytically deposited into TiO2 nanotube arrays

    International Nuclear Information System (INIS)

    Xue, Jinbo; Shen, Qianqian; Yang, Fei; Liang, Wei; Liu, Xuguang

    2014-01-01

    Highlights: • There-dimensional CdSe-TiO 2 multijunction was fabricated by electrochemical method. • CdSe nanoparticles had a good bonding with the walls of TiO 2 nanotube. • pH value played an important role in the quality of CdSe-TiO 2 interfaces. - Abstract: In this work, we fabricated CdSe/TiO 2 nanotube arrays (NTAs) by electrochemical method. In electrodeposition, the pH value of the electrolyte played an important role in formation of CdSe nanoparticles. As the pH value decreased, more CdSe deposited on TiO 2 NTAs. Scanning electron microscopy and transmission electron microscopy characterization shows that the CdSe nanoparticles were uniformly deposited on and into TiO 2 nanotubes when the pH value was 3, and this structure fully utilized the three-dimensional (3D) space of TiO 2 nanotubes to form 3D multijunction heterostructures. According to the photoelectrochemical test, the CdSe/TiO 2 NTAs sample prepared at pH = 3 exhibited maximum photocurrent and open circuit potential. This is because that the deposited CdSe nanoparticles had better bond with the walls of TiO 2 nanotube than the samples deposited at other pH values, which facilitated the propagation and kinetic separation of photogenerated charges

  14. Temperature field analysis of single layer TiO2 film components induced by long-pulse and short-pulse lasers

    International Nuclear Information System (INIS)

    Wang Bin; Zhang Hongchao; Qin Yuan; Wang Xi; Ni Xiaowu; Shen Zhonghua; Lu Jian

    2011-01-01

    To study the differences between the damaging of thin film components induced by long-pulse and short-pulse lasers, a model of single layer TiO 2 film components with platinum high-absorptance inclusions was established. The temperature rises of TiO 2 films with inclusions of different sizes and different depths induced by a 1 ms long-pulse and a 10 ns short-pulse lasers were analyzed based on temperature field theory. The results show that there is a radius range of inclusions that corresponds to high temperature rises. Short-pulse lasers are more sensitive to high-absorptance inclusions and long-pulse lasers are more easily damage the substrate. The first-damage decision method is drawn from calculations.

  15. Antibacterial photocatalytic activity of different crystalline TiO2 phases in oral multispecies biofilm.

    Science.gov (United States)

    Pantaroto, Heloisa N; Ricomini-Filho, Antonio P; Bertolini, Martinna M; Dias da Silva, José Humberto; Azevedo Neto, Nilton F; Sukotjo, Cortino; Rangel, Elidiane C; Barão, Valentim A R

    2018-07-01

    Titanium dioxide (TiO 2 ) incorporation in biomaterials is a promising technology due to its photocatalytic and antibacterial activities. However, the antibacterial potential of different TiO 2 crystalline structures on a multispecies oral biofilm remains unknown. We hypothesized that the different crystalline TiO 2 phases present different photocatalytic and antibacterial activities. Three crystalline TiO 2 films were deposited by magnetron sputtering on commercially pure titanium (cpTi), in order to obtain four groups: (1) machined cpTi (control); (2) A-TiO 2 (anatase); (3) M-TiO 2 (mixture of anatase and rutile); (4) R-TiO 2 (rutile). The morphology, crystalline phase, chemical composition, hardness, elastic modulus and surface free energy of the surfaces were evaluated. The photocatalytic potential was assessed by methylene blue degradation assay. The antibacterial activity was evaluated on relevant oral bacteria, by using a multispecies biofilm (Streptococcus sanguinis, Actinomyces naeslundii and Fusobacterium nucleatum) formed on the treated titanium surfaces (16.5h) followed by UV-A light exposure (1h) to generate reactive oxygen species production. All TiO 2 films presented around 300nm thickness and improved the hardness and elastic modulus of cpTi surfaces (p0.05 vs. control). This study brings new insights on the development of extra oral protocols for the photocatalytic activity of TiO 2 in oral biofilm-associated disease. Anatase and mixture-TiO 2 showed antibacterial activity on this oral bacterial biofilm, being promising surface coatings for dental implant components. Copyright © 2018 The Academy of Dental Materials. All rights reserved.

  16. Plasmonic metamaterial-based chemical converted graphene/TiO2/Ag thin films by a simple spray pyrolysis technique

    Science.gov (United States)

    Kumar, Promod; Swart, H. C.

    2018-04-01

    Graphene based hybrid nanostructures have received special attention in both the scientific and technological development due to their unique physicochemical behavior, which make them attractive in various applications such as, batteries, supercapacitors, fuel cells, solar cells, photovoltaic devices and bio-sensors. In the present study, the role of plasmonic metamaterials in light trapping photovoltaics for inorganic semiconducting materials by a simple and low cost spray pyrolysis technique has been studied. The plasmonic metamaterials thin film has been fabricated by depositing chemically converted graphene (CCG) onto TiO2-Ag nanoparticles which has a low resistivity and a low electron-hole recombination probability. The localized surface plasmon resonance at the metal-dielectric interface for the Ag nanoparticles has been observed at 403 nm after depositing chemical converted graphene (CCG) on the TiO2-Ag thin film. The results suggest that the stacking order of the CCG/TiO2/Ag plasmonic metamaterials samples did not change the band gap of TiO2 while it changed the conductivity of the film. Thus the diffusion of the noble metals in the glass and TiO2 matrices based thin films can trap the light of a particular wavelength by mean of plasmonic resonance and may be useful for superior photovoltaic and optoelectronic applications.

  17. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  18. Preparation and characterization of photocatalytic performance of hierarchical heterogeneous nanostructured ZnO/TiO2 films made by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Le Phuc Quy; Vu Thi Hanh Thu

    2013-01-01

    With the aim to enhance photocatalytic properties and anti-Ecoli bacteria abilities of TiO 2 thin films; hierarchical heterogeneous nanostructured ZnO/TiO 2 (HN s ) films were deposited by DC magnetron sputtering. The obtained results showed that both the photocatalytic performance and anti-Ecoli bacteria ability of HN s films exhibited enhancement in comparison with standard TiO 2 films. This enhancement was explained due to the reduction of the electron - hole recombination and the red shift of absorption edge of the HNs films. (author)

  19. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-02-01

    Ba0.7Sr0.3TiO3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 °C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (˜0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness.

  20. Low temperature perovskite crystallization of highly tunable dielectric Ba0.7Sr0.3TiO3 thick films deposited by ion beam sputtering on platinized silicon substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thick films with thickness up to 1 μm were deposited on Pt-coated silicon substrates by ion beam sputtering, followed by an annealing treatment. It is demonstrated that pure well-crystallized perovskite phase could be obtained in thick BST films by a low temperature process (535 deg. C). The BST thick films show highly tunable dielectric properties with tunability (at 800 kV/cm) up to 51.0% and 66.2%, respectively, for the 0.5 and 1 μm thick films. The relationship between strains and dielectric properties was systematically investigated in the thick films. The results suggest that a comparatively larger tensile thermal in-plane strain (0.15%) leads to the degradation in dielectric properties of the 0.5 μm thick film; besides, strong defect-related inhomogeneous strains (∼0.3%) make the dielectric peaks smearing and broadening in the thick films, which, however, preferably results in high figure-of-merit factors over a wide operating temperature range. Moreover, the leakage current behavior in the BST thick films was found to be dominated by the space-charge-limited-current mechanism, irrespective of the film thickness

  1. Ferroelectric BaTiO3 thin films on Ti substrate fabricated using pulsed-laser deposition.

    Science.gov (United States)

    He, J; Jiang, J C; Liu, J; Collins, G; Chen, C L; Lin, B; Giurgiutiu, V; Guo, R Y; Bhalla, A; Meletis, E I

    2010-09-01

    We report on the fabrication of ferroelectric BaTiO3 thin films on titanium substrates using pulsed laser deposition and their microstructures and properties. Electron microscopy studies reveal that BaTiO3 films are composed of crystalline assemblage of nanopillars with average cross sections from 100 nm to 200 nm. The BaTiO3 films have good interface structures and strong adhesion with respect to Ti substrates by forming a rutile TiO2 intermediate layer with a gradient microstructure. The room temperature ferroelectric polarization measurements show that the as-deposited BTO films possess nearly the same spontaneous polarization as the bulk BTO ceramics indicating formation of ferroelectric domains in the films. Successful fabrication of such ferroelectric films on Ti has significant importance for the development of new applications such as structural health monitoring spanning from aerospace to civil infrastructure. The work can be extended to integrate other ferroelectric oxide films with various promising properties to monitor the structural health of materials.

  2. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  3. Rapid fabrication of TiO2@carboxymethyl cellulose coatings capable of shielding UV, antifog and delaying support aging.

    Science.gov (United States)

    Li, Xiaozhou; Lv, Junping; Li, Dehuai; Wang, Lin

    2017-08-01

    Agricultural plastic films capable of shielding UV, filtering visible light and antifog are important to prolong their life and protect safeties of agriculturists and crops. In this work, high stable and small size TiO 2 @polymer nanoparticles (NPs) were prepared by an efficient one-pot microwave synthesis using titanic sulfate as Ti resource, carboxymethyl cellulose sodium (CMC) as complexing agent and stabilizer. The TiO 2 @CMC NPs obtained were then utilized to fabricate poly(ethylene imine) (PEI)/TiO 2 @CMC coatings on the surface of polypropylene films by a layer-by-layer assembly technique. The TiO 2 @CMC NPs show rapid deposition rate because small, spherical and anion-rich TiO 2 @CMC NPs possess large specific surface area and fast diffusion rate. More importantly, property experiments confirm that (PEI/TiO 2 @CMC)*15 coatings can not only effectively shield UV rays, filter visible light and prevent fogging but also delay the aging of their supports. Copyright © 2017 Elsevier Ltd. All rights reserved.

  4. Synergistic effects for the TiO2/RuO2/Pt photodissociation of water

    Energy Technology Data Exchange (ETDEWEB)

    Blondel, G; Harriman, A; Williams, D

    1983-07-01

    Compressed discs of naked TiO2 or TiO2 coated with a thin film of a noble metal (e.g. Pt) do not photodissociate water upon illumination with UV light, but small amounts of H2 are generated if the TiO2 has been reduced in a stream of H2 at 600 C. Discs prepared from mixtures of TiO2/RuO2 facilitate the UV photodissociation of water into H2 and O2 although the yields are very low. When a thin (about 9 nm) film of Pt is applied to the TiO2/RuO2 discs, the yields of H2 and O2 observed upon irradiation with UV light are improved drastically. 25 references.

  5. RHEED study of titanium dioxide with pulsed laser deposition

    DEFF Research Database (Denmark)

    Rasmussen, Inge Lise; Pryds, Nini; Schou, Jørgen

    2009-01-01

    Reflection high-energy electron diffraction (RHEED) operated at high pressure has been used to monitor the growth of thin films of titanium dioxide (TiO2) on (1 0 0) magnesium oxide (MgO) substrates by pulsed laser deposition (PLD). The deposition is performed with a synthetic rutile TiO2 target...

  6. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  7. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  8. The properties of metal contacts on TiO2 thin films produced by reactive magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Brus V. V.

    2010-10-01

    Full Text Available The article deals with research on volt-ampere characteristics of metal contacts (Al, Cr, In, Mo, Ti on titanium dioxide thin films and influence of annealing in vacuum on their electric properties. Volt-ampere characteristics measurements were taken by three-probe method. There was established that indium contact on TiO2 thin films possessed sharply defined ohmic properties.

  9. Effect of geometric nanostructures on the absorption edges of 1-D and 2-D TiO₂ fabricated by atomic layer deposition.

    Science.gov (United States)

    Chang, Yung-Huang; Liu, Chien-Min; Cheng, Hsyi-En; Chen, Chih

    2013-05-01

    2-Dimensional (2-D) TiO2 thin films and 1-dimensional (1-D) TiO2 nanotube arrays were fabricated on Si and quartz substrates using atomic layer deposition (ALD) with an anodic aluminum oxide (AAO) template at 400 °C. The film thickness and the tube wall thickness can be precisely controlled using the ALD approach. The intensities of the absorption spectra were enhanced by an increase in the thickness of the TiO2 thin film and tube walls. A blue-shift was observed for a decrease in the 1-D and 2-D TiO2 nanostructure thicknesses, indicating a change in the energy band gap with the change in the size of the TiO2 nanostructures. Indirect and direct interband transitions were used to investigate the change in the energy band gap. The results indicate that both quantum confinement and interband transitions should be considered when the sizes of 1-D and 2-D TiO2 nanostructures are less than 10 nm.

  10. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  11. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  12. Dye-Sensitized Solar Cells with Anatase TiO2 Nanorods Prepared by Hydrothermal Method

    Directory of Open Access Journals (Sweden)

    Ming-Jer Jeng

    2013-01-01

    Full Text Available The hydrothermal method provides an effective reaction environment for the synthesis of nanocrystalline materials with high purity and well-controlled crystallinity. In this work, we started with various sizes of commercial TiO2 powders and used the hydrothermal method to prepare TiO2 thin films. We found that the synthesized TiO2 nanorods were thin and long when smaller TiO2 particles were used, while larger TiO2 particles produced thicker and shorter nanorods. We also found that TiO2 films prepared by TiO2 nanorods exhibited larger surface roughness than those prepared by the commercial TiO2 particles. It was found that a pure anatase phase of TiO2 nanorods can be obtained from the hydrothermal method. The dye-sensitized solar cells fabricated with TiO2 nanorods exhibited a higher solar efficiency than those fabricated with commercial TiO2 nanoparticles directly. Further, triple-layer structures of TiO2 thin films with different particle sizes were investigated to improve the solar efficiency.

  13. Hysteretic current-voltage characteristics in RF-sputtered nanocrystalline TiO2 thin films

    International Nuclear Information System (INIS)

    Villafuerte, Manuel; Juarez, Gabriel; Heluani, Silvia P. de; Comedi, David

    2007-01-01

    We have measured the current-voltage characteristics at room temperature of a nanocrystalline TiO 2 thin film fabricated by reactive RF-sputtering deposition and sandwiched between ITO (indium-tin-oxide)-buffered glass substrate and an indium top electrode. The I-V characteristics are ohmic for low voltages and become non-linear, hysteretic and asymmetric as the voltage is increased. The system is shown to be well represented by two distinct resistance states in the non-ohmic region. Current transient evolutions were also measured for constant voltage excitations. The resistance is stable in time for voltages in the ohmic regime. In contrast, for voltages in the non-ohmic regime, the resistance has a small variation for a short period of time (order of tens seconds) and then increases with time. For those transients, long characteristic times (on the order of tens of minutes up to hours) were found. The behavior of the system is discussed on the basis of experimental results reported in the literature for similar systems and existing models for electric-field induced resistive switching

  14. Temperature field analysis of single layer TiO2 film components induced by long-pulse and short-pulse lasers.

    Science.gov (United States)

    Wang, Bin; Zhang, Hongchao; Qin, Yuan; Wang, Xi; Ni, Xiaowu; Shen, Zhonghua; Lu, Jian

    2011-07-10

    To study the differences between the damaging of thin film components induced by long-pulse and short-pulse lasers, a model of single layer TiO(2) film components with platinum high-absorptance inclusions was established. The temperature rises of TiO(2) films with inclusions of different sizes and different depths induced by a 1 ms long-pulse and a 10 ns short-pulse lasers were analyzed based on temperature field theory. The results show that there is a radius range of inclusions that corresponds to high temperature rises. Short-pulse lasers are more sensitive to high-absorptance inclusions and long-pulse lasers are more easily damage the substrate. The first-damage decision method is drawn from calculations. © 2011 Optical Society of America

  15. Preparation of Nanoporous TiO2 Electrodes for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Hsiue-Hsyan Wang

    2011-01-01

    Full Text Available Nano-porous TiO2 thin films have been widely used as the working electrodes in dye-sensitized solar cells (DSSCs. In this work, the phase-pure anatase TiO2 (a-TiO2 and rutile TiO2 (r-TiO2 have been prepared using hydrothermal processes. The investigation of photo-to-electron conversion efficiency of DSSCs fabricated from mixed-TiO2 with a-TiO2 and r-TiO2 ratio of 80 : 20 (A8R2 was performed and compared to that from commercial TiO2 (DP-25. The results showed higher efficiency of DSSC for A8R2 cells with same dependence of cell efficiency on the film thickness for both A8R2 and DP-25 cells. The best efficiency obtained in this work is 5.2% from A8R2 cell with TiO2 film thickness of 12.0 μm. The correlation between the TiO2 films thickness and photoelectron chemical properties of DSSCs fabricated from A8R2 and DP-25 was compared and discussed.

  16. Characterization of thin TiO2 films prepared by plasma enhanced chemical vapour deposition for optical and photocatalytic applications

    International Nuclear Information System (INIS)

    Sobczyk-Guzenda, A.; Gazicki-Lipman, M.; Szymanowski, H.; Kowalski, J.; Wojciechowski, P.; Halamus, T.; Tracz, A.

    2009-01-01

    Thin titanium oxide films were deposited using a radio frequency (RF) plasma enhanced chemical vapour deposition method. Their optical properties and thickness were determined by means of ultraviolet-visible absorption spectrophotometry. Films of the optical parameters very close to those of titanium dioxide have been obtained at the high RF power input. Their optical quality is high enough to allow for their use in a construction of stack interference optical filters. At the same time, these materials exhibit strong photocatalytic effects. The results of structural analysis, carried out by Raman Shift Spectroscopy, show that the coatings posses amorphous structure. However, Raman spectra of the same films subjected to thermal annealing at 450 o C disclose an appearance of a crystalline form, namely that of anatase. Surface morphology of the films has also been characterized by Atomic Force Microscopy revealing granular, broccoli-like topography of the films.

  17. Surface passivation and carrier selectivity of the thermal-atomic-layer-deposited TiO2 on crystalline silicon

    DEFF Research Database (Denmark)

    Plakhotnyuk, Maksym; Schüler, Nadine; Shkondin, Evgeniy

    2017-01-01

    Here, we demonstrate the use of an ultrathin TiO2 film as a passivating carrier-selective contact for silicon photovoltaics. The effective lifetime, surface recombination velocity, and diode quality dependence on TiO2 deposition temperature with and without a thin tunneling oxide interlayer (SiO2...... heterojunction with optimized photovoltage, interface quality, and electron extraction to maximize the photovoltage of TiO2–Si heterojunction photovoltaic cells are formulated. Diode behaviour was analysed with the help of experimental, analytical, and simulation methods. It is predicted that TiO2 with a high...

  18. Photoelectrocatalytic properties of Ag nanoparticles loaded TiO2 nanotube arrays prepared by pulse current deposition

    International Nuclear Information System (INIS)

    Xie Kunpeng; Sun Lan; Wang Chenglin; Lai Yuekun; Wang Mengye; Chen Hongbo; Lin Changjian

    2010-01-01

    A pulse current deposition technique was adopted to construct highly dispersed Ag nanoparticles on TiO 2 nanotube arrays which were prepared by the electrochemical anodization. The morphology, crystallinity, elemental composition, and UV-vis absorption of Ag/TiO 2 nanotube arrays were characterized by scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), and diffuse reflectance spectra (DRS). In particular, the photoelectrochemical properties and photoelectrocatalytic activity under UV light irradiation and the photocatalytic activity under visible light irradiation for newly synthesized Ag/TiO 2 nanotube arrays were investigated. The maximum incident photon to charge carrier efficiency (IPCE) value of Ag/TiO 2 nanotube arrays was 51%, much higher than that of pure TiO 2 nanotube arrays. Ag/TiO 2 nanotube arrays exhibited higher photocatalytic activities than the pure TiO 2 nanotube arrays under both UV and visible light irradiation. The photoelectrocatalytic activity of Ag/TiO 2 nanotube arrays under UV light irradiation was 1.6-fold enhancement compared with pure TiO 2 nanotube arrays. This approach can be used in synthesizing various metal-loaded nanotube arrays materials.

  19. Reflectance spectroscopy from TiO2 particles embedded in polyurethane

    DEFF Research Database (Denmark)

    Gudla, Visweswara Chakravarthy; Canulescu, Stela; Johansen, Villads Egede

    2013-01-01

    This paper presents the results of a physical simulation carried out using TiO2-Polyurethane composite coating on bright aluminium surface to understand the light scattering effect for designing white surfaces. Polyurethane matrix is selected due to the matching refractive index (1.7) with Al2O3...... layer on anodized aluminium surfaces. Three different TiO2 particle distributions were dispersed in polyurethane and spin coated onto high gloss and caustic etched aluminium substrates. Reflectance spectra of TiO2-polyurethane films of various concentrations were analysed using an integrating sphere....... The results show that the TiO2-polyurethane coatings have a high diffuse reflectance as a result of multiple scattering from TiO2 particles. Diffuse reflectance spectra of TiO2 containing films vary weakly with particle concentration and reach a steady state value at a concentration of 0.75 wt.%. Using...

  20. Unconventional cells of TiO2 doped with erbium

    International Nuclear Information System (INIS)

    Ribeiro, P.C.; Campos, R.D.; Oliveira, A.S.; Wellen, R.; Diniz, V.C.S.; Costa, A.C.F.M. da

    2016-01-01

    The technology used in TiO_2 solar cells is in constant improvement, new configurations have been developed, aiming practicality and leading to efficiency increase of photovoltaic devices. This paper proposes a new technology for the production of solar cells in order to investigate a better utilization of solar spectrum of TiO2 doped with erbium (Er"3"+), proven by energetic conversion. The Ti_0_,_9Er_0_,_1O2 system was obtained by Pechini method. Nanoparticles have a crystallite size 65.30 nm and surface area 118.48 m"2/g. These characteristics are essential for the formation of the film to be deposited on the conductive glass substrate constituting the cell's photoelectrode. The other side of the cell is the platinum counter electrode. The cell will have the faces sealed by a thermoplastic and, finally the electrolyte will be inserted, then they will be electrically evaluated through energy efficiency and confronted with the literature data base. (author)