WorldWideScience

Sample records for tin film deposition

  1. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  2. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  3. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  4. Optimisation of chemical solution deposition of indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sunde, Tor Olav Løveng; Einarsrud, Mari-Ann; Grande, Tor, E-mail: grande@ntnu.no

    2014-12-31

    An environmentally friendly aqueous sol–gel process has been optimised to deposit indium tin oxide (ITO) thin films, aiming to improve the film properties and reduce the deposition costs. It was demonstrated how parameters such as cation concentration and viscosity could be applied to modify the physical properties of the sol and thereby reduce the need for multiple coatings to yield films with sufficient conductivity. The conductivity of the thin films was enhanced by adjusting the heat treatment temperature and atmosphere. Both increasing the heat treatment temperature of the films from 530 to 800 °C and annealing in reducing atmosphere significantly improved the electrical conductivity, and conductivities close to the state of the art sputtered ITO films were obtained. A pronounced decreased conductivity was observed after exposing the thin films to air and the thermal reduction and ageing of the film was studied by in situ conductivity measurements. - Highlights: • Spin coating of indium tin oxide using an aqueous solution was optimised. • The conductivity was enhanced by thermal annealing in reducing atmosphere. • The conductivity of is comparable to the conductivity of sputtered films. • A relaxation process in the reduced thin film was observed after exposure in air.

  5. The role of electric field during spray deposition on fluorine doped tin oxide film

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Anuj, E-mail: anujkumarom@gmail.com; Swami, Sanjay Kumar; Dutta, Viresh

    2014-03-05

    Highlights: • Fluorine doped tin oxide deposition by spray technique. • The growth reaction of tin oxide, controlled by the electric field on the substrate surface. • Deposit on large scale substrate 10 cm × 10 cm by single nozzle. • Obtained good quality of thin film. -- Abstract: The fluorine doped tin oxide film has been deposited on 10 cm × 10 cm glass substrate by using spray technique with a voltage applied between the nozzle and an annular electrode placed 2 mm below the nozzle. The effect of the electric field thus created during the spray deposition on structural, optical and electrical properties of SnO{sub 2}:F (FTO) film was studied. X-ray diffraction pattern revealed the presence of cassiterite structure with (2 0 0) orientation for all the FTO film. SEM study revealed the formation of smooth and uniform surface FTO film under the electric field over the entire substrate area. The electrical measurements show that the film prepared under the electric field (for an applied voltage of 2000 V) had a resistivity ∼1.2 × 10{sup −3} Ω cm, carrier concentration ∼4.21 × 10{sup 20} cm{sup −3} and mobility ∼14.48 cm{sup 2} V{sup −1} s{sup −1}. The sprayed FTO film have the average transmission in the visible region of more than about 80%.

  6. Mechanical properties of TiN films deposited by changed-pressure r.f. sputtering

    International Nuclear Information System (INIS)

    Kubo, Y.; Hashimoto, M.

    1991-01-01

    TiN was deposited onto glass, stainless steel and cemented carbide by r.f. magnetron sputtering. The mechanical properties of TiN such as hardness, internal stress and adhesion were assessed by the Vickers microhardness test, the bending method and the modified scratch test. It was found that the operating pressure during sputtering deposition strongly affects these mechanical properties. As the operating pressure is increased beyond 0.6-0.7 Pa, the adhesion of TiN films onto the substrate increases enormously, but the hardness decreases owing to the release of the high compressive stress in the film. Therefore changing the pressure from high to low during deposition could be a good way of optimizing both hardness and adhesion. The effectiveness of this changed-pressure process was experimentally verified by cutting tests using TiN-coated cemented carbide tools. This process will be applicable to any other hard coating materials having high compressive stresses. (orig.)

  7. Deposition of indium tin oxide films on acrylic substrates by radiofrequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chiou, B.S.; Hsieh, S.T.; Wu, W.F.

    1994-01-01

    Indium tin oxide (ITO) films were deposited onto acrylic substrates by rf magnetron sputtering. Low substrate temperature (< 80 C) and low rf power (< 28 W) were maintained during sputtering to prevent acrylic substrate deformation. The influence of sputtering parameters, such as rf power, target-to-substrate distance, and chamber pressure, on the film deposition rate, the electrical properties, as well as the optical properties of the deposited films was investigated. Both the refractive index and the extinction coefficient were derived. The high reflection at wavelengths greater than 3 μm made these sputtered ITO films applicable to infrared mirrors

  8. Intrinsic anomalous surface roughening of TiN films deposited by reactive sputtering

    International Nuclear Information System (INIS)

    Auger, M. A.; Vazquez, L.; Sanchez, O.; Cuerno, R.; Castro, M.; Jergel, M.

    2006-01-01

    We study surface kinetic roughening of TiN films grown on Si(100) substrates by dc reactive sputtering. The surface morphology of films deposited for different growth times under the same experimental conditions were analyzed by atomic force microscopy. The TiN films exhibit intrinsic anomalous scaling and multiscaling. The film kinetic roughening is characterized by a set of local exponent values α loc =1.0 and β loc =0.39, and global exponent values α=1.7 and β=0.67, with a coarsening exponent of 1/z=0.39. These properties are correlated to the local height-difference distribution function obeying power-law statistics. We associate this intrinsic anomalous scaling with the instability due to nonlocal shadowing effects that take place during thin-film growth by sputtering

  9. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  10. Post-deposition annealing effects in RF reactive magnetron sputtered indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, M A; Herrero, J; Gutierrez, M T [Inst. de Energias Renovables (CIEMAT), Madrid (Spain)

    1992-05-01

    Indium tin oxide films have been grown by RF reactive magnetron sputtering. The influence of the deposition parameters on the properties of the films has been investigated and optimized, obtaining a value for the figure of merit of 6700 ({Omega} cm){sup -1}. As-grown indium tin oxide films were annealed in vacuum and O{sub 2} atmosphere. After these heat treatments the electro-optical properties were improved, with values for the resistivity of 1.9x10{sup -4} {Omega} cm and the figure of merit of 26700 ({Omega} cm){sup -1}. (orig.).

  11. Growth and Characterisation of Pulsed-Laser Deposited Tin Thin Films on Cube-Textured Copper at Different Temperatures

    Directory of Open Access Journals (Sweden)

    Szwachta G.

    2016-06-01

    Full Text Available High-quality titanium nitride thin films have been grown on a cube-textured copper surface via pulsed laser deposition. The growth of TiN thin films has been very sensitive to pre-treatment procedure and substrate temperature. It is difficult to grow heteroexpitaxial TiN films directly on copper tape due to large differences in lattice constants, thermal expansion coefficients of the two materials as well as polycrystalline structure of substrate. The X-Ray diffraction measurement revealed presence of high peaks belonged to TiN(200 and TiN(111 thin films, depending on used etcher of copper surface. The electron diffraction patterns of TiN(200/Cu films confirmed the single-crystal nature of the films with cube-on-cube epitaxy. The high-resolution microscopy on our films revealed sharp interfaces between copper and titanium nitride with no presence of interfacial reaction.

  12. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  13. Growth and characterization of indium tin oxide thin films deposited on PET substrates

    International Nuclear Information System (INIS)

    Lee, Jaehyeong; Jung, Hakkee; Lee, Jongin; Lim, Donggun; Yang, Keajoon; Yi, Junsin; Song, Woo-Chang

    2008-01-01

    Transparent and conductive indium tin oxide (ITO) thin films were deposited onto polyethylene terephthalate (PET) by d.c. magnetron sputtering as the front and back electrical contact for applications in flexible displays and optoelectronic devices. In addition, ITO powder was used for sputter target in order to reduce the cost and time of the film formation processes. As the sputtering power and pressure increased, the electrical conductivity of ITO films decreased. The films were increasingly dark gray colored as the sputtering power increased, resulting in the loss of transmittance of the films. When the pressure during deposition was higher, however, the optical transmittance improved at visible region of light. ITO films deposited onto PET have shown similar optical transmittance and electrical resistivity, in comparison with films onto glass substrate. High quality films with resistivity as low as 2.5 x 10 -3 Ω cm and transmittance over 80% have been obtained on to PET substrate by suitably controlling the deposition parameters

  14. Sputter-Deposited Indium–Tin Oxide Thin Films for Acetaldehyde Gas Sensing

    Directory of Open Access Journals (Sweden)

    Umut Cindemir

    2016-04-01

    Full Text Available Reactive dual-target DC magnetron sputtering was used to prepare In–Sn oxide thin films with a wide range of compositions. The films were subjected to annealing post-treatment at 400 °C or 500 °C for different periods of time. Compositional and structural characterizations were performed by X-ray photoelectron spectroscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, Rutherford backscattering and scanning electron microscopy. Films were investigated for gas sensing at 200 °C by measuring their resistance response upon exposure to acetaldehyde mixed with synthetic air. We found that the relative indium-to-tin content was very important and that measurable sensor responses could be recorded at acetaldehyde concentrations down to 200 ppb, with small resistance drift between repeated exposures, for both crystalline SnO2-like films and for amorphous films consisting of about equal amounts of In and Sn. We also demonstrated that it is not possible to prepare crystalline sensors with intermediate indium-to-tin compositions by sputter deposition and post-annealing up to 500 °C.

  15. Deposition of indium tin oxide thin films by cathodic arc ion plating

    International Nuclear Information System (INIS)

    Yang, M.-H.; Wen, J.-C.; Chen, K.-L.; Chen, S.-Y.; Leu, M.-S.

    2005-01-01

    Indium tin oxide (ITO) thin films have been deposited by cathodic arc ion plating (CAIP) using sintered oxide target as the source material. In an oxygen atmosphere of 200 deg. C, ITO films with a lowest resistivity of 2.2x10 -4 Ω-cm were obtained at a deposition rate higher than 450 nm/min. The carrier mobility of ITO shows a maximum at some medium pressures. Although morphologically ITO films with a very fine nanometer-sized structure were observed to possess the lowest resistivity, more detailed analyses based on X-ray diffraction are attempted to gain more insight into the factors that govern electron mobility in this investigation

  16. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  17. Deposit of thin films of TiN, a-C, Ti/TiN/a-C by laser ablation

    International Nuclear Information System (INIS)

    Mejia, I.S.; Escobar A, L.; Camps, E.; Romero, S.; Muhl, S.

    2006-01-01

    Thin films of titanium nitride (TiN), amorphous carbon (a-C), as well as bilayers of Ti/TiN/a-C were deposited by means of the laser ablation technique. It was investigated the effect that it has the laser fluence used to ablation the targets in the structure and mechanical properties of the TiN deposited films. The TiN obtained films have a preferential orientation in the direction (200). The results show that the hardness of this material is influenced by the laser fluence. It is observed that the hardness is increased in an approximately lineal way with the increment of the fluence up to 19 J/cm 2 . The films of amorphous carbon present hardness of the order of 11.2 GPa. Likewise it was found that the multilayers of Ti/TiN/aC presented a bigger hardness that of its individual components. (Author)

  18. Nanocharacterization of TiN films obtained by Ion Vapor deposition

    International Nuclear Information System (INIS)

    Lara O, L; Jerez A, M; Morantes M, L; Plata, A; Torres, Y; Lasprilla, M; Zhabon, V

    2011-01-01

    We evaluate and characterize the surface at the nanoscale level and take into account the temperature variation effect in the process of plasma ion deposition for H13 steel samples coated by Titanium Nitride (TiN). The interferometric microscopy and atomic force microscopy (AFM) were used to measure the film to analyze the variation of structural and morphological properties of nanofilms that depend on the temperature of sustrate.

  19. Structural and optical properties of tin (II) sulfide thin films deposited using organophosphorus precursor (Ph3PS)

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-02-01

    Tin sulfide (SnS) thin films have been deposited onto glass substrates using triphenylphosphine sulfide (Ph3PS) as a sulfur precursor in a chemical vapor deposition reactor in a temperature range of 250 °C-400 °C. The influence of the sulphidisation temperature in the crystal structure, surface morphology, chemical composition and optical properties has been investigated. X-ray diffraction, energy dispersive analysis of x-rays, and Raman spectroscopy showed that pure SnS thin films have been successfully obtained at 250 °C. All the deposited films were polycrystalline and showed orthorhombic structure, with a preferential orientation according to the direction . The optical measurements showed that the films deposited exhibited a direct allowed transition and have a relatively high absorption coefficient. The presence of mixed tin sulfide phases granted by the variation of the sulphidisation temperature has affected the optical properties of the deposited films. The refractive index (n) and extinction coefficient (k), has low values compared to conventional semiconductor materials. The grown films can be considered as a good light absorbing material and a promising candidate for application in optoelectronic devices.

  20. Textured indium tin oxide thin films by chemical solution deposition and rapid thermal processing

    International Nuclear Information System (INIS)

    Mottern, Matthew L.; Tyholdt, Frode; Ulyashin, Alexander; Helvoort, Antonius T.J. van; Verweij, Henk; Bredesen, Rune

    2007-01-01

    The microstructure of state-of-the-art chemical solution deposited indium tin oxide thin films typically consists of small randomly oriented grains, high porosity and poor homogeneity. The present study demonstrates how the thin film microstructure can be improved significantly by tailoring the precursor solutions and deposition conditions to be kinetically and thermodynamically favorable for generation of homogeneous textured thin films. This is explained by the occurrence of a single heterogeneous nucleation mechanism. The as-deposited thin films, crystallized at 800 deg. C, have a high apparent density, based on a refractive index of ∼ 1.98 determined by single wavelength ellipsometry at 633 nm. The microstructure of the films consists of columnar grains with preferred orientation as determined by X-ray diffraction and transmission electron microscopy. The resistivity, measured by the four point probe method, is ∼ 2 x 10 -3 Ω cm prior to post-deposition treatments

  1. Heat treatable indium tin oxide films deposited with high power pulse magnetron sputtering

    International Nuclear Information System (INIS)

    Horstmann, F.; Sittinger, V.; Szyszka, B.

    2009-01-01

    In this study, indium tin oxide (ITO) films were prepared by high power pulse magnetron sputtering [D. J. Christie, F. Tomasel, W. D. Sproul, D. C. Carter, J. Vac. Sci. Technol. A, 22 (2004) 1415. ] without substrate heating. The ITO films were deposited from a ceramic target at a deposition rate of approx. 5.5 nm*m/min kW. Afterwards, the ITO films were covered with a siliconoxynitride film sputtered from a silicon alloy target in order to prevent oxidation of the ITO film during annealing at 650 deg. C for 10 min in air. The optical and electrical properties as well as the texture and morphology of these films were investigated before and after annealing. Mechanical durability of the annealed films was evaluated at different test conditions. The results were compared with state-of-the art ITO films which were obtained at optimized direct current magnetron sputtering conditions

  2. Growth and characterization of tin disulfide (SnS2) thin film deposited by successive ionic layer adsorption and reaction (SILAR) technique

    International Nuclear Information System (INIS)

    Deshpande, N.G.; Sagade, A.A.; Gudage, Y.G.; Lokhande, C.D.; Sharma, Ramphal

    2007-01-01

    Thin films of tin disulfide (SnS 2 ) have been deposited by using low cost successive ionic layer adsorption and reaction (SILAR) technique. The deposition parameters such as SILAR cycles (60), immersion time (20 s), rinsing time (10 s) and deposition temperature (27 o C) were optimized to obtain good quality of films. Physical investigations were made to study the structural, optical and electrical properties. X-ray diffraction (XRD) patterns reveal that the deposited SnS 2 thin films have hexagonal crystal structure. Energy dispersive X-ray analysis (EDAX) indicated elemental ratio close to those for tin disulfide (SnS (2.02) ). Uniform deposition of the material over the entire glass substrate was revealed by scanning electron microscopy (SEM). Atomic force microscopy (AFM) showed the film is uniform and the substrate surface is well covered with small spherical grains merged in each other. A direct band gap of 2.22 eV was obtained. Photoluminescence (PL) showed two strong peaks corresponding to green and red emission. Ag/SnS 2 junction showed Schottky diode like I-V characteristics. The barrier height calculated was 0.22 eV. Thermoelectric power (TEP) properties showed that tin disulfide exhibits n-type conductivity

  3. Indium-tin-oxide thin film deposited by a dual ion beam assisted e-beam evaporation system

    International Nuclear Information System (INIS)

    Bae, J.W.; Kim, J.S.; Yeom, G.Y.

    2001-01-01

    Indium-tin-oxide (ITO) thin films were deposited on polycarbonate (PC) substrates at low temperatures (<90 deg. C) by a dual ion beam assisted e-beam evaporation system, where one gun (gun 1) is facing ITO flux and the other gun (gun 2) is facing the substrate. In this experiment, effects of rf power and oxygen flow rate of ion gun 2 on the electrical and optical properties of depositing ITO thin films were investigated. At optimal deposition conditions, ITO thin films deposited on the PC substrates larger than 20 cmx20 cm showed the sheet resistance of less than 40 Ω/sq., the optical transmittance of above 90%, and the uniformity of about 5%

  4. Influence of Microstructure on the Electrical Properties of Heteroepitaxial TiN Films

    Science.gov (United States)

    Xiang, Wenfeng; Liu, Yuan; Zhang, Jiaqi

    2018-03-01

    Heteroepitaxial TiN films were deposited on Si substrates by pulse laser deposition at different substrate temperature. The microstructure and surface morphology of the films were investigated by X-ray diffraction (θ-2θ scan, ω-scan, and ϕ-scan) and atomic force microscopy. The electrical properties of the prepared TiN films were studied using a physical property measurement system. The experimental results showed that the crystallinity and surface morphology of the TiN films were improved gradually with increasing substrate temperature below 700 °C. Specially, single crystal TiN films were prepared when substrate temperature is above 700 °C; However, the quality of TiN films gradually worsened when the substrate temperature was increased further. The electrical properties of the films were directly correlated to their crystalline quality. At the optimal substrate temperature of 700 °C, the TiN films exhibited the lowest resistivity and highest mobility of 25.7 μΩ cm and 36.1 cm2/V s, respectively. In addition, the mechanism concerning the influence of substrate temperature on the microstructure of TiN films is discussed in detail.

  5. IMPEDANCE SPECTROSCOPY OF POLYCRYSTALLINE TIN DIOXIDE FILMS

    Directory of Open Access Journals (Sweden)

    D. V. Adamchuck

    2016-01-01

    Full Text Available The aim of this work is the analysis of the influence of annealing in an inert atmosphere on the electrical properties and structure of non-stoichiometric tin dioxide films by means of impedance spectroscopy method. Non-stoichiometric tin dioxide films were fabricated by two-step oxidation of metallic tin deposited on the polycrystalline Al2O3 substrates by DC magnetron sputtering. In order to modify the structure and stoichiometric composition, the films were subjected to the high temperature annealing in argon atmosphere in temperature range 300–800 °С. AC-conductivity measurements of the films in the frequency range 20 Hz – 2 MHz were carried out. Variation in the frequency dependencies of the real and imaginary parts of the impedance of tin dioxide films was found to occur as a result of high-temperature annealing. Equivalent circuits for describing the properties of films with various structure and stoichiometric composition were proposed. Possibility of conductivity variation of the polycrystalline tin dioxide films as a result of аnnealing in an inert atmosphere was demonstrated by utilizing impedance spectroscopy. Annealing induces the recrystallization of the films, changing in their stoichiometry as well as increase of the sizes of SnO2 crystallites. Variation of electrical conductivity and structure of tin dioxide films as a result of annealing in inert atmosphere was confirmed by X-ray diffraction analysis. Analysis of the impedance diagrams of tin dioxide films was found to be a powerful tool to study their electrical properties. 

  6. Properties of indium tin oxide films deposited using High Target Utilisation Sputtering

    International Nuclear Information System (INIS)

    Calnan, S.; Upadhyaya, H.M.; Thwaites, M.J.; Tiwari, A.N.

    2007-01-01

    Indium tin oxide (ITO) films were deposited on soda lime glass and polyimide substrates using an innovative process known as High Target Utilisation Sputtering (HiTUS). The influence of the oxygen flow rate, substrate temperature and sputtering pressure, on the electrical, optical and thermal stability properties of the films was investigated. High substrate temperature, medium oxygen flow rate and moderate pressure gave the best compromise of low resistivity and high transmittance. The lowest resistivity was 1.6 x 10 -4 Ω cm on glass while that on the polyimide was 1.9 x 10 -4 Ω cm. Substrate temperatures above 100 deg. C were required to obtain visible light transmittance exceeding 85% for ITO films on glass. The thermal stability of the films was mainly influenced by the oxygen flow rate and thus the initial degree of oxidation. The film resistivity was either unaffected or reduced after heating in vacuum but generally increased for oxygen deficient films when heated in air. The greatest increase in transmittance of oxygen deficient films occurred for heat treatment in air while that of the highly oxidised films was largely unaffected by heating in both media. This study has demonstrated the potential of HiTUS as a favourable deposition method for high quality ITO suitable for use in thin film solar cells

  7. Fabrication of high-performance fluorine doped-tin oxide film using flame-assisted spray deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purwanto, Agus, E-mail: Aguspur@uns.ac.id [Department of Chemical Engineering, Faculty of Engineering, Sebelas Maret University, Jl. Ir. Sutami 36 A, Surakarta, Central Java 57126 (Indonesia); Widiyandari, Hendri [Department of Physics, Faculty of Mathematics and Natural Sciences, Diponegoro University, Jl. Prof. Dr. Soedarto, Tembalang, Semarang 50275 (Indonesia); Jumari, Arif [Department of Chemical Engineering, Faculty of Engineering, Sebelas Maret University, Jl. Ir. Sutami 36 A, Surakarta, Central Java 57126 (Indonesia)

    2012-01-01

    A high-performance fluorine-doped tin oxide (FTO) film was fabricated by flame-assisted spray deposition method. By varying the NH{sub 4}F doping concentration, the optimal concentration was established as 8 at.%. X-ray diffractograms confirmed that the as-grown FTO film was tetragonal SnO{sub 2}. In addition, the FTO film was comprised of nano-sized grains ranging from 40 to 50 nm. The heat-treated FTO film exhibited a sheet resistance of 21.8 {Omega}/{open_square} with an average transmittance of 81.9% in the visible region ({lambda} = 400-800 nm). The figures of merit shows that the prepared FTO film can be used for highly efficient dye-sensitized solar cells electrodes.

  8. Room temperature deposition of crystalline indium tin oxide films by cesium-assisted magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Deuk Yeon; Baik, Hong-Koo

    2008-01-01

    Indium tin oxide (ITO) films were deposited on a Si (1 0 0) substrate at room temperature by cesium-assisted magnetron sputtering. Including plasma characteristics, the structural, electrical, and optical properties of deposited films were investigated as a function of cesium partial vapor pressure controlled by cesium reservoir temperature. We calculated the cesium coverage on the target surface showing maximum formation efficiency of negative ions by means of the theoretical model. Cesium addition promotes the formation efficiency of negative ions, which plays important role in enhancing the crystallinity of ITO films. In particular, the plasma density was linearly increased with cesium concentrations. The resultant decrease in specific resistivity and increase in transmittance (82% in the visible region) at optimum cesium concentration (4.24 x 10 -4 Ω cm at 80 deg. C of reservoir temperature) may be due to enhanced crystallinity of ITO films. Excess cesium incorporation into ITO films resulted in amorphization of its microstructure leading to degradation of ITO crystallinity. We discuss the cesium effects based on the growth mechanism of ITO films and the plasma density

  9. Sputtered tin oxide and titanium oxide thin films as alternative transparent conductive oxides

    Energy Technology Data Exchange (ETDEWEB)

    Boltz, Janika

    2011-12-12

    Alternative transparent conductive oxides to tin doped indium oxide have been investigated. In this work, antimony doped tin oxide and niobium doped titanium oxide have been studied with the aim to prepare transparent and conductive films. Antimony doped tin oxide and niobium doped titanium oxide belong to different groups of oxides; tin oxide is a soft oxide, while titanium oxide is a hard oxide. Both oxides are isolating materials, in case the stoichiometry is SnO{sub 2} and TiO{sub 2}. In order to achieve transparent and conductive films free carriers have to be generated by oxygen vacancies, by metal ions at interstitial positions in the crystal lattice or by cation doping with Sb or Nb, respectively. Antimony doped tin oxide and niobium doped titanium oxide films have been prepared by reactive direct current magnetron sputtering (dc MS) from metallic targets. The process parameters and the doping concentration in the films have been varied. The films have been electrically, optically and structurally analysed in order to analyse the influence of the process parameters and the doping concentration on the film properties. Post-deposition treatments of the films have been performed in order to improve the film properties. For the deposition of transparent and conductive tin oxide, the dominant parameter during the deposition is the oxygen content in the sputtering gas. The Sb incorporation as doping atoms has a minor influence on the electrical, optical and structural properties. Within a narrow oxygen content in the sputtering gas highly transparent and conductive tin oxide films have been prepared. In this study, the lowest resistivity in the as deposited state is 2.9 m{omega} cm for undoped tin oxide without any postdeposition treatment. The minimum resistivity is related to a transition to crystalline films with the stoichiometry of SnO{sub 2}. At higher oxygen content the films turn out to have a higher resistivity due to an oxygen excess. After post-deposition

  10. Electrical and optical properties of indium tin oxide thin films deposited on unheated substrates by d.c. reactive sputtering

    International Nuclear Information System (INIS)

    Karasawa, T.; Miyata, Y.

    1993-01-01

    Transparent conducting thin films of indium tin oxide (ITO) have been deposited by d.c. reactive planar magnetron sputtering by using metal In-Sn alloy target in an Ar-O 2 gas mixture. The study demonstrates that the deposition on unheated substrates achieved sheet resistance of as low as about 50-60 Ω/□ (or a resistivity of about 7 x 10 -4 Ω cm), and visible transmission of about 90% for a wavelength of 420 nm. The effects of heat treatment at 450 C in air depends on the deposition conditions of the as-deposited ITO films. Although annealing improves the properties of as-deposited ITO films which were deposited with non-optimum conditions, the optimized condition for the formation of the film in the as-deposited state is essential to obtain a high quality transparent conducting coating. (orig.)

  11. Ultra-Thin Atomic Layer Deposited TiN Films: Non-Linear I–V Behaviour and the Importance of Surface Passivation

    NARCIS (Netherlands)

    Van Hao, B.; Aarnink, Antonius A.I.; Kovalgin, Alexeij Y.; Wolters, Robertus A.M.

    2011-01-01

    We report the electrical resistivity of atomic layer deposited TiN thin films in the thickness range 2.5-20 nm. The measurements were carried out using the circular transfer length method structures. For the films with thickness in the range of 10-20 nm, the measurements exhibited linear

  12. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Lindahl, Johan, E-mail: johan.lindahl@angstrom.uu.se; Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Wätjen, J. Timo, E-mail: timo.watjen@angstrom.uu.se; Edoff, Marika, E-mail: marika.edoff@angstrom.uu.se; Törndahl, Tobias, E-mail: tobias.torndahl@angstrom.uu.se

    2015-07-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO{sub x} ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm{sup 3} in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap.

  13. The effect of substrate temperature on atomic layer deposited zinc tin oxide

    International Nuclear Information System (INIS)

    Lindahl, Johan; Hägglund, Carl; Wätjen, J. Timo; Edoff, Marika; Törndahl, Tobias

    2015-01-01

    Zinc tin oxide (ZTO) thin films were deposited on glass substrates by atomic layer deposition (ALD), and the film properties were investigated for varying deposition temperatures in the range of 90 to 180 °C. It was found that the [Sn]/([Sn] + [Zn]) composition is only slightly temperature dependent, while properties such as growth rate, film density, material structure and band gap are more strongly affected. The growth rate dependence on deposition temperature varies with the relative number of zinc or tin containing precursor pulses and it correlates with the growth rate behavior of pure ZnO and SnO x ALD. In contrast to the pure ZnO phase, the density of the mixed ZTO films is found to depend on the deposition temperature and it increases linearly with about 1 g/cm 3 in total over the investigated range. Characterization by transmission electron microscopy suggests that zinc rich ZTO films contain small (~ 10 nm) ZnO or ZnO(Sn) crystallites embedded in an amorphous matrix, and that these crystallites increase in size with increasing zinc content and deposition temperature. These crystallites are small enough for quantum confinement effects to reduce the optical band gap of the ZTO films as they grow in size with increasing deposition temperature. - Highlights: • Zinc tin oxide thin films were deposited by atomic layer deposition. • The structure and optical properties were studied at different growth temperatures. • The growth temperature had only a small effect on the composition of the films. • Small ZnO or ZnO(Sn) crystallites were observed by TEM in zinc rich ZTO films. • The growth temperature affects the crystallite size, which influences the band gap

  14. Influence of Deposition Conditions on Fatigue Properties of Martensitic Stainless Steel with Tin Film Coated by Arc Ion Plating Method

    Science.gov (United States)

    Fukui, Satoshi; Yonekura, Daisuke; Murakami, Ri-Ichi

    The surface properties like roughness etc. strongly influence the fatigue strength of high-tensile steel. To investigate the effect of surface condition and TiN coating on the fatigue strength of high-strength steel, four-point bending fatigue tests were carried out for martensitic stainless steel with TiN film coated using arc ion plating (AIP) method. This study, using samples that had been polished under several size of grind particle, examines the influence of pre-coating treatment on fatigue properties. A 2-µm-thick TiN film was deposited onto the substrate under three kinds of polishing condition. The difference of the hardness originated in the residual stress or thin deformation layer where the difference of the size of grinding particle of the surface polishing. And it leads the transformation of the interface of the substrate and the TiN film and improves fatigue limit.

  15. Flexibility of the Indium Tin Oxide Transparent Conductive Film Deposited Onto the Plastic Substrate

    Directory of Open Access Journals (Sweden)

    Shao-Kai Lu

    2014-03-01

    Full Text Available In this study, we utilize the RF magnetron sputtering system to deposit the indium tin oxide (ITO conductive transparent film with low resistivity and high light transmittance to the polyethylene tetephthalate (PET plastic substrate and measure the film’s bending property and reliability at different tensile/compressive strain bending curvatures as well as the flexibility after cycling bending. The results show that the critical curvatures corresponded to the significant increase in the resistance of the 150 nm-thick ITO film deposited onto the PET substrate under tensile and compressive stress areO 14.1 mm and 5.4 mm, respectively. By observing the film’s surface crack and morphology, we can further discover that the critical curvature of the crack generated when the film is bent is quite consistent with the critical curvature at which the conductivity property degrades, and the film can withstand a higher compressive strain bending. In addition, the resistance and adhesion behavior of the film almost is unchanged after cycling bent for 1000 times with the curvature below the critical curvature.

  16. Deposit of thin films of TiN, a-C, Ti/TiN/a-C by laser ablation; Deposito de peliculas delgadas de TiN, a-C, Ti/TiN/a-C por ablacion laser

    Energy Technology Data Exchange (ETDEWEB)

    Mejia, I.S.; Escobar A, L.; Camps, E.; Romero, S. [ININ, 52045 Ocoyoacac, Estado de mexico (Mexico); Muhl, S. [IIM, UNAM, A.P. 364, 01000 Mexico D.F. (Mexico)

    2006-07-01

    Thin films of titanium nitride (TiN), amorphous carbon (a-C), as well as bilayers of Ti/TiN/a-C were deposited by means of the laser ablation technique. It was investigated the effect that it has the laser fluence used to ablation the targets in the structure and mechanical properties of the TiN deposited films. The TiN obtained films have a preferential orientation in the direction (200). The results show that the hardness of this material is influenced by the laser fluence. It is observed that the hardness is increased in an approximately lineal way with the increment of the fluence up to 19 J/cm{sup 2}. The films of amorphous carbon present hardness of the order of 11.2 GPa. Likewise it was found that the multilayers of Ti/TiN/aC presented a bigger hardness that of its individual components. (Author)

  17. Crystallinity, etchability, electrical and mechanical properties of Ga doped amorphous indium tin oxide thin films deposited by direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Hyun-Jun; Song, Pung-Keun

    2014-01-01

    Indium tin oxide (ITO) and Ga-doped ITO (ITO:Ga) films were deposited on glass and polyimide (PI) substrates by direct current (DC) magnetron sputtering using different ITO:Ga targets (doped-Ga: 0, 0.1 and 2.9 wt.%). The films were deposited with a thickness of 50 nm and then post-annealed at various temperatures (room temperature-250 °C) in a vacuum chamber for 30 min. The amorphous ITO:Ga (0.1 wt.% Ga) films post-annealed at 220 °C exhibited relatively low resistivity (4.622x10 −4 Ω cm), indicating that the crystallinity of the ITO:Ga films decreased with increasing Ga content. In addition, the amorphous ITO:Ga films showed a better surface morphology, etchability and mechanical properties than the ITO films. - Highlights: • The Ga doped indium tin oxide (ITO) films crystallized at higher temperatures than the ITO films. • The amorphisation of ITO films increases with increasing Ga content. • Similar resistivity was observed between crystalline ITO and amorphous Ga doped ITO films. • Etching property of ITO film was improved with increasing Ga content

  18. Spray deposited gallium doped tin oxide thinfilm for acetone sensor application

    Science.gov (United States)

    Preethi, M. S.; Bharath, S. P.; Bangera, Kasturi V.

    2018-04-01

    Undoped and gallium doped (1 at.%, 2 at.% and 3 at.%) tin oxide thin films were prepared using spray pyrolysis technique by optimising the deposition conditions such as precursor concentration, substrate temperature and spraying rate. X-ray diffraction analysis revealed formation of tetragonally structured polycrystalline films. The SEM micrographs of Ga doped films showed microstructures. The electrical resistivity of the doped films was found to be more than that of the undoped films. The Ga-doped tin oxide thin films were characterised for gas sensors. 1 at.% Ga doped thin films were found to be better acetone gas sensor, showed 68% sensitivity at 350°C temperature.

  19. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  20. Translation Effects in Fluorine Doped Tin Oxide Thin Film Properties by Atmospheric Pressure Chemical Vapour Deposition

    Directory of Open Access Journals (Sweden)

    Mohammad Afzaal

    2016-10-01

    Full Text Available In this work, the impact of translation rates in fluorine doped tin oxide (FTO thin films using atmospheric pressure chemical vapour deposition (APCVD were studied. We demonstrated that by adjusting the translation speeds of the susceptor, the growth rates of the FTO films varied and hence many of the film properties were modified. X-ray powder diffraction showed an increased preferred orientation along the (200 plane at higher translation rates, although with no actual change in the particle sizes. A reduction in dopant level resulted in decreased particle sizes and a much greater degree of (200 preferred orientation. For low dopant concentration levels, atomic force microscope (AFM studies showed a reduction in roughness (and lower optical haze with increased translation rate and decreased growth rates. Electrical measurements concluded that the resistivity, carrier concentration, and mobility of films were dependent on the level of fluorine dopant, the translation rate and hence the growth rates of the deposited films.

  1. Reaction Mechanisms of the Atomic Layer Deposition of Tin Oxide Thin Films Using Tributyltin Ethoxide and Ozone.

    Science.gov (United States)

    Nanayakkara, Charith E; Liu, Guo; Vega, Abraham; Dezelah, Charles L; Kanjolia, Ravindra K; Chabal, Yves J

    2017-06-20

    Uniform and conformal deposition of tin oxide thin films is important for several applications in electronics, gas sensing, and transparent conducting electrodes. Thermal atomic layer deposition (ALD) is often best suited for these applications, but its implementation requires a mechanistic understanding of the initial nucleation and subsequent ALD processes. To this end, in situ FTIR and ex situ XPS have been used to explore the ALD of tin oxide films using tributyltin ethoxide and ozone on an OH-terminated, SiO 2 -passivated Si(111) substrate. Direct chemisorption of tributyltin ethoxide on surface OH groups and clear evidence that subsequent ligand exchange are obtained, providing mechanistic insight. Upon ozone pulse, the butyl groups react with ozone, forming surface carbonate and formate. The subsequent tributyltin ethoxide pulse removes the carbonate and formate features with the appearance of the bands for CH stretching and bending modes of the precursor butyl ligands. This ligand-exchange behavior is repeated for subsequent cycles, as is characteristic of ALD processes, and is clearly observed for deposition temperatures of 200 and 300 °C. On the basis of the in situ vibrational data, a reaction mechanism for the ALD process of tributyltin ethoxide and ozone is presented, whereby ligands are fully eliminated. Complementary ex situ XPS depth profiles confirm that the bulk of the films is carbon-free, that is, formate and carbonate are not incorporated into the film during the deposition process, and that good-quality SnO x films are produced. Furthermore, the process was scaled up in a cross-flow reactor at 225 °C, which allowed the determination of the growth rate (0.62 Å/cycle) and confirmed a self-limiting ALD growth at 225 and 268 °C. An analysis of the temperature-dependence data reveals that growth rate increases linearly between 200 and 300 °C.

  2. Formation of copper tin sulfide films by pulsed laser deposition at 248 and 355 nm

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt; Crovetto, Andrea; Canulescu, Stela

    2016-01-01

    The influence of the laser wavelength on the deposition of copper tin sulfide (CTS) and SnS-rich CTS with a 248-nm KrF excimer laser (pulse length τ = 20 ns) and a 355-nm frequency-tripled Nd:YAG laser (τ = 6 ns) was investigated. A comparative study of the two UV wavelengths shows that the CTS...... film growth rate per pulse was three to four times lower with the 248-nm laser than the 355-nm laser. SnS-rich CTS is more efficiently ablated than pure CTS. Films deposited at high fluence have submicron and micrometer size droplets, and the size and area density of the droplets do not vary significantly...

  3. Opto-electronic properties of chromium doped indium-tin-oxide films deposited at room temperature

    International Nuclear Information System (INIS)

    Chang Weiche; Lee Shihchin; Yang Chihhao; Lin Tienchai

    2008-01-01

    Indium-tin-oxide (ITO) doped chromium films were deposited on Corning 7059 glass prepared by radio frequency (RF) magnetron sputtering under various levels of sputtering power for the chromium target. Experimental results show that the surface roughness slightly decreases by co-sputtering Cr. The pure ITO films deposited at room temperature were amorphous-like. At 15 W of chromium target power, the structure of ITO: Cr film mainly consists of (2 2 2) crystallization plane, with minority of (2 1 1), (4 4 0), (6 6 2) crystallization planes. The carrier concentration of the ITO films increases with increasing the doping of chromium, however the mobility of the carrier decreases. When the sputtering power of the chromium target is at 7.5 W, there has a maximum carrier mobility of 27.3 cm 2 V -1 s -1 , minimum carrier concentration of 2.47 x 10 20 cm -3 , and lowest resistivity of 7.32 x 10 -4 Ω cm. The transmittance of all the chromium doped ITO films at the 300-800 nm wavelength region in this experiment can reach up to 70-85%. In addition, the blue shift of UV-Vis spectrum is not observed with the increase of carrier concentration

  4. Rf reactive sputtering of indium-tin-oxide films

    International Nuclear Information System (INIS)

    Tvarozek, V.; Novotny, I.; Harman, R.; Kovac, J.

    1986-01-01

    Films of indium-tin-oxide (ITO) have been deposited by rf reactive diode sputtering of metallic InSn alloy targets, or ceramic ITO targets, in an Ar and Ar+0 2 atmosphere. Electrical as well as optical properties of ITO films were controlled by varying sputtering parameters and by post-deposition heat-treatment in Ar, H 2 , N 2 , H 2 +N 2 ambients. The ITO films exhibited low resistivity approx. 2 x 10 -4 Ω cm, high transmittance approx. 90% in the visible spectral region and high reflectance approx. 80% in the near infra-red region. (author)

  5. Effects of target bias voltage on indium tin oxide films deposited by high target utilisation sputtering

    International Nuclear Information System (INIS)

    Calnan, Sonya; Upadhyaya, Hari M.; Dann, Sandra E.; Thwaites, Mike J.; Tiwari, Ayodhya N.

    2007-01-01

    Indium tin oxide (ITO) films were deposited by reactive High Target Utilisation Sputtering (HiTUS) onto glass and polyimide substrates. The ion plasma was generated by an RF power source while the target bias voltage was varied from 300 V to 500 V using a separate DC power supply. The deposition rate, at constant target power, increased with DC target voltage due to increased ion energy reaching 34 nm/min at 500 V. All the films were polycrystalline and showed strong (400) and (222) reflections with the relative strength of latter increasing with target bias voltage. The resistivity was lowest at 500 V with values of 1.8 x 10 -4 Ω cm and 2.4 x 10 -4 Ω cm on glass and polyimide, respectively but was still less than 5 x 10 -4 Ω cm at 400 V. All films were highly transparent to visible light, (> 80%) but the NIR transmittance decreased with increasing target voltage due to higher free carrier absorption. Therefore, ITO films can be deposited onto semiconductor layers such as in solar cells, with minimal ion damage while maintaining low resistivity

  6. TiN thin film deposition by cathodic cage discharge: effect of cage configuration and active species

    International Nuclear Information System (INIS)

    De Freitas Daudt, N; Cavalcante Braz, D; Alves Junior, C; Pereira Barbosa, J C; Barbalho Pereira, M

    2012-01-01

    Plasma cathodic cage technique was developed recently in order to eliminate phenomena such as edge effects and overheating, which occur during conventional nitriding processes. In this work, the effect of plasma active species and cage configurations during thin film deposition of TiN were studied. This compound was chosen because its properties are very sensitive to slight variations in chemical composition and film thickness, becoming a good monitoring tool in fabrication process control. In order to verify the effect of cage geometry on the discharge and characteristics of the grown film, a cage made of titanium was used with different numbers and distribution of holes. Furthermore, different amounts of hydrogen were added to the Ar + N2 plasma atmosphere. Flow rates of Ar and N2 gas were fixed at 4 and 3 sccm, respectively and flow rates of H 2 gas was 0, 1 and 2 sccm. Plasma species, electrical discharge and physical characteristics of the grown film were analyzed by Optical Emission Spectroscopy (OES), Atomic Force Microscopy (AFM), X-Ray Diffraction. It was observed by OES that the luminous intensity associated to Hα species is not proportional to flow rate of H 2 gas. Electrical efficiency of the system, crystal structure and topography of the TiN film are strongly influenced by this behavior. For constant flow rate of H 2 gas, it was found that with more holes at the top of the cage, deposition rate, crystallinity and roughness are higher, if compared to cages with a small number of holes at the top of cage. On the other hand, the opposite behavior was observed when more holes were located at the sidewall of cage.

  7. Dense CdS thin films on fluorine-doped tin oxide coated glass by high-rate microreactor-assisted solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Su, Yu-Wei, E-mail: suyuweiwayne@gmail.com [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ramprasad, Sudhir [Energy Processes and Materials Division, Pacific Northwest National Laboratory, Corvallis, OR 9730 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Han, Seung-Yeol; Wang, Wei [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ryu, Si-Ok [School of Display and Chemical Engineering, Yeungnam University, 214-1 Dae-dong, Gyeonsan, Gyeongbuk 712-749 (Korea, Republic of); Palo, Daniel R. [Barr Engineering Co., Hibbing, MN 55747 (United States); Paul, Brian K. [School of Mechanical, Industrial and Manufacturing Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Chang, Chih-hung [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States)

    2013-04-01

    Continuous microreactor-assisted solution deposition is demonstrated for the deposition of CdS thin films on fluorine-doped tin oxide (FTO) coated glass. The continuous flow system consists of a microscale T-junction micromixer with the co-axial water circulation heat exchanger to control the reacting chemical flux and optimize the heterogeneous surface reaction. Dense, high quality nanocrystallite CdS thin films were deposited at an average rate of 25.2 nm/min, which is significantly higher than the reported growth rate from typical batch chemical bath deposition process. Focused-ion-beam was used for transmission electron microscopy specimen preparation to characterize the interfacial microstructure of CdS and FTO layers. The band gap was determined at 2.44 eV by UV–vis absorption spectroscopy. X-ray photon spectroscopy shows the binding energies of Cd 3d{sub 3/2}, Cd 3d{sub 5/2}, S 2P{sub 3/2} and S 2P{sub 1/2} at 411.7 eV, 404.8 eV, 162.1 eV and 163.4 eV, respectively. - Highlights: ► CdS films deposited using continuous microreactor-assisted solution deposition (MASD) ► Dense nanocrystallite CdS films can be reached at a rate of 25.2 [nm/min]. ► MASD can approach higher film growth rate than conventional chemical bath deposition.

  8. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    Science.gov (United States)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  9. Structural, optical and electrical properties of tin oxide thin films for application as a wide band gap semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Sethi, Riti; Ahmad, Shabir; Aziz, Anver; Siddiqui, Azher Majid, E-mail: amsiddiqui@jmi.ac.in [Department of Physics, Jamia Millia Islamia, New Delhi-110025 (India)

    2015-08-28

    Tin oxide (SnO) thin films were synthesized using thermal evaporation technique. Ultra pure metallic tin was deposited on glass substrates using thermal evaporator under high vacuum. The thickness of the tin deposited films was kept at 100nm. Subsequently, the as-deposited tin films were annealed under oxygen environment for a period of 3hrs to obtain tin oxide films. To analyse the suitability of the synthesized tin oxide films as a wide band gap semiconductor, various properties were studied. Structural parameters were studied using XRD and SEM-EDX. The optical properties were studied using UV-Vis Spectrophotometry and the electrical parameters were calculated using the Hall-setup. XRD and SEM confirmed the formation of SnO phase. Uniform texture of the film can be seen through the SEM images. Presence of traces of unoxidised Sn has also been confirmed through the XRD spectra. The band gap calculated was around 3.6eV and the optical transparency around 50%. The higher value of band gap and lower value of optical transparency can be attributed to the presence of unoxidised Sn. The values of resistivity and mobility as measured by the Hall setup were 78Ωcm and 2.92cm{sup 2}/Vs respectively. The reasonable optical and electrical parameters make SnO a suitable candidate for optoelectronic and electronic device applications.

  10. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  11. Characterization of tin films synthesized from ethaline deep eutectic solvent

    International Nuclear Information System (INIS)

    Ghosh, Swatilekha; Roy, Sudipta

    2014-01-01

    Highlights: • Tin deposition was achieved by galvanostatic method on the basic substrates from ethaline deep eutectic solvent without use of any additives. • The current potential behaviour of tin system changes with increase in concentration of hydrated tin chloride in ethaline. • The deposition rate in ethaline display three times lower value compared to aqueous electrolytes. • Fine grained crystals of 62 ± 10 nm were obtained for tin deposits. • The deposition process is economical and can be adapted for industrial applications. - Abstract: Tin (Sn) films were electrodeposited by galvanostatic method from ethaline deep eutectic solvent (DES), without any additives. The effect of various deposition parameters on the microstructure was studied. With increase in metal salt concentration from 0.01 to 0.1 M, changes in current–potential behaviour were observed in the polarization scans. This might be due to the existence of [SnCl 3 ] − , [Sn 2 Cl 5 ] − complexes in ethaline DES. Smooth and homogeneous deposits were obtained on a steel substrate surface by applying current density of 1.57 × 10 −3 A/cm 2 at 25 °C. Under these conditions the deposition rate was found to be 0.1 ± 10% μm/min and current efficiency was obtained as 84 ± 3%. XRD analysis of the deposit confirmed the polycrystalline tetragonal structure with mostly (2 0 0) orientation having a crystallite size about 62 ± 16% nm along with an internal strain of 0.0031 ± 22%. The present deposition method is simple, economical and can be adapted for industrial applications

  12. Amorphous indium tin oxide films deposited on flexible substrates by facing target sputtering at room temperature

    International Nuclear Information System (INIS)

    Xiao, Yu; Gao, Fangyuan; Dong, Guobo; Guo, Tingting; Liu, Qirong; Ye, Di; Diao, Xungang

    2014-01-01

    Indium tin oxide (ITO) thin films were deposited on polyethylene terephthalate substrates using a DC facing target sputtering (DC-FTS) system at room temperature. The sputtering conditions including oxygen partial pressure and discharge current were varied from 0% to 4% and 0.5 A to 1.3 A, respectively. X-ray diffraction and scanning electron microscopy were used to study the structure and surface morphology of as-prepared films. All the films exhibited amorphous structures and smooth surfaces. The dependence of electrical and optical properties on various deposition parameters was investigated by a linear array four-point probe, Hall-effect measurements, and ultraviolet/visible spectrophotometry. A lowest sheet resistance of 17.4 Ω/square, a lowest resistivity of 3.61 × 10 −4 Ω cm, and an average relative transmittance over 88% in the visible range were obtained under the optimal deposition conditions. The relationship between the Hall mobility (μ) and carrier concentration (n) was interpreted by a functional relation of μ ∼ n −0.127 , which indicated that ionized donor scattering was the dominant electron scattering mechanism. It is also confirmed that the carrier concentration in ITO films prepared by the DC-FTS system is mainly controlled by the number of activated Sn donors rather than oxygen vacancies. - Highlights: • ITO thin films were grown on PET substrates by DC facing target sputtering system. • All the films were prepared at room temperature and exhibited amorphous structure. • Highly conductive and transparent ITO thin films were obtained. • The dominant ionized donor scattering mechanism was suggested

  13. Amorphous indium tin oxide films deposited on flexible substrates by facing target sputtering at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Yu [Solar Film Laboratory, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing 100191 (China); Gao, Fangyuan, E-mail: gaofangyuan@buaa.edu.cn [Solar Film Laboratory, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing 100191 (China); Dong, Guobo; Guo, Tingting; Liu, Qirong [Solar Film Laboratory, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing 100191 (China); Ye, Di [Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100191 (China); Diao, Xungang [Solar Film Laboratory, School of Physics and Nuclear Energy Engineering, Beihang University, Beijing 100191 (China)

    2014-04-01

    Indium tin oxide (ITO) thin films were deposited on polyethylene terephthalate substrates using a DC facing target sputtering (DC-FTS) system at room temperature. The sputtering conditions including oxygen partial pressure and discharge current were varied from 0% to 4% and 0.5 A to 1.3 A, respectively. X-ray diffraction and scanning electron microscopy were used to study the structure and surface morphology of as-prepared films. All the films exhibited amorphous structures and smooth surfaces. The dependence of electrical and optical properties on various deposition parameters was investigated by a linear array four-point probe, Hall-effect measurements, and ultraviolet/visible spectrophotometry. A lowest sheet resistance of 17.4 Ω/square, a lowest resistivity of 3.61 × 10{sup −4} Ω cm, and an average relative transmittance over 88% in the visible range were obtained under the optimal deposition conditions. The relationship between the Hall mobility (μ) and carrier concentration (n) was interpreted by a functional relation of μ ∼ n{sup −0.127}, which indicated that ionized donor scattering was the dominant electron scattering mechanism. It is also confirmed that the carrier concentration in ITO films prepared by the DC-FTS system is mainly controlled by the number of activated Sn donors rather than oxygen vacancies. - Highlights: • ITO thin films were grown on PET substrates by DC facing target sputtering system. • All the films were prepared at room temperature and exhibited amorphous structure. • Highly conductive and transparent ITO thin films were obtained. • The dominant ionized donor scattering mechanism was suggested.

  14. Oxygen effect of transparent conducting amorphous Indium Zinc Tin Oxide films on Polyimide substrate for flexible electrode

    International Nuclear Information System (INIS)

    Ko, Yoon Duk; Lee, Chang Hun; Moon, Doo Kyung; Kim, Young Sung

    2013-01-01

    This paper discusses the effect of oxygen on the transparent conducting properties and mechanical durability of the amorphous indium zinc tin oxide (IZTO) films. IZTO films deposited on flexible clear polyimide (PI) substrate using pulsed direct current (DC) magnetron sputtering at room temperature under various oxygen partial pressures. All IZTO films deposited at room temperature exhibit an amorphous structure. The electrical and optical properties of the IZTO films were sensitively influenced by oxygen partial pressures. At optimized deposition condition of 3.0% oxygen partial pressure, the IZTO film shows the lowest resistivity of 6.4 × 10 −4 Ωcm, high transmittance of over 80% in the visible range, and figure of merit value of 3.6 × 10 −3 Ω −1 without any heat controls. In addition, high work function and good mechanical flexibility of amorphous IZTO films are beneficial to flexible applications. It is proven that the proper oxygen partial pressure is important parameter to enhance the transparent conducting properties of IZTO films on PI substrate deposited at room temperature. - Highlights: • Indium zinc tin oxide (IZTO) films were deposited on polyimide at room temperature. • Transparent conducting properties of IZTO were influenced with oxygen partial pressure. • The smooth surface and high work function of IZTO were beneficial to anode layer. • The mechanical reliability of IZTO shows better performance to indium tin oxide film

  15. Electrical and optical properties of thin indium tin oxide films produced by pulsed laser ablation in oxygen or rare gas atmospheres

    DEFF Research Database (Denmark)

    Thestrup, B.; Schou, Jørgen; Nordskov, A.

    1999-01-01

    Films of indium tin oxide (ITO) have been produced in different background gases by pulsed laser deposition (PLD). The Films deposited in rare gas atmospheres on room temperature substrates were metallic, electrically conductive, but had poor transmission of visible light. For substrate temperatu......Films of indium tin oxide (ITO) have been produced in different background gases by pulsed laser deposition (PLD). The Films deposited in rare gas atmospheres on room temperature substrates were metallic, electrically conductive, but had poor transmission of visible light. For substrate...

  16. Indium Tin Oxide thin film gas sensors for detection of ethanol vapours

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    Indium Tin Oxide (ITO: In 2 O 3 + 17% SnO 2 ) thin films grown on alumina substrate at 648 K temperatures using direct evaporation method with two gold pads deposited on the top for electrical contacts were exposed to ethanol vapours (200-2500 ppm). The operating temperature of the sensor was optimized. The sensitivity variation of films having different thickness was studied. The sensitivity of the films deposited on Si substrates was studied. The response of the film with MgO catalytic layer on sensitivity and selectivity was observed. A novel approach of depositing thin stimulating layer of various metals/oxides below the ITO film was tried and tested

  17. Amorphous indium-tin-zinc oxide films deposited by magnetron sputtering with various reactive gases: Spatial distribution of thin film transistor performance

    International Nuclear Information System (INIS)

    Jia, Junjun; Torigoshi, Yoshifumi; Shigesato, Yuzo; Kawashima, Emi; Utsuno, Futoshi; Yano, Koki

    2015-01-01

    This work presents the spatial distribution of electrical characteristics of amorphous indium-tin-zinc oxide film (a-ITZO), and how they depend on the magnetron sputtering conditions using O 2 , H 2 O, and N 2 O as the reactive gases. Experimental results show that the electrical properties of the N 2 O incorporated a-ITZO film has a weak dependence on the deposition location, which cannot be explained by the bombardment effect of high energy particles, and may be attributed to the difference in the spatial distribution of both the amount and the activity of the reactive gas reaching the substrate surface. The measurement for the performance of a-ITZO thin film transistor (TFT) also suggests that the electrical performance and device uniformity of a-ITZO TFTs can be improved significantly by the N 2 O introduction into the deposition process, where the field mobility reach to 30.8 cm 2 V –1 s –1 , which is approximately two times higher than that of the amorphous indium-gallium-zinc oxide TFT

  18. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    Energy Technology Data Exchange (ETDEWEB)

    Tripathy, Sumanta K.; Rajeswari, V. P. [Centre for Nano Science and Technology, GVP College of Engineering (Autonomous), Visakhapatnam- 530048 (India)

    2014-01-28

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn{sub 3}O{sub 4}, corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating the absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells.

  19. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    International Nuclear Information System (INIS)

    Tripathy, Sumanta K.; Rajeswari, V. P.

    2014-01-01

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn 3 O 4 , corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating the absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells

  20. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  1. Optical emission spectroscopy during fabrication of indium-tin-oxynitride films by RF-sputtering

    International Nuclear Information System (INIS)

    Koufaki, M.; Sifakis, M.; Iliopoulos, E.; Pelekanos, N.; Modreanu, M.; Cimalla, V.; Ecke, G.; Aperathitis, E.

    2006-01-01

    Indium-tin-oxide (ITO) and indium-tin-oxynitride (ITON) films have been deposited on glass by rf-sputtering from an ITO target, using Ar plasma and N 2 plasma, respectively, and different rf-power. Optical emission spectroscopy (OES) was employed to identify the species present in the plasma and to correlate them with the properties of the ITO and ITON thin films. Emission lines of ionic In could only be detected in N 2 plasma, whereas in the Ar plasma additional lines corresponding to atomic In and InO, were detected. The deposition rate of thin films was correlated with the In species, rather than the nitrogen species, emission intensity in the plasma. The higher resistivity and lower carrier concentration of the ITON films, as compared to the respective properties of the ITO films, were attributed to the incorporation of nitrogen, instead of oxygen, in the ITON structure

  2. Effects of Annealing on TiN Thin Film Growth by DC Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Azadeh Jafari

    2014-07-01

    Full Text Available We have reviewed the deposition of titanium nitride (TiN thin films on stainless steel substrates by a DC magnetron sputtering method and annealing at different annealing temperatures of 500, 600, and 700°C for 120 min in nitrogen/argon atmospheres. Effects of annealing temperatures on the structural and the optical properties of TiN films were investigated using X-ray diffraction (XRD, atomic force microscope (AFM, field emission scanning electron microscopy (FESEM, and UV-VIS spectrophotometer. Our experimental studies reveal that the annealing temperature appreciably affected the structures, crystallite sizes, and reflection of the films. By increasing the annealing temperature to 700°C crystallinity and reflection of the film increase. These results suggest that annealed TiN films can be good candidate for tokamak first wall due to their structural and optical properties.

  3. Nanostructured antistatic and antireflective thin films made of indium tin oxide and silica over-coat layer

    Science.gov (United States)

    Cho, Young-Sang; Hong, Jeong-Jin; Yang, Seung-Man; Choi, Chul-Jin

    2010-08-01

    Stable dispersion of colloidal indium tin oxide nanoparticles was prepared by using indium tin oxide nanopowder, organic solvent, and suitable dispersants through attrition process. Various comminution parameters during the attrition step were studied to optimize the process for the stable dispersion of indium tin oxide sol. The transparent and conductive films were fabricated on glass substrate using the indium tin oxide sol by spin coating process. To obtain antireflective function, partially hydrolyzed alkyl silicate was deposited as over-coat layer on the pre-fabricated indium tin oxide film by spin coating technique. This double-layered structure of the nanostructured film was characterized by measuring the surface resistance and reflectance spectrum in the visible wavelength region. The final film structure was enough to satisfy the TCO regulations for EMI shielding purposes.

  4. Studies on high electronic energy deposition in transparent conducting indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Deshpande, N G [Thin Film and Nanotechnology Laboratory, Department of Physics, Dr Babasaheb Ambedkar Marathwada University, Aurangabad-431004 (MS) (India); Gudage, Y G [Thin Film and Nanotechnology Laboratory, Department of Physics, Dr Babasaheb Ambedkar Marathwada University, Aurangabad-431004 (MS) (India); Ghosh, A [Thin Film and Nanotechnology Laboratory, Department of Physics, Dr Babasaheb Ambedkar Marathwada University, Aurangabad-431004 (MS) (India); Vyas, J C [Technical and Prototype Engineering Division, Bhabha Atomic Research Center, Trombay, Mumbai (MS) (India); Singh, F [Inter-University Accelerator Center, Aruna Asaf Ali Marg, Post Box 10502, New Delhi 110067 (India); Tripathi, A [Inter-University Accelerator Center, Aruna Asaf Ali Marg, Post Box 10502, New Delhi 110067 (India); Sharma, Ramphal [Thin Film and Nanotechnology Laboratory, Department of Physics, Dr Babasaheb Ambedkar Marathwada University, Aurangabad-431004 (MS) (India)

    2008-02-07

    We have examined the effect of swift heavy ions using 100 MeV Au{sup 8+} ions on the electrical properties of transparent, conducting indium tin oxide polycrystalline films with resistivity of 0.58 x 10{sup -4} {omega} cm and optical transmission greater than 78% (pristine). We report on the modifications occurring after high electronic energy deposition. With the increase in fluency, x-ray line intensity of the peaks corresponding to the planes (1 1 0), (4 0 0), (4 4 1) increased, while (3 3 1) remained constant. Surface morphological studies showed a pomegranate structure of pristine samples, which was highly disturbed with a high dose of irradiation. For the high dose, there was a formation of small spherical domes uniformly distributed over the entire surface. The transmittance was seen to be decreasing with the increase in ion fluency. At higher doses, the resistivity and photoluminescence intensity was seen to be decreased. In addition, the carrier concentration was seen to be increased, which was in accordance with the decrease in resistivity. The observed modifications after high electronic energy deposition in these films may lead to fruitful device applications.

  5. Studies on high electronic energy deposition in transparent conducting indium tin oxide thin films

    International Nuclear Information System (INIS)

    Deshpande, N G; Gudage, Y G; Ghosh, A; Vyas, J C; Singh, F; Tripathi, A; Sharma, Ramphal

    2008-01-01

    We have examined the effect of swift heavy ions using 100 MeV Au 8+ ions on the electrical properties of transparent, conducting indium tin oxide polycrystalline films with resistivity of 0.58 x 10 -4 Ω cm and optical transmission greater than 78% (pristine). We report on the modifications occurring after high electronic energy deposition. With the increase in fluency, x-ray line intensity of the peaks corresponding to the planes (1 1 0), (4 0 0), (4 4 1) increased, while (3 3 1) remained constant. Surface morphological studies showed a pomegranate structure of pristine samples, which was highly disturbed with a high dose of irradiation. For the high dose, there was a formation of small spherical domes uniformly distributed over the entire surface. The transmittance was seen to be decreasing with the increase in ion fluency. At higher doses, the resistivity and photoluminescence intensity was seen to be decreased. In addition, the carrier concentration was seen to be increased, which was in accordance with the decrease in resistivity. The observed modifications after high electronic energy deposition in these films may lead to fruitful device applications

  6. X-ray photoelectron spectroscopy investigation of ion beam sputtered indium tin oxide films as a function of oxygen pressure during deposition

    International Nuclear Information System (INIS)

    Nelson, A.J.; Aharoni, H.

    1987-01-01

    X-ray photoelectron spectroscopy analysis was performed on ion beam sputter deposited films of indium tin oxide as a function of O 2 partial pressure during deposition. The oxygen partial pressure was varied over the range of 2.5 x 10 -6 --4.0 x 10 -5 Torr. Changes in composition as well as in the deconvoluted In 3d 5 /sub // 2 , Sn 3d 5 /sub // 2 , and O 1s core level spectra were observed and correlated with the variation of the oxygen partial pressure during deposition. Results show that the films become increasingly stoichiometric as P/sub =/ is increased and that the excess oxygen introduced during deposition is bound predominantly to the Sn and has little or no effect on the In--O bonding

  7. Effect of Nitrogen Content on Physical and Chemical Properties of TiN Thin Films Prepared by DC Magnetron Sputtering with Supported Discharge

    Science.gov (United States)

    Kavitha, A.; Kannan, R.; Gunasekhar, K. R.; Rajashabala, S.

    2017-10-01

    Amorphous titanium nitride (TiN) thin films have been prepared on silicon (Si) and glass substrates by direct-current (DC) reactive magnetron sputtering with a supported discharge (triode). Nitrogen gas (N2) at partial pressure of 0.3 Pa, 0.4 Pa, 0.5 Pa, and 0.6 Pa was used to prepare the TiN thin films, maintaining total pressure of argon and N2 of about 0.7 Pa. The chemical, microstructural, optical, and electrical properties of the TiN thin films were systematically studied. Presence of different phases of Ti with nitrogen (N), oxygen (O2), and carbon (C) elements was revealed by x-ray photoelectron spectroscopy characterization. Increase in the nitrogen pressure from 0.3 Pa to 0.6 Pa reduced the optical bandgap of the TiN thin film from 2.9 eV to 2.7 eV. Photoluminescence study showed that TiN thin film deposited at N2 partial pressure of 0.3 Pa exhibited three shoulder peaks at 330 nm, 335 nm, and 340 nm, which disappeared when the sample was deposited with N2 partial pressure of 0.6 Pa. Increase in the nitrogen content decreased the electrical resistivity of the TiN thin film from 3200 μΩ cm to 1800 μΩ cm. Atomic force microscopy studies of the TiN thin films deposited with N2 partial pressure of 0.6 Pa showed a uniform surface pattern associated with accumulation of fine grains. The results and advantages of this method of preparing TiN thin films are also reported.

  8. Multi - pulse tea CO2 laser beam interaction with the TiN thin films

    International Nuclear Information System (INIS)

    Gakovic, B.; Trtica, M.; Nenadovic, T.; Pavlicevic, B.

    1998-01-01

    The interaction of various types of energetic beams including a laser beam with the high-hardness coatings is of great fundamental and technological interest. The Nd:YAG, excimer and CO 2 are frequently used laser beams for this purpose. The interaction of a laser beam with low thickness coatings, deposited on austenitic stainless steel, is insufficiently known in the literature. Titanium nitride (TiN) possess the excellent physico-chemical characteristics. For this reason TiN films/coatings are widely used. The purpose of this article is a consideration of the effect of TEA C0 2 laser radiation on the TiN film deposited on austenitic stainless steel substrate (AISI 316). Investigation of TiN morphological changes, after multipulse laser irradiation, shown dependence on laser fluence, number of laser pulses and the laser pulse shape. Subsequently fast heating and cooling during multi-pulse laser bombardment cause the grain growth of TiN layer. Both laser pulses (pulses with tail and tail-free pulses) produced periodical wave like structure on polished substrate material. Periodicity is observed also on AISI 316 protected with TiN layer, but only with laser pulse with tail. (author)

  9. Structural, optical and electrical properties of indium tin oxide thin films prepared by spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Benamar, E.; Rami, M.; Messaoudi, C.; Sayah, D.; Ennaoui, A. [Deptartmento de Physique, Laboratoire de Physique des Materiaux, Faculte des Sciences, BP 1014, Ave Inb Battouta, Rabat (Morocco)

    1998-11-27

    Spray pyrolysis process has been used to deposit highly transparent and conducting films of tin-doped indium oxide onto glass substrates. The electrical, structural and optical properties have been investigated as a function of various deposition parameters namely dopant concentrations, temperature and nature of substrate. The morphology of the surface as a function of the substrate temperature has been studied using atomic force microscopy. XRD has shown that deposited films are polycrystalline without second phases and have a preferred orientation (4 0 0). Indium tin oxide layers with low resistivity values around 4x10{sup -5} {Omega} cm and transmission coefficients in the visible and near-infrared range of about 85-90% have been easily obtained

  10. Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Jagannadham, Kasichainula

    2015-01-01

    Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films

  11. Deposition Rate and Energy Enhancements of TiN Thin-Film in a Magnetized Sheet Plasma Source

    OpenAIRE

    Hamdi Muhyuddin D. Barra; Henry J. Ramos

    2011-01-01

    Titanium nitride (TiN) has been synthesized using the sheet plasma negative ion source (SPNIS). The parameters used for its effective synthesis has been determined from previous experiments and studies. In this study, further enhancement of the deposition rate of TiN synthesis and advancement of the SPNIS operation is presented. This is primarily achieved by the addition of Sm-Co permanent magnets and a modification of the configuration in the TiN deposition process. The ...

  12. Effects of gas flow rate on the structure and elemental composition of tin oxide thin films deposited by RF sputtering

    Science.gov (United States)

    Al-Mansoori, Muntaser; Al-Shaibani, Sahar; Al-Jaeedi, Ahlam; Lee, Jisung; Choi, Daniel; Hasoon, Falah S.

    2017-12-01

    Photovoltaic technology is one of the key answers for a better sustainable future. An important layer in the structure of common photovoltaic cells is the transparent conductive oxide. A widely applied transparent conductive oxide is tin oxide (SnO2). The advantage of using tin oxide comes from its high stability and low cost in processing. In our study, we investigate effects of working gas flow rate and oxygen content in radio frequency (RF)-sputtering system on the growth of intrinsic SnO2 (i-SnO2) layers. X-ray diffraction results showed that amorphous-like with nano-crystallite structure, and the surface roughness varied from 1.715 to 3.936 nm. X-Ray photoelectron spectroscopy analysis showed different types of point defects, such as tin interstitials and oxygen vacancies, in deposited i-SnO2 films.

  13. Effects of gas flow rate on the structure and elemental composition of tin oxide thin films deposited by RF sputtering

    Directory of Open Access Journals (Sweden)

    Muntaser Al-Mansoori

    2017-12-01

    Full Text Available Photovoltaic technology is one of the key answers for a better sustainable future. An important layer in the structure of common photovoltaic cells is the transparent conductive oxide. A widely applied transparent conductive oxide is tin oxide (SnO2. The advantage of using tin oxide comes from its high stability and low cost in processing. In our study, we investigate effects of working gas flow rate and oxygen content in radio frequency (RF-sputtering system on the growth of intrinsic SnO2 (i-SnO2 layers. X-ray diffraction results showed that amorphous-like with nano-crystallite structure, and the surface roughness varied from 1.715 to 3.936 nm. X-Ray photoelectron spectroscopy analysis showed different types of point defects, such as tin interstitials and oxygen vacancies, in deposited i-SnO2 films.

  14. Stress-Strain Analysis in TiN Nanocoating Deposited on Polymer with respect to Au Nanointerlayer

    Directory of Open Access Journals (Sweden)

    Magdalena Kopernik

    2014-01-01

    Full Text Available The multiscale analysis in the authors’ finite element code confirmed possibility of fracture, because of not sufficiently high level of compressive residual stress in the TiN deposited by physical deposition method and varied mechanical properties of the thin film and substrate. The residual stress cannot be identified by X-ray technique for amorphous polymer and layer with domains of crystalline TiN. It is assumed that the buffer biocompatible thin film of Au in the TiN/Bionate II material system will alter the evolution of residual stress and, therefore, will allow to determine the residual stress in profilometry studies, and helps to improve toughness of the connection between TiN and Bionate II. The introduction of Au nanocoating in the material system results in bending of the sample and a compressive residual stress in the TiN coating. Results of finite element simulation show improvement of connection between the polymer and TiN, and an increase of compressive residual stress in the coating by introduction of Au nanointerlayer results in reduction of stress and strain in the substrate (close to the boundary between substrate and coating.

  15. Development surface modification technologies - A development of new nuclear materials by thin film deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Won Jong; Lee, Min Goo; Kim, Hyun Ho; Kim, Yong Il; Kwang, Hee Soo [Korea Advanced Institute of Scienec and Technology, Taejon (Korea, Republic of)

    1995-08-01

    Pitting corrosion of TiN-coted Inconel 600 in hightemperature chloride solution was studied. To improve the pitting resistance of Inconel 600 by depositing TiN thin film, TiN must have the thickness greater than a critical value at which the characteristics of the film itself appear. E{sub np}s of the TiN-coated sample were higher than those of the bare Inconel 600 at all the solution temperature implying that the TiN film improved the pitting resistance. The heavy defects on the surface of the substrate which were incompletely covered by TiN film served as the active sites for the pit nucleation. Fine polishing reduced those defects and improved the pitting resistance of the TiN-coated Inconel 600. The pit densities of the TiN-coated samples were much lower than those of the bare Inconel 600 at low chloride concentrations. However, at high chloride concentrations the TiN film failed to improve the pitting resistance of the Inconel. The TiN film deposited by ion-plating on Stellite was studied. The X-ray analysis shows that the deposited films were only in .delta.-TiN phase and the texture was changed from (111) to (200) with the increase of N{sub 2}/Ar ratio. The impurities in TiN films were carbon and oxygen. The amounts of these impurities were decreased greatly when the substrate bias, -200 V, was applied compared to no bias. 40 refs., 4 tabs., 20 figs. (author)

  16. Electrical and Optical Properties of Fluorine Doped Tin Oxide Thin Films Prepared by Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Ziad Y. Banyamin

    2014-10-01

    Full Text Available Fluorine doped tin oxide (FTO coatings have been prepared using the mid-frequency pulsed DC closed field unbalanced magnetron sputtering technique in an Ar/O2 atmosphere using blends of tin oxide and tin fluoride powder formed into targets. FTO coatings were deposited with a thickness of 400 nm on glass substrates. No post-deposition annealing treatments were carried out. The effects of the chemical composition on the structural (phase, grain size, optical (transmission, optical band-gap and electrical (resistivity, charge carrier, mobility properties of the thin films were investigated. Depositing FTO by magnetron sputtering is an environmentally friendly technique and the use of loosely packed blended powder targets gives an efficient means of screening candidate compositions, which also provides a low cost operation. The best film characteristics were achieved using a mass ratio of 12% SnF2 to 88% SnO2 in the target. The thin film produced was polycrystalline with a tetragonal crystal structure. The optimized conditions resulted in a thin film with average visible transmittance of 83% and optical band-gap of 3.80 eV, resistivity of 6.71 × 10−3 Ω·cm, a carrier concentration (Nd of 1.46 × 1020 cm−3 and a mobility of 15 cm2/Vs.

  17. Characterization and Gas Sensing Properties of Copper-doped Tin Oxide Thin Films Deposited by Ultrasonic Spray Pyrolysis

    Directory of Open Access Journals (Sweden)

    Zhaoxia ZHAI

    2016-05-01

    Full Text Available Tin oxide-based thin films are deposited by ultrasonic spray pyrolysis technology, in which Cu addition is introduced to enhance the gas sensing performance by H2S detection. The thin films are porous and comprise nano-sized crystallites. One of the Cu-containing thin film sensors demonstrates a fast and significant response to H2S gas. The values of power law exponent n are calculated to discuss the sensitivity of the sensors, which is significantly promoted by Cu additive. The sensitivity of Cu-doped SnO2 gas sensors is determined by two mechanisms. One is the normal gas sensing mechanism of SnO2 grains, and the other is the promoted mechanism caused by the transformation between CuO and CuS in the H2S detection. DOI: http://dx.doi.org/10.5755/j01.ms.22.2.12917

  18. Combined TiN- and TaN temperature compensated thin film resistors

    International Nuclear Information System (INIS)

    Malmros, Anna; Andersson, Kristoffer; Rorsman, Niklas

    2012-01-01

    The opposite signs of the temperature coefficient of resistance (TCR) of two thin film materials, titanium nitride (TiN) and tantalum nitride (TaN), were used to form temperature compensated thin film resistors (TFRs). The principle of designing temperature compensated TFRs by connecting TFRs of each compound in series or in parallel was demonstrated. TiN, TaN, and combined TiN and TaN TFRs for monolithic microwave integrated circuits (MMICs) were fabricated by reactive sputtering. DC characterization was performed over the temperature range of 30–200 °C. The TiN TFRs exhibited an increase in resistivity with temperature with TCRs of 540 and 750 ppm/°C. The TaN TFR on the other hand exhibited a negative TCR of − 470 ppm/°C. The shunted TFRs were fabricated by serial deposition of TiN and TaN to form a bilayer component. The TCRs of the series- and shunt configurations were experimentally reduced to − 60 and 100 ppm/°C, respectively. The concept of temperature compensation was used to build a Wheatstone bridge with an application in on-chip temperature sensing.

  19. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  20. Surface modification of cadmium sulfide thin film honey comb nanostructures: Effect of in situ tin doping using chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, K.C., E-mail: wilsonphy@gmail.com [Department of Physics, Govt. Polytechnic College Kothamangalam, Chelad P O, Ernakulam, Kerala 686681 (India); Department of Physics, B. S. Abdur Rahman University, Vandaloor, Chennai, Tamilnadu 600048 (India); Basheer Ahamed, M. [Department of Physics, B. S. Abdur Rahman University, Vandaloor, Chennai, Tamilnadu 600048 (India)

    2016-01-15

    Graphical abstract: - Highlights: • Novel honey comb like cadmium sulfide thin film nanostructures prepared using chemical bath deposition on glass substrates. • Honey comb nanostructure found in two layers: an ultra thin film at bottom and well inter connected with walls of < 25 nm thick on top; hence maximum surface area possible for CdS nanostructure. • Shell size of the nanostructures and energy band gaps were controlled also an enhanced persistent conductivity observed on Sn doping. - Abstract: Even though nanostructures possess large surface to volume ratio compared to their thin film counterpart, the complicated procedure that demands for the deposition on a substrate kept them back foot in device fabrication techniques. In this work, a honey comb like cadmium sulfide (CdS) thin films nanostructure are deposited on glass substrates using simple chemical bath deposition technique at 65 °C. Energy band gaps, film thickness and shell size of the honey comb nanostructures are successfully controlled using tin (Sn) doping and number of shells per unit area is found to be maximum for 5% Sn doped (in the reaction mixture) sample. X-ray diffraction and optical absorption analysis showed that cadmium sulfide and cadmium hydroxide coexist in the samples. TEM measurements showed that CdS nanostructures are embedded in cadmium hydroxide just like “plum pudding”. Persistent photoconductivity measurements of the samples are also carried out. The decay constants found to be increased with increases in Sn doping.

  1. Tin dioxide sol-gel derived films doped with platinum and antimony deposited on porous silicon

    NARCIS (Netherlands)

    Savaniu, C.; Arnautu, A.; Cobianu, C.; Craciun, G.; Flueraru, C.; Zaharescu, M.; Parlog, C.; Paszti, F.; van den Berg, Albert

    1999-01-01

    SnO2 sol-gel derived thin films doped simultaneously with Pt and Sb are obtained and reported for the first time. The Sn sources were tin(IV) ethoxide or tin(II) ethylhexanoate, while hexachloroplatinic acid (H2PtCl6) and antimony chloride (SbCl3) were used as platinum and antimony sources,

  2. Effect of Target Density on Microstructural, Electrical, and Optical Properties of Indium Tin Oxide Thin Films

    Science.gov (United States)

    Zhu, Guisheng; Zhi, Li; Yang, Huijuan; Xu, Huarui; Yu, Aibing

    2012-09-01

    In this paper, indium tin oxide (ITO) targets with different densities were used to deposit ITO thin films. The thin films were deposited from these targets at room temperature and annealed at 750°C. Microstructural, electrical, and optical properties of the as-prepared films were studied. It was found that the target density had no effect on the properties or deposition rate of radiofrequency (RF)-sputtered ITO thin films, different from the findings for direct current (DC)-sputtered films. Therefore, when using RF sputtering, the target does not require a high density and may be reused.

  3. Deposition and microstructure of Ti-containing diamond-like carbon nanocomposite films

    International Nuclear Information System (INIS)

    Yang, Won Jae; Sekino, Tohru; Shim, Kwang Bo; Niihara, Koichi; Auh, Keun Ho

    2005-01-01

    Ti-containing diamond-like carbon (DLC) films were deposited by plasma decomposition of CH 4 /Ar gas mixtures with an introduction of tetrakis(dimethylamino)titanium (TDMAT, Ti[(CH 3 ) 2 N] 4 ), which was used as a precursor of titanium. The films deposited were found to be nanocomposite coatings consisting of TiN nanocrystalline clusters and amorphous hydrocarbon (a-C:H), indicating that the nanocrystalline clusters were embedded in the DLC matrix. The crystallinity of TiN clusters, as well as the Ti atomic concentrations in the films, increased with an increase of substrate temperature. The substrate temperature applied to form a crystalline phase in the DLC matrix induced a graphitization of amorphous hydrocarbon matrix. The increase of volume fraction of TiN nanocrystalline clusters in the DLC matrix enhanced the mechanical properties of nanostructured coatings, although the graphite-like structural transition of DLC matrix happened due to the applied heating

  4. Influence of indium doping on the properties of zinc tin oxide films and its application to transparent thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Mu Hee; Ma, Tae Young, E-mail: tyma@gnu.ac.kr

    2014-01-01

    In this study, the effects of indium (In) doping on the properties of zinc tin oxide (ZTO) films are reported. ZTO films were prepared by RF magnetron sputtering followed by In layer deposition, for use as the diffusion source. In order to protect the In layer from peeling, a second ZTO film was deposited on the In film. The annealing at 400 °C for 30 min was carried out to diffuse In atoms into the ZTO films. The structural, optical, and elemental properties of the annealed ZTO/In/ZTO films were investigated by X-ray diffraction, UV/vis spectrophotometry, and X-ray photoluminescence spectroscopy, respectively. The ZTO transparent thin film transistors employing the ZTO/In/ZTO films as the source/drain were prepared, and the effects of the In doped source/drain on the threshold voltage and mobility were characterized and analyzed. - Highlights: • We successfully doped zinc tin oxide (ZTO) films using In as a diffusion source. • Indium (In) was diffused in both directions with the diffusion coefficient of ∼ 4.3 × 10{sup −16} cm{sup 2}/s. • The mobility of ZTO thin film transistor was increased 1.6-times by adopting the In-diffused source/drain.

  5. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    Science.gov (United States)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  6. Effect of microstructure of TiN film on properties as bipolar plate coatings in polymer electrolyte membrane fuel cell prepared by inductively coupled plasma assisted magnetron sputtering

    International Nuclear Information System (INIS)

    Feng, Kai; Li, Zhuguo

    2013-01-01

    As potential application in bipolar plate of polymer electrolyte membrane fuel cell, the microstructure, corrosion resistance and the electrical conductivity of titanium nitride (TiN) and Si doped titanium nitride (Ti 0.9 Si 0.1 N) films deposited by magnetron sputtering with different bias voltages are investigated by X-ray diffraction (XRD), scanning electron microscope (SEM), atomic force microscope (AFM), electrochemical test and four-point probe method, respectively. XRD, SEM and AFM results reveal that the texture and topography of TiN film depend on the bias voltage and incorporation of Si. When the bias voltage is − 20 V and − 30 V, the TiN and Ti 0.9 Si 0.1 N films exhibit a dense (111) plane preferred growth, denser structure and smoother surface topography. The potentiodynamic test results indicate that the TiN and Ti 0.9 Si 0.1 N films have higher chemical inertness and better corrosion resistance. The films can satisfy the requirement of current density for bipolar plate materials. Incorporation of Si element into TiN film makes the passive current density more stable. Four-point probe measurement results show that the resistivity of both TiN and Ti 0.9 Si 0.1 N films reaches minimum when the deposition bias voltage is − 20 V. - Highlights: • Dense TiN and Ti 0.9 Si 0.1 N films are deposited by magnetron sputtering. • Preferred growth orientation of TiN depends on the bias voltage and Si doping. • TiN and Ti 0.9 Si 0.1 N films have excellent corrosion resistance. • Surface conductivity of TiN and Ti 0.9 Si 0.1 N films evolves with bias voltage

  7. Electrochemical Characterization of Nanoporous Nickel Oxide Thin Films Spray-Deposited onto Indium-Doped Tin Oxide for Solar Conversion Scopes

    Directory of Open Access Journals (Sweden)

    Muhammad Awais

    2015-01-01

    Full Text Available Nonstoichiometric nickel oxide (NiOx has been deposited as thin film utilizing indium-doped tin oxide as transparent and electrically conductive substrate. Spray deposition of a suspension of NiOx nanoparticles in alcoholic medium allowed the preparation of uniform NiOx coatings. Sintering of the coatings was conducted at temperatures below 500°C for few minutes. This scalable procedure allowed the attainment of NiOx films with mesoporous morphology and reticulated structure. The electrochemical characterization showed that NiOx electrodes possess large surface area (about 1000 times larger than their geometrical area. Due to the openness of the NiOx morphology, the underlying conductive substrate can be contacted by the electrolyte and undergo redox processes within the potential range in which NiOx is electroactive. This requires careful control of the conditions of polarization in order to prevent the simultaneous occurrence of reduction/oxidation processes in both components of the multilayered electrode. The combination of the open structure with optical transparency and elevated electroactivity in organic electrolytes motivated us to analyze the potential of the spray-deposited NiOx films as semiconducting cathodes of dye-sensitized solar cells of p-type when erythrosine B was the sensitizer.

  8. Transparent thin-film transistor exploratory development via sequential layer deposition and thermal annealing

    International Nuclear Information System (INIS)

    Hong, David; Chiang, Hai Q.; Presley, Rick E.; Dehuff, Nicole L.; Bender, Jeffrey P.; Park, Cheol-Hee; Wager, John F.; Keszler, Douglas A.

    2006-01-01

    A novel deposition methodology is employed for exploratory development of a class of high-performance transparent thin-film transistor (TTFT) channel materials involving oxides composed of heavy-metal cations with (n - 1)d 10 ns 0 (n ≥ 4) electronic configurations. The method involves sequential radio-frequency sputter deposition of thin, single cation oxide layers and subsequent post-deposition annealing in order to obtain a multi-component oxide thin film. The viability of this rapid materials development methodology is demonstrated through the realization of high-performance TTFTs with channel layers composed of zinc oxide/tin oxide, and tin oxide/indium oxide

  9. On the deposition parameters of indium oxide (IO) and tin oxide (TO) by reactive evaporation technique

    International Nuclear Information System (INIS)

    Hassan, F.; Abdullah, A.H.; Salam, R.

    1990-01-01

    Thin films of tin oxide (TO) and indium oxide (IO) are prepared by the reactive evaporation technique, where indium or tin sources are evaporated and made to react with oxygen gas injected close to the substrate. In both depositions a substrate temperature of 380 0 C and a chamber pressure of 2x10 -5 mbar are utilized, but however different oxygen flow rates has been maintained. For TO, the deposition rate is found to be constant up to about 55 minutes of deposition time with a deposition rate of about 0.10 A/s, but for longer deposition time the deposition rate increases rapidly up to about 0.30 A/s. The IO displays a higher deposition rate of about 0.80 A/s over a deposition time 30 minutes, beyond which the deposition rate increases gradually

  10. Cathodic electrochemical deposition of Magnéli phases TinO2n−1 thin films at different temperatures in acetonitrile solution

    International Nuclear Information System (INIS)

    Ertekin, Zeliha; Tamer, Uğur; Pekmez, Kadir

    2015-01-01

    Highlights: • TiO x films were prepared by cathodic electrodeposition in acetonitrile. • One-step electrodeposition of TiO x films without heat treatment process. • Different crystalline Ti n O 2n−1 films (γTi 3 O 5 , λTi 3 O 5 , Ti 4 O 7 , Ti 5 O 9 ) were obtained. - Abstract: The Magnéli phase titanium oxide films prepared by cathodic electrodeposition on indium–tin-oxide coated glass substrates from saturated peroxo-titanium solution in acetonitrile. Electrodeposited brownish semi-conductor thin films were identified via X-ray diffraction, Raman spectroscopy, UV–vis spectroscopy and scanning electron microscopy (SEM). The effects of different potentials and temperatures on the crystallinity of the thin films have been discussed. Ti 3 O 5 , Ti 4 O 7 and Ti 5 O 9 as the most favorable forms of the Ti n O 2n−1 were electrodeposited on ITO electrode at electrochemical deposition potentials and different temperatures. The present investigation reveals that the electrochemical deposition of crystalline Ti n O 2n−1 films by a simple one-step electrodeposition method (without any heat treatment) in acetonitrile solution is possible and very promising as a preparation method for electrochemical applications

  11. Proton microprobe study of tin-polymetallic deposits

    Energy Technology Data Exchange (ETDEWEB)

    Murao, S [Geological Survey of Japan, Tsukuba, Ibaraki (Japan); Sie, S H; Suter, G F [Commonwealth Scientific and Industrial Research Organisation (CSIRO), North Ryde, NSW (Australia). Div. of Exploration Geoscience

    1997-12-31

    Tin-polymetallic vein type deposits are a complex mixture of cassiterite and sulfides and they are the main source of technologically important rare metals such as indium and bismuth. Constituent minerals are usually fine grained having wide range of chemical composition and often the elements of interest occur as trace elements not amenable to electron microprobe analysis. PIXE with a proton microprobe can be an effective tool to study such deposits by delineating the distribution of trace elements among carrier minerals. Two representative indium-bearing deposits of tin- polymetallic type, Tosham of India (Cu-ln-Bi-Sn-W-Ag), and Mount Pleasant of Canada (Zn-Cu-In-Bi-Sn-W), were studied to delineate the distribution of medical/high-tech rare metals and to examine the effectiveness of the proton probe analysis of such ore. One of the results of the study indicated that indium and bismuth are present in chalcopyrite in the deposits. In addition to these important rare metals, zinc, copper, arsenic, antimony, selenium, and tin are common in chalcopyrite and pyrite. Arsenopyrite contains nickel, copper, zinc, silver, tin, antimony and bismuth. In chalcopyrite and pyrite, zinc, arsenic, indium, bismuth and lead are richer in Mount Pleasant ore, but silver is higher at Tosham. Also thallium and gold were found only in Tosham pyrite. The Tosham deposit is related to S-type granite, while Mount Pleasant to A-type. It appears that petrographic character of the source magma is one of the factors to determine the trace element distribution in tin-polymetallic deposit. 6 refs., 2 figs.

  12. Proton microprobe study of tin-polymetallic deposits

    Energy Technology Data Exchange (ETDEWEB)

    Murao, S. [Geological Survey of Japan, Tsukuba, Ibaraki (Japan); Sie, S.H.; Suter, G.F. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), North Ryde, NSW (Australia). Div. of Exploration Geoscience

    1996-12-31

    Tin-polymetallic vein type deposits are a complex mixture of cassiterite and sulfides and they are the main source of technologically important rare metals such as indium and bismuth. Constituent minerals are usually fine grained having wide range of chemical composition and often the elements of interest occur as trace elements not amenable to electron microprobe analysis. PIXE with a proton microprobe can be an effective tool to study such deposits by delineating the distribution of trace elements among carrier minerals. Two representative indium-bearing deposits of tin- polymetallic type, Tosham of India (Cu-ln-Bi-Sn-W-Ag), and Mount Pleasant of Canada (Zn-Cu-In-Bi-Sn-W), were studied to delineate the distribution of medical/high-tech rare metals and to examine the effectiveness of the proton probe analysis of such ore. One of the results of the study indicated that indium and bismuth are present in chalcopyrite in the deposits. In addition to these important rare metals, zinc, copper, arsenic, antimony, selenium, and tin are common in chalcopyrite and pyrite. Arsenopyrite contains nickel, copper, zinc, silver, tin, antimony and bismuth. In chalcopyrite and pyrite, zinc, arsenic, indium, bismuth and lead are richer in Mount Pleasant ore, but silver is higher at Tosham. Also thallium and gold were found only in Tosham pyrite. The Tosham deposit is related to S-type granite, while Mount Pleasant to A-type. It appears that petrographic character of the source magma is one of the factors to determine the trace element distribution in tin-polymetallic deposit. 6 refs., 2 figs.

  13. Proton microprobe study of tin-polymetallic deposits

    International Nuclear Information System (INIS)

    Murao, S.; Sie, S.H.; Suter, G.F.

    1996-01-01

    Tin-polymetallic vein type deposits are a complex mixture of cassiterite and sulfides and they are the main source of technologically important rare metals such as indium and bismuth. Constituent minerals are usually fine grained having wide range of chemical composition and often the elements of interest occur as trace elements not amenable to electron microprobe analysis. PIXE with a proton microprobe can be an effective tool to study such deposits by delineating the distribution of trace elements among carrier minerals. Two representative indium-bearing deposits of tin- polymetallic type, Tosham of India (Cu-ln-Bi-Sn-W-Ag), and Mount Pleasant of Canada (Zn-Cu-In-Bi-Sn-W), were studied to delineate the distribution of medical/high-tech rare metals and to examine the effectiveness of the proton probe analysis of such ore. One of the results of the study indicated that indium and bismuth are present in chalcopyrite in the deposits. In addition to these important rare metals, zinc, copper, arsenic, antimony, selenium, and tin are common in chalcopyrite and pyrite. Arsenopyrite contains nickel, copper, zinc, silver, tin, antimony and bismuth. In chalcopyrite and pyrite, zinc, arsenic, indium, bismuth and lead are richer in Mount Pleasant ore, but silver is higher at Tosham. Also thallium and gold were found only in Tosham pyrite. The Tosham deposit is related to S-type granite, while Mount Pleasant to A-type. It appears that petrographic character of the source magma is one of the factors to determine the trace element distribution in tin-polymetallic deposit. 6 refs., 2 figs

  14. In-situ laser processing and microstructural characteristics of YBa2Cu3O7-δ thin films on Si with TiN buffer layer

    International Nuclear Information System (INIS)

    Tiwari, P.; Zheleva, T.; Narayan, J.

    1993-01-01

    The authors have prepared high-quality superconducting YBa 2 Cu 3 O 7 -δ (YBCO) thin films on Si(100) with TiN as a buffer layer using in-situ multitarget deposition system. Both TiN and YBCO thin films were deposited sequentially by KrF excimer laser ( | = 248 nm ) at substrate temperature of 650 C . Thin films were characterized using X-ray diffraction (XRD), four-point-probe ac resistivity, scanning electron microscopy (S E M), transmission electron microscopy (TEM), and Rutherford backscattering (RBS). The TiN buffer layer was epitaxial and the epitaxial relationship was found to be cube on cube with TiN parallel Si. YBCO thin films on Si with TiN buffer layer showed the transition temperature of 90-92K with T co (zero resistance temperature) of 84K. The authors have found that the quality of the buffer layer is very important in determining the superconducting transition temperature of the thin film. The effects of processing parameters and the correlation of microstructural features with superconducting properties are discussed in detail

  15. Controlled Deposition of Tin Oxide and Silver Nanoparticles Using Microcontact Printing

    Directory of Open Access Journals (Sweden)

    Joo C. Chan

    2015-02-01

    Full Text Available This report describes extensive studies of deposition processes involving tin oxide (SnOx nanoparticles on smooth glass surfaces. We demonstrate the use of smooth films of these nanoparticles as a platform for spatially-selective electroless deposition of silver by soft lithographic stamping. The edge and height roughness of the depositing metallic films are 100 nm and 20 nm, respectively, controlled by the intrinsic size of the nanoparticles. Mixtures of alcohols as capping agents provide further control over the size and shape of nanoparticles clusters. The distribution of cluster heights obtained by atomic force microscopy (AFM is modeled through a modified heterogeneous nucleation theory as well as Oswald ripening. The thermodynamic modeling of the wetting properties of nanoparticles aggregates provides insight into their mechanism of formation and how their properties might be further exploited in wide-ranging applications.

  16. Pulsed laser deposition of Cu-Sn-S for thin film solar cells

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt; Crovetto, Andrea; Bosco, Edoardo

    Thin films of copper tin sulfide were deposited from a target of the stoichiometry Cu:Sn:S ~1:2:3 using pulsed laser deposition (PLD). Annealing with S powder resulted in films close to the desired Cu2SnS3 stoichiometry although the films remained Sn rich. Xray diffraction showed that the final...... films contained both cubic-phase Cu2SnS3 and orthorhombic-phase SnS...

  17. Preparation of high quality spray-deposited fluorine-doped tin oxide thin films using dilute di(n-butyl)tin(iv) diacetate precursor solutions

    Energy Technology Data Exchange (ETDEWEB)

    Premalal, E.V.A., E-mail: vikum777@gmail.com [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu (Japan); Dematage, N. [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu (Japan); Kaneko, S. [SPD Laboratory Inc, Hi-Cube 3-1-7, Wajiyama, Naka-ku, Hamamatsu (Japan); Konno, A. [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu (Japan)

    2012-09-01

    Fluorine-doped tin oxide (FTO) thin films were prepared, at different substrate temperatures, using dilute precursor solutions of di(n-butyl)tin(iv) diacetate (0.1 M DBTDA) by varying the F{sup -} concentration in the solution. It is noticed that conductivity of FTO film is increasing by increasing the fluorine amount in the solution. Morphology of SEM image reveals that grain size and its distribution are totally affected by the substrate temperature in which conductivity is altered. Among these FTO films, the best film obtained gives an electronic conductivity of 31.85 Multiplication-Sign 10{sup 2} {Omega}{sup -1} cm{sup -1}, sheet resistance of 4.4 {Omega}/{open_square} ({rho} = 3.14 Multiplication-Sign 10{sup -4} {Omega} cm) with over 80% average normal transmittance between the 400 and 800 nm wavelength range. The best FTO film consists of a large distribution of grain sizes from 50 nm to 400 nm range and the optimum conditions used are 0.1 M DBTDA, 0.3 M ammonium fluoride, in a mixture of propan-2-ol and water, at 470 Degree-Sign C substrate temperature. The large distribution of grain sizes can be easily obtained using low DBTDA concentration ({approx} 0.1 M or less) and moderate substrate temperature (470 Degree-Sign C). - Highlights: Black-Right-Pointing-Pointer F-doped SnO{sub 2} (FTO) thin films prepared using di(n-butyl)tin(iv) diacetate (DBTDA). Black-Right-Pointing-Pointer Substrate temperature and DBTDA concentration affect grain size and distribution. Black-Right-Pointing-Pointer Large distribution of grain sizes can optimize the conductivity of FTO film. Black-Right-Pointing-Pointer 0.1 M DBTDA, substrate temperature of 470 Degree-Sign C allows a large grain size distribution.

  18. Effects of process parameters on sheet resistance uniformity of fluorine-doped tin oxide thin films

    Science.gov (United States)

    Hudaya, Chairul; Park, Ji Hun; Lee, Joong Kee

    2012-01-01

    An alternative indium-free material for transparent conducting oxides of fluorine-doped tin oxide [FTO] thin films deposited on polyethylene terephthalate [PET] was prepared by electron cyclotron resonance - metal organic chemical vapor deposition [ECR-MOCVD]. One of the essential issues regarding metal oxide film deposition is the sheet resistance uniformity of the film. Variations in process parameters, in this case, working and bubbler pressures of ECR-MOCVD, can lead to a change in resistance uniformity. Both the optical transmittance and electrical resistance uniformity of FTO film-coated PET were investigated. The result shows that sheet resistance uniformity and the transmittance of the film are affected significantly by the changes in bubbler pressure but are less influenced by the working pressure of the ECR-MOCVD system.

  19. Influence of deposition rate on the properties of tin coatings deposited on tool steels using arc method

    International Nuclear Information System (INIS)

    Akhtar, P.; Abbas, M.

    2007-01-01

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapour deposition method. The study concentrated on cathodic arc physical vapour deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MD's) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester and pin-on-disc machine, were used to analyze and quantify the following properties and parameters, surface morphology, thickness, hardness, adhesion and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MD's produced during the etching stage, protruded through the thin film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 macro m showed the most stable trend of COF versus sliding distance. (author)

  20. Properties of fluorine and tin co-doped ZnO thin films deposited by sol–gel method

    International Nuclear Information System (INIS)

    Pan, Zhanchang; Zhang, Pengwei; Tian, Xinlong; Cheng, Guo; Xie, Yinghao; Zhang, Huangchu; Zeng, Xiangfu; Xiao, Chumin; Hu, Guanghui; Wei, Zhigang

    2013-01-01

    Highlights: •F and Sn co-doped ZnO thin films were synthesized by sol–gel method. •The effects of different F doping concentrations were investigated. •The co-doped nanocrystals exhibit good crystal quality. •The origin of the photoluminescence emissions was discussed. •The films showed high transmittance and low resistivity. -- Abstract: Highly transparent and conducting fluorine (F) and tin (Sn) co-doped ZnO (FTZO) thin films were deposited on glass substrates by the sol–gel processing. The structure and morphology of the films are characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and high resolution transmission electron microscopy (HRTEM) with various F doping concentrations. SEM images showed that the hexagonal ZnO crystals were well-arranged on the glass substrates and the HRTEM images indicated that the individual nanocrystals are highly oriented and exhibited a perfect lattice structure. Owing to its high carrier concentration and mobility, as well as good crystal quality, a minimum resistivity of 1 × 10 −3 Ω cm was obtained from the FTZO thin film with 3% F doping, and the average optical transmittance in the entire visible wavelength region was higher than 90%. The X-ray photoelectron spectroscopy (XPS) study confirmed the substitution of Zn 2+ by Sn ions and Room temperature photoluminescence (PL) observed for pure and FTZO thin films suggested the films exhibit a good crystallinity with a very low defect concentration

  1. Pulsed Nd:YAG laser deposition of indium tin oxide thin films in different gases and organic light emitting device applications

    International Nuclear Information System (INIS)

    Yong, T.Y.; Tou, T.Y.; Yow, H.K.; Safran, G.

    2008-01-01

    The microstructures, electrical and optical properties of indium-doped tin oxide (ITO) films, deposited on glass substrates in different background gases by a pulsed Nd:YAG laser, were characterized. The optimal pressure for obtaining the lowest resistivity in ITO thin film is inversely proportional to the molecular weight of the background gases, namely the argon (Ar), oxygen (O 2 ), nitrogen (N 2 ) and helium (He). While substrate heating to 250 deg. C decreased the ITO resistivity to -4 Ω cm, obtaining the optical transmittance of higher than 90% depended mainly on the background gas pressure for O 2 and Ar. Obtaining the lowest ITO resistivity, however, did not beget a high optical transmittance for ITO deposition in N 2 and He. Scanning electron microscope pictures show distinct differences in microstructures due to the background gas: nanostructures when using Ar and N 2 but polycrystalline for using O 2 and He. The ITO surface roughness varied with the deposition distance. The effects on the molecularly doped, single-layer organic light emitting device (OLED) operation and performance were also investigated. Only ITO thin films prepared in O 2 and Ar are suitable for the fabrication OLED with performance comparable to that fabricated on the commercially available, magnetron-sputtered ITO

  2. Experimental study on TiN coated racetrack-type ceramic pipe

    Science.gov (United States)

    Wang, Jie; Xu, Yan-Hui; Zhang, Bo; Wei, Wei; Fan, Le; Pei, Xiang-Tao; Hong, Yuan-Zhi; Wang, Yong

    2015-11-01

    TiN film was coated on the internal surface of a racetrack-type ceramic pipe by three different methods: radio-frequency sputtering, DC sputtering and DC magnetron sputtering. The deposition rates of TiN film under different coating methods were compared. The highest deposition rate was 156 nm/h, which was obtained by magnetron sputtering coating. Based on AFM, SEM and XPS test results, the properties of TiN film, such as film roughness and surface morphology, were analyzed. Furthermore, the deposition rates were studied with two different cathode types, Ti wires and Ti plate. According to the SEM test results, the deposition rate of TiN/Ti film was about 800 nm/h with Ti plate cathode by DC magnetron sputtering. Using Ti plate cathode rather than Ti wire cathode can greatly improve the film deposition rate. Supported by National Nature Science Foundation of China (11075157)

  3. Polycrystalline Mn-alloyed indium tin oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Schmidt, Heidemarie; Xu, Qingyu; Vinnichenko, Mykola; Kolitsch, Andreas; Helm, Manfred; Iacomi, Felicia

    2008-01-01

    Magnetic ITO films are interesting for integrating ITO into magneto-optoelectronic devices. We investigated n-conducting indium tin oxide (ITO) films with different Mn doping concentration which have been grown by chemical vapour deposition using targets with the atomic ratio In:Sn:Mn=122:12:0,114:12:7, and 109:12:13. The average film roughness ranges between 30 and 50 nm and XRD patterns revealed a polycrystalline structure. Magnetotransport measurements revealed negative magnetoresistance for all the samples, but high field positive MR can be clearly observed at 5 K with increasing Mn doping concentration. Spectroscopic ellipsometry (SE) has been used to prove the existence of midgap states in the Mn-alloyed ITO films revealing a transmittance less than 80%. A reasonable model for the ca. 250 nm thick Mn-alloyed ITO films has been developed to extract optical constants from SE data below 3 eV. Depending on the Mn content, a Lorentz oscillator placed between 1 and 2 eV was used to model optical absorption below the band gap

  4. Effect of thermal processing on silver thin films of varying thickness deposited on zinc oxide and indium tin oxide

    International Nuclear Information System (INIS)

    Sivaramakrishnan, K.; Ngo, A. T.; Alford, T. L.; Iyer, S.

    2009-01-01

    Silver films of varying thicknesses (25, 45, and 60 nm) were deposited on indium tin oxide (ITO) on silicon and zinc oxide (ZnO) on silicon. The films were annealed in vacuum for 1 h at different temperatures (300-650 deg. C). Four-point-probe measurements were used to determine the resistivity of the films. All films showed an abrupt change in resistivity beyond an onset temperature that varied with thickness. Rutherford backscattering spectrometry measurements revealed agglomeration of the Ag films upon annealing as being responsible for the resistivity change. X-ray pole figure analysis determined that the annealed films took on a preferential texturing; however, the degree of texturing was significantly higher in Ag/ZnO/Si than in Ag/ITO/Si samples. This observation was accounted for by interface energy minimization. Atomic force microscopy (AFM) measurements revealed an increasing surface roughness of the annealed films with temperature. The resistivity behavior was explained by the counterbalancing effects of increasing crystallinity and surface roughness. Average surface roughness obtained from the AFM measurements were also used to model the agglomeration of Ag based on Ostwald ripening theory

  5. Effect of tri-sodium citrate concentration on structural, optical and electrical properties of chemically deposited tin sulfide films

    Energy Technology Data Exchange (ETDEWEB)

    Gode, F., E-mail: ftmgode@gmail.com [Department of Physics, Mehmet Akif Ersoy University, 15030 Burdur (Turkey); Guneri, E. [Department of Primary Education, Erciyes University, 38039 Kayseri (Turkey); Baglayan, O. [Department of Physics, Anadolu University, 26470 Eskisehir (Turkey)

    2014-11-01

    Graphical abstract: - Highlights: • SnS thin films grown by CBD in different concentration of tri-sodium citrate. • Grain size increases, while surface roughness decreases, with concentration. • Optical band gap decreases from 1.40 eV to 1.17 eV with increasing concentration. • Electrical conductivity improves with increasing concentration. - Abstract: Tin sulfide thin films were deposited onto glass substrates by chemical bath deposition. The effects of molar concentration of the complexing agent, tri-sodium citrate, on the structural, morphological, optical and electrical properties of the films were investigated. The films are characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy, optical absorption spectroscopy and Hall effect measurements. Polycrystalline film structure in orthorhombic phase was determined. Flower-like spherical grains are observed on the surface. While their average size increased from 345 nm to 750 nm when the tri-sodium citrate concentration was increased from 6.4 × 10{sup −3} M to 8.0 × 10{sup −3} M, the surface roughness varied in an opposite manner from approximately 120.18 nm to 29.36 nm. For these concentrations, optical band gap of the films decreased from 1.40 eV to 1.17 eV, whereas the Hall conductivity, mobility and carrier concentration of the films increased slightly from 5.91 × 10{sup −5} to 8.78 × 10{sup −5} (Ω cm){sup −1}, from 148 to 228 cm{sup 2} V{sup −1} s{sup −1} and from 1.73 × 10{sup 12} to 3.59 × 10{sup 12} cm{sup −1}, respectively.

  6. Influence of annealing temperature and environment on the properties of indium tin oxide thin films

    International Nuclear Information System (INIS)

    Wang, R X; Beling, C D; Fung, S; Djurisic, A B; Ling, C C; Kwong, C; Li, S

    2005-01-01

    Indium tin oxide (ITO) thin films were deposited on glass substrates using the e-beam evaporating technique. The influence of deposition rate and post-deposition annealing on the optical properties of the films was investigated in detail. It is found that the deposition rate and annealing conditions strongly affect the optical properties of the films. The transmittance of films greatly increases with increasing annealing temperature below 300 deg. C but drastically drops at 400 deg. C when they are annealed in forming gas (mixed N 2 and H 2 gas). An interesting phenomenon observed is that the transmittance of the darkened film can recover under further 400 deg. C annealing in air. Atomic force microscopy, x-ray diffraction and x-ray photoemission spectroscopy were employed to obtain information on the chemical state and crystallization of the films. Analysis of these data suggests that the loss and re-incorporating of oxygen are responsible for the reversible behaviour of the ITO thin films

  7. Effects of annealing temperature on mechanical durability of indium-tin oxide film on polyethylene terephthalate substrate

    International Nuclear Information System (INIS)

    Machinaga, Hironobu; Ueda, Eri; Mizuike, Atsuko; Takeda, Yuuki; Shimokita, Keisuke; Miyazaki, Tsukasa

    2014-01-01

    Effects of the annealing temperature on mechanical durability of indium-tin oxide (ITO) thin films deposited on polyethylene terephthalate (PET) substrates were investigated. The ITO films were annealed at the range from 150 °C to 195 °C after the DC sputtering deposition for the production of polycrystalline ITO layers on the substrates. The onset strains of cracking in the annealed ITO films were evaluated by the uniaxial stretching tests with electrical resistance measurements during film stretching. The results indicate that the onset strain of cracking in the ITO film is clearly increased by increasing the annealing temperature. The in-situ measurements of the inter-planer spacing of the (222) plane in the crystalline ITO films during film stretching by using synchrotron radiation strongly suggest that the large compressive stress in the ITO film increases the onset strain of cracking in the film. X-ray stress analyses of the annealed ITO films and thermal mechanical analyses of the PET substrates also clarifies that the residual compressive stress in the ITO film is enhanced with increasing the annealing temperature due to the considerably larger shrinkage of the PET substrate. - Highlights: • Indium-tin oxide (ITO) films were deposited on polyethylene terephthalate (PET). • Mechanical durability of the ITO is improved by high temperature post-annealing. • The shrinkage in the PET increases with rising the post-annealing temperature. • The shrinkage of the PET enhances the compressive stress in the ITO film. • Large compressive stress in the ITO film may improve its mechanical durability

  8. Magnetoresistance and Microstructure of Magnetite Nanocrystals Dispersed in Indium−Tin Oxide Thin Films

    OpenAIRE

    Okada, Koichi; Kohiki, Shigemi; Mitome, Masanori; Tanaka, Hidekazu; Arai, Masao; Mito, Masaki; Deguchi, Hiroyuki

    2009-01-01

    Epitaxial indium−tin oxide (ITO) thin films were fabricated on a yttria-stabilized zirconia (YSZ) substrate by pulsed-laser deposition using magnetite (Fe3O4) nanoparticle dispersed ITO powders as a target. Magnetoresistance of the film at a field of 1 T was 39% at 45 K, and it stayed at 3% above 225 K. The film demonstrated cooling hysteresis in the temperature dependence of direct-current magnetization. Transmission electron microscopy revealed that phase-separated Fe3O4 nanocrystals with w...

  9. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  10. Effects of hydrogen gas on properties of tin-doped indium oxide films deposited by radio frequency magnetron sputtering method

    International Nuclear Information System (INIS)

    Kim, Do-Geun; Lee, Sunghun; Lee, Gun-Hwan; Kwon, Sik-Chol

    2007-01-01

    Tin-doped indium oxide (ITO) films were deposited at ∼ 70 deg. C of substrate temperature by radio frequency magnetron sputtering method using an In 2 O 3 -10% SnO 2 target. The effect of hydrogen gas ratio [H 2 / (H 2 + Ar)] on the electrical, optical and mechanical properties was investigated. With increasing the amount of hydrogen gas, the resistivity of the samples showed the lowest value of 3.5 x 10 -4 Ω.cm at the range of 0.8-1.7% of hydrogen gas ratio, while the resistivity increases over than 2.5% of hydrogen gas ratio. Hall effect measurements explained that carrier concentration and its mobility are strongly related with the resistivity of ITO films. The supplement of hydrogen gas also reduced the residual stress of ITO films up to the stress level of 110 MPa. The surface roughness and the crystallinity of the samples were investigated by using atomic force microscopy and x-ray diffraction, respectively

  11. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  12. Low Temperature Synthesis of Fluorine-Doped Tin Oxide Transparent Conducting Thin Film by Spray Pyrolysis Deposition.

    Science.gov (United States)

    Ko, Eun-Byul; Choi, Jae-Seok; Jung, Hyunsung; Choi, Sung-Churl; Kim, Chang-Yeoul

    2016-02-01

    Transparent conducting oxide (TCO) is widely used for the application of flat panel display like liquid crystal displays and plasma display panel. It is also applied in the field of touch panel, solar cell electrode, low-emissivity glass, defrost window, and anti-static material. Fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added FTO precursor solutions. FTO thin film by spray pyrolysis is very much investigated and normally formed at high temperature, about 500 degrees C. However, these days, flexible electronics draw many attentions in the field of IT industry and the research for flexible transparent conducting thin film is also required. In the industrial field, indium-tin oxide (ITO) film on polymer substrate is widely used for touch panel and displays. In this study, we investigated the possibility of FTO thin film formation at relatively low temperature of 250 degrees C. We found out that the control of volume of input precursor and exhaust gases could make it possible to form FTO thin film with a relatively low electrical resistance, less than 100 Ohm/sq and high optical transmittance about 88%.

  13. Deposition of low sheet resistance indium tin oxide directly onto functional small molecules

    KAUST Repository

    Franklin, Joseph B.; Fleet, Luke R.; Burgess, Claire H.; McLachlan, Martyn A.

    2014-01-01

    © 2014 Elsevier B.V. All rights reserved. We outline a methodology for depositing tin-doped indium oxide (ITO) directly onto semiconducting organic small molecule films for use as a transparent conducting oxide top-electrode. ITO films were grown using pulsed laser deposition onto copper(II)phthalocyanine (CuPc):buckminsterfullerene (C60) coated substrates. The ITO was deposited at a substrate temperature of 150 °C over a wide range of background oxygen pressures (Pd) (0.67-10 Pa). Deposition at 0.67 ≤ Pd ≤ 4.7 Pa led to delamination of the organic films owing to damage induced by the high energy ablated particles, at intermediate 4.7 ≤ Pd < 6.7 Pa pressures macroscopic cracking is observed in the ITO. Increasing Pd further, ≥ 6.7 Pa, supports the deposition of continuous, polycrystalline and highly transparent ITO films without damage to the CuPc:C60. The free carrier concentration of ITO is strongly influenced by Pd; hence growth at > 6.7 Pa induces a significant decrease in conductivity; with a minimum sheet resistance (Rs) of 145 /□ achieved for 300 nm thick ITO films. To reduce the Rs a multi-pressure deposition was implemented, resulting in the formation of polycrystalline, highly transparent ITO with an Rs of - 20/□ whilst maintaining the inherent functionality and integrity of the small molecule substrate.

  14. Deposition of low sheet resistance indium tin oxide directly onto functional small molecules

    KAUST Repository

    Franklin, Joseph B.

    2014-11-01

    © 2014 Elsevier B.V. All rights reserved. We outline a methodology for depositing tin-doped indium oxide (ITO) directly onto semiconducting organic small molecule films for use as a transparent conducting oxide top-electrode. ITO films were grown using pulsed laser deposition onto copper(II)phthalocyanine (CuPc):buckminsterfullerene (C60) coated substrates. The ITO was deposited at a substrate temperature of 150 °C over a wide range of background oxygen pressures (Pd) (0.67-10 Pa). Deposition at 0.67 ≤ Pd ≤ 4.7 Pa led to delamination of the organic films owing to damage induced by the high energy ablated particles, at intermediate 4.7 ≤ Pd < 6.7 Pa pressures macroscopic cracking is observed in the ITO. Increasing Pd further, ≥ 6.7 Pa, supports the deposition of continuous, polycrystalline and highly transparent ITO films without damage to the CuPc:C60. The free carrier concentration of ITO is strongly influenced by Pd; hence growth at > 6.7 Pa induces a significant decrease in conductivity; with a minimum sheet resistance (Rs) of 145 /□ achieved for 300 nm thick ITO films. To reduce the Rs a multi-pressure deposition was implemented, resulting in the formation of polycrystalline, highly transparent ITO with an Rs of - 20/□ whilst maintaining the inherent functionality and integrity of the small molecule substrate.

  15. Corrosion behavior of TiN, TiAlN, TiAlSiN thin films deposited on tool steel in the 3.5 wt.% NaCl solution

    International Nuclear Information System (INIS)

    Yoo, Yun Ha; Le, Diem Phuong; Kim, Jung Gu; Kim, Sun Kyu; Vinh, Pham Van

    2008-01-01

    TiN, TiAlN and TiAlSiN hard coatings were deposited onto AISI H13 tool steel by cathodic arc plasma method. X-ray diffraction (XRD) analysis confirmed that incorporation of Al and Si into TiN led to refinement of microstructure. From the results of potentiodynamic polarization test and electrochemical impedance spectroscopy (EIS) test conducted in an aerated 3.5% NaCl solution, the TiAlSiN film showed the best corrosion resistance with the lowest corrosion current density and porosity, the highest protective efficiency and total resistance (pore resistance plus charge transfer resistance)

  16. Deposition and characterization of ITO films produced by laser ablation at 355 nm

    DEFF Research Database (Denmark)

    Holmelund, E.; Thestrup Nielsen, Birgitte; Schou, Jørgen

    2002-01-01

    Indium tin oxide (ITO) films have been deposited by pulsed laser deposition (PLD) at 355 nm. Even though the absorption of laser light at the wavelength 355 nm is much smaller than that of the standard excimer lasers for PLD at 248 nm and 193 nm, high-quality films can be produced. At high fluence...

  17. Residual stress in coated low-Z films of TiC and TiN. Pt. 2

    International Nuclear Information System (INIS)

    Yoshizawa, I.; Kabeya, Z.; Kamada, K.

    1984-01-01

    The correlations of the residual stresses with microstructures of TiC and TiN films deposited onto various substrates were examined by means of observations of SEM micrographs, X-ray back-reflected Debye rangs and diffraction line profile of X-ray spectrometer chart. It was found that specimens with lower residual stress generally show sharp line profile and good separation between Ksub(α1) and Ksub(α2) diffraction peaks in both TiN and TiC films, indicating better crystalline perfection. PVD coated TiC films on Mo and Inconel substrates show poor separation of Ksub(α1) and Ksub(α2) peaks, namely due to higher residual stresses in comparison with those of CVD coated TiN and TiC films on Mo or Inconel substrate. In CVD TiC/Pocographite system, with film thickness ranging from 10 to 100 μm, the grain size increase with increasing the thickness, except 100 μm thick specimen which has the smallest grain size in this group. However, the sharpness of diffraction profile is best in 20 μm thick film, and worst in 100 μm thick film. This is in good correlation with the amount of residual stress. (orig.)

  18. Crack density and electrical resistance in indium-tin-oxide/polymer thin films under cyclic loading

    KAUST Repository

    Mora Cordova, Angel; Khan, Kamran; El Sayed, Tamer

    2014-01-01

    Here, we propose a damage model that describes the degradation of the material properties of indium-tin-oxide (ITO) thin films deposited on polymer substrates under cyclic loading. We base this model on our earlier tensile test model and show

  19. Growth of thin films of TiN on MgO(100) monitored by high-pressure RHEED

    DEFF Research Database (Denmark)

    Pryds, Nini; Cockburn, D.; Rodrigo, Katarzyna Agnieszka

    2008-01-01

    Reflection high-energy electron diffraction (RHEED) operated at high pressure has been used to monitor the initial growth of titanium nitride (TiN) thin films on single-crystal (100) MgO substrates by pulsed laser deposition (PLD). This is the first RHEED study where the growth of TiN films...... electron microscopy. These observations are in good agreement with the three-dimensional Volmer-Weber growth type, by which three-dimensional crystallites are formed and later cause a continuous surface roughening. This leads to an exponential decrease in the intensity of the specular spot in the RHEED...

  20. Influence of Ge addition on the morphology and properties of TiN thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Sandu, C.S.; Sanjines, R.; Benkahoul, M.; Parlinska-Wojtan, M.; Karimi, A.; Levy, F.

    2006-01-01

    Thin films of TM-X-N (TM stands for early transition metal and X = Si, Al, etc.) are used as protective coatings. The most investigated among the ternary composite systems is Ti-Si-N. The system Ti-Ge-N has been chosen to extend the knowledge about the formation of nanocomposite films. Ti-Ge-N thin films were deposited by reactive magnetron sputtering on Si and WC-Co substrates at T s = 240 deg. C, from confocal Ti and Ge targets in mixed Ar/N 2 atmosphere. The nitrogen partial pressure and the power on the Ti target were kept constant, while the power on the Ge target was varied in order to obtain various Ge concentrations in the films. No presence of Ge-N bonds was detected, while X-ray photoelectron spectroscopy measurements revealed the presence of Ti-Ge bonds. Transmission Electron Microscopy investigations have shown important changes induced by Ge addition in the morphology and structure of Ti-Ge-N films. Electron Energy-Loss Spectrometry study revealed a significant increase of Ge content at the grain boundaries. The segregation of Ge atoms to the TiN crystallite surface appears to be responsible for limitation of crystal growth and formation of a TiGe y amorphous phase

  1. Effect of Coating Thickness on the Properties of TiN Coatings Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    Science.gov (United States)

    Mubarak, A.; Akhter, Parvez; Hamzah, Esah; Mohd Toff, Mohd Radzi Hj.; Qazi, Ishtiaq A.

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The study concentrated on cathodic arc physical vapor deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MDs) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester, and pin-on-disc machine, were used to analyze and quantify the following properties and parameters: surface morphology, thickness, hardness, adhesion, and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MDs produced during the etching stage, protruded through the TiN film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 μm showed the most stable trend of COF versus sliding distance.

  2. Properties of Co-deposited indium tin oxide and zinc oxide films using a bipolar pulse power supply and a dual magnetron sputter source

    International Nuclear Information System (INIS)

    Hwang, Man-Soo; Seob Jeong, Heui; Kim, Won Mok; Seo, Yong Woon

    2003-01-01

    Multilayer coatings consisting of metal layers sandwiched between transparent conducting oxide layers are widely used for flat panel display electrodes and electromagnetic shield coatings for plasma displays, due to their high electrical conductivity and light transmittance. The electrical and optical properties of these multilayer films depend largely on the surface characteristics of the transparent conducting oxide thin films. A smoother surface on the transparent conducting oxide thin films makes it easier for the metal layer to form a continuous film, thus resulting in a higher conductivity and visible light transmittance. Indium tin oxide (ITO) and zinc oxide (ZnO) films were co-deposited using a dual magnetron sputter and a bipolar pulse power supply to decrease the surface roughness of the transparent conducting oxide films. The symmetric pulse mode of the power supply was used to simultaneously sputter an In 2 O 3 (90 wt %) : SnO 2 (10 wt %) target and a ZnO target. We varied the duty of the pulses to control the ratio of ITO : ZnO in the thin films. The electrical and optical properties of the films were studied, and special attention was paid to the surface roughness and the crystallinity of the films. By co-depositing ITO and ZnO at a pulse duty ratio of ITO:ZnO=45:45 using a dual magnetron sputter and a bipolar pulse power supply, we were able to obtain amorphous transparent conducting oxide films with a very smooth surface which had a Zn-rich buffer layer under a In-rich surface layer. All of the films exhibited typical electrical and optical properties of transparent conducting oxide films deposited at room temperature

  3. Effect of thickness on electrical properties of SILAR deposited SnS thin films

    Science.gov (United States)

    Akaltun, Yunus; Astam, Aykut; Cerhan, Asena; ćayir, Tuba

    2016-03-01

    Tin sulfide (SnS) thin films of different thickness were prepared on glass substrates by successive ionic layer adsorption and reaction (SILAR) method at room temperature using tin (II) chloride and sodium sulfide aqueous solutions. The thicknesses of the films were determined using spectroscopic ellipsometry measurements and found to be 47.2, 65.8, 111.0, and 128.7nm for 20, 25, 30 and 35 deposition cycles respectively. The electrical properties of the films were investigated using d.c. two-point probe method at room temperature and the results showed that the resistivity was found to decrease with increasing film thickness.

  4. Effects of tin concentrations on structural characteristics and electrooptical properties of tin-doped indium oxide films prepared by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Yi, Choong-Hoon; Yasui, Itaru; Shigesato, Yuzo [Tokyo Univ. (Japan). Inst. of Industrial Science

    1995-02-01

    Structural characteristics and electrooptical properties of Sn-doped In{sub 2}O{sub 3} (ITO) films were investigated in terms of Sn concentrations from 5.34 to 8.99 (Sn/In at.%) with changing oxygen partial pressure and substrate temperature during deposition, in spite of using an ITO target with the same Sn concentration (7.50 SnO{sub 2} wt%, 7.17 Sn/In at.%). The resistivity of the films deposited at 200 and 300degC had a clear tendency to decrease with decrease of the total Sn content. Sn atoms incorporated in the ITO films were classified into two types, i.e., electrically active substitutional Sn atoms contributing to carrier density and electrically nonactive impurities forming nonreducible tin-oxide complexes, which were revealed by precise lattice constant measurement. The change in the Sn concentration was found to be associated with the preferred orientation of the crystal grains, which was dominated by the deposition conditions and should reflect the crystal growth processes. (author).

  5. Effects of tin concentrations on structural characteristics and electrooptical properties of tin-doped indium oxide films prepared by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Yi, Choong-Hoon; Yasui, Itaru; Shigesato, Yuzo

    1995-01-01

    Structural characteristics and electrooptical properties of Sn-doped In 2 O 3 (ITO) films were investigated in terms of Sn concentrations from 5.34 to 8.99 (Sn/In at.%) with changing oxygen partial pressure and substrate temperature during deposition, in spite of using an ITO target with the same Sn concentration (7.50 SnO 2 wt%, 7.17 Sn/In at.%). The resistivity of the films deposited at 200 and 300degC had a clear tendency to decrease with decrease of the total Sn content. Sn atoms incorporated in the ITO films were classified into two types, i.e., electrically active substitutional Sn atoms contributing to carrier density and electrically nonactive impurities forming nonreducible tin-oxide complexes, which were revealed by precise lattice constant measurement. The change in the Sn concentration was found to be associated with the preferred orientation of the crystal grains, which was dominated by the deposition conditions and should reflect the crystal growth processes. (author)

  6. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    Directory of Open Access Journals (Sweden)

    Imas Noviyana

    2017-06-01

    Full Text Available Top-contact bottom-gate thin film transistors (TFTs with zinc-rich indium zinc tin oxide (IZTO active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C.

  7. Influence of thermal treatment in N{sub 2} atmosphere on chemical, microstructural and optical properties of indium tin oxide and nitrogen doped indium tin oxide rf-sputtered thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stroescu, H.; Anastasescu, M.; Preda, S.; Nicolescu, M.; Stoica, M. [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Stefan, N. [National Institute for Lasers, Plasma and Radiation Physics, Atomistilor 409, RO-77125, Bucharest-Magurele (Romania); Kampylafka, V.; Aperathitis, E. [FORTH-IESL, Crete (Greece); Modreanu, M. [Tyndall National Institute, University College Cork, Cork (Ireland); Zaharescu, M. [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania); Gartner, M., E-mail: mgartner@icf.ro [Institute of Physical Chemistry “Ilie Murgulescu” of the Romanian Academy, Spl. Independentei 202, 060021 Bucharest (Romania)

    2013-08-31

    We report the influence of the normal thermal treatment (TT) and of rapid thermal annealing (RTA) on the microstructural, optical and electrical properties of indium tin oxide (ITO) and nitrogen doped indium tin oxide (ITO:N) thin films. The TT was carried out for 1 h at 400 °C and the RTA for 1 min up to 400 °C, both in N{sub 2} atmosphere. The ITO and ITO:N films were deposited by reactive sputtering in Argon, and respectively Nitrogen plasma, on Si with (100) and (111) orientation. The present study brings data about the microstructural and optical properties of ITO thin films with thicknesses around 300–400 nm. Atomic Force Microscopy analysis showed the formation of continuous and homogeneous films, fully covered by quasi-spherical shaped particles, with higher roughness values on Si(100) as compared to Si(111). Spectroscopic ellipsometry allowed the determination of film thickness, optical band gap as well as of the dispersion curves of n and k optical constants. X-ray diffraction analysis revealed the presence of diffraction peaks corresponding to the same nominal bulk composition of ITO, but with different intensities and preferential orientation depending on the substrate, atmosphere of deposition and type of thermal treatment. - Highlights: ► Stability of the films can be monitored by experimental ellipsometric spectra. ► The refractive index of indium tin oxide film on 0.3–30 μm range is reported. ► Si(100) substrate induces rougher film surfaces than Si(111). ► Rapid thermal annealing and normal thermal treatment lead to stable conductive film. ► The samples have a higher preferential orientation after rapid thermal annealing.

  8. Deposition and surface treatment of Ag-embedded indium tin oxide by plasma processing

    International Nuclear Information System (INIS)

    Kim, Jun Young; Kim, Jae-Kwan; Kim, Ja-Yeon; Kwon, Min-Ki; Yoon, Jae-Sik; Lee, Ji-Myon

    2013-01-01

    Ag-embedded indium tin oxide (ITO) films were deposited on Corning 1737 glass by radio-frequency magnetron sputtering under an Ar or Ar/O 2 mixed gas ambient with a combination of ITO and Ag targets that were sputtered alternately by switching on and off the shutter of the sputter gun. The effects of a subsequent surface treatment using H 2 and H 2 + O 2 mixed gas plasma were also examined. The specific resistance of the as-deposited Ag-embedded ITO sample was lower than that of normal ITO. The transmittance was quenched when Ag was incorporated in ITO. To enhance the specific resistance of Ag-embedded ITO, a surface treatment was conducted using H 2 or H 2 + O 2 mixed gas plasma. Although all samples showed improved specific resistance after the H 2 plasma treatment, the transmittance was quenched due to the formation of agglomerated metals on the surface. The specific resistance of the film was improved without any deterioration of the transmittance after a H 2 + O 2 mixed gas plasma treatment. - Highlights: • Ag-embedded indium tin oxide was deposited. • The contact resistivity was decreased by H 2 + O 2 plasma treatment. • The process was carried out at room temperature without thermal treatment. • The mechanism of enhancing the contact resistance was clarified

  9. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    OpenAIRE

    Bakrania, Smitesh D.; Wooldridge, Margaret S.

    2009-01-01

    This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition meth...

  10. Device quality InOx:Sn and InOx thin films deposited at room temperature with different rf-power densities

    International Nuclear Information System (INIS)

    Amaral, A.; Brogueira, P.; Conde, O.; Lavareda, G.; Nunes de Carvalho, C.

    2012-01-01

    The influence of tin doping on the electrical, optical, structural and morphological properties of indium oxide films produced by radio-frequency plasma enhanced reactive thermal evaporation is studied, as transport properties are expected to improve with doping. Undoped and tin doped indium oxide thin films are deposited at room temperature using both pure In rods and (95–80) % In:(5–20) % Sn alloys as evaporation sources and 19.5 mW/cm 2 and 58.6 mW/cm 2 as rf-power densities. The two most important macroscopic properties – visible transparency and electrical resistivity – are relatively independent of tin content (0–20%). Visible transmittance of about 75% and electrical resistivity around 5 × 10 −4 Ω·cm can be observed in the films. The structural features are similar for all samples. Nevertheless, the surface morphology characterization shows that the homogeneity of the films varies according to the tin content. Moreover this variation is a balance between the rf-power and the tin content in the alloy: i) films with small and compact grains are produced at 58.6 mW/cm 2 from a 5% Sn alloy or at 19.5 mW/cm 2 from a 15% Sn alloy and consequently, smooth surfaces with reduced roughness and similar grain size and shape are obtained; ii) films showing the presence of aggregates randomly distributed above a tissue formed of thinner grains and higher roughness are produced at the other deposition conditions. - Highlights: ► InO x :Sn and InO x thin films were deposited at room temperature. ► Transparency and electrical resistivity are relatively independent of Sn content. ► Device quality material was obtained. ► The surface morphology homogeneity of the films varies with tin content.

  11. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  12. Fabrication of nickel oxide and Ni-doped indium tin oxide thin films using pyrosol process

    International Nuclear Information System (INIS)

    Nakasa, Akihiko; Adachi, Mami; Usami, Hisanao; Suzuki, Eiji; Taniguchi, Yoshio

    2006-01-01

    Organic light emitting diodes (OLEDs) need indium tin oxide (ITO) anodes with highly smooth surface. The work function of ITO, about 4.8 eV, is generally rather lower than the optimum level for application to OLEDs. In this work, NiO was deposited by pyrosol process on pyrosol ITO film to increase the work function of the ITO for improving the performance of OLEDs. It was confirmed that NiO was successfully deposited on pyrosol ITO film and the NiO deposition increased the work function of pyrosol ITO, using X-ray diffraction (XRD), field emission scanning electron microscopy (FE-SEM), atomic force microscopy (AFM) and atmospheric photoelectron spectroscopy. Furthermore, doping ITO with Ni succeeded in producing the Ni-doped ITO film with high work function and lower sheet resistance

  13. Controllable nitrogen doping in as deposited TiO{sub 2} film and its effect on post deposition annealing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Shaoren; Devloo-Casier, Kilian; Devulder, Wouter; Dendooven, Jolien; Deduytsche, Davy; Detavernier, Christophe, E-mail: Christophe.Detavernier@ugent.be [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Gent (Belgium); Verbruggen, Sammy W. [Department of Bio-Engineering Sciences, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp, Belgium and Center for Surface Chemistry and Catalysis, KU Leuven, Kasteelpark Arenberg 23, B-3001 Heverlee (Belgium); Lenaerts, Silvia [Department of Bio-Engineering Sciences, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Martens, Johan A. [Center for Surface Chemistry and Catalysis, KU Leuven, Kasteelpark Arenberg 23, B-3001 Heverlee (Belgium); Van den Berghe, Sven [SCK-CEN, Boeretang 200, B-2400 Mol (Belgium)

    2014-01-15

    In order to narrow the band gap of TiO{sub 2}, nitrogen doping by combining thermal atomic layer deposition (TALD) of TiO{sub 2} and plasma enhanced atomic layer deposition (PEALD) of TiN has been implemented. By altering the ratio between TALD TiO{sub 2} and PEALD TiN, the as synthesized TiO{sub x}N{sub y} films showed different band gaps (from 1.91 eV to 3.14 eV). In situ x-ray diffraction characterization showed that the crystallization behavior of these films changed after nitrogen doping. After annealing in helium, nitrogen doped TiO{sub 2} films crystallized into rutile phase while for the samples annealed in air a preferential growth of the anatase TiO{sub 2} along (001) orientation was observed. Photocatalytic tests of the degradation of stearic acid were done to evaluate the effect of N doping on the photocatalytic activity.

  14. Influence of deposition parameters and annealing on Cu2ZnSnS4 thin films grown by SILAR

    International Nuclear Information System (INIS)

    Patel, Kinjal; Shah, Dimple V.; Kheraj, Vipul

    2015-01-01

    Highlights: • Optimisation of Cu 2 ZnSnS 4 (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu 2 ZnSnS 4 (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur

  15. α Fe2O3 films grown by the spin-on sol-gel deposition method

    International Nuclear Information System (INIS)

    Avila G, A.; Carbajal F, G.; Tiburcio S, A.; Barrera C, E.; Andrade I, E.

    2003-01-01

    α-Fe 2 O 3 polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  16. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  17. Preparation of textural lamellar tin deposits via electrodeposition

    Science.gov (United States)

    Wen, Xiaoyu; Pan, Xiaona; Wu, Libin; Li, Ruinan; Wang, Dan; Zhang, Jinqiu; Yang, Peixia

    2017-06-01

    Lamellar tin deposits were prepared by galvanostatical electroplating from the aqueous acidic-sulfate bath, with gelatin and benzalacetone dissolved in ethanol (ABA+EtOH) as additive, and their morphologies were investigated by scanning electron microscopy. Cathodic polarization curves revealed that the absorbability of ABA+EtOH on the cathode surface was higher than that of gelatin. X-ray diffraction analysis indicated preferred orientations of tin growth led to the formation of lamellar structure and distortion of tin lattice. The growth mechanism of lamellar tin was also discussed.

  18. ITO films deposited by rf-PERTE on unheated polymer substrates--properties dependence on In-Sn alloy composition

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Lavareda, G.; Fortunato, E.; Vilarinho, P.; Amaral, A.

    2004-01-01

    The study of the influence of different tin concentrations in the In-Sn alloy on the properties of indium tin oxide (ITO) thin films deposited by radio frequency (rf) plasma enhanced reactive thermal evaporation (rf-PERTE) onto flexible polymer and window glass substrates at room temperature is presented. The polymer substrate used is polyethylene terephthalate (PET). The tin concentration in the source alloy varied in the range 5-20 wt.%. The average thickness of the ITO films is of about 90 nm. Results show that ITO thin films deposited on PET from the evaporation of a 85%In:15%Sn alloy exhibit the following characteristics: an average visible transmittance of 80% and an electrical resistivity of 1.6x10 -3 Ω cm. On glass the value of the average visible transmittance increases (85%) and the resistivity decreases to 7.6x10 -4 Ω cm. The electrical properties of ITO films on PET are largely affected by the low carrier mobility

  19. Indium-tin oxide thin films deposited at room temperature on glass and PET substrates: Optical and electrical properties variation with the H2-Ar sputtering gas mixture

    Science.gov (United States)

    Álvarez-Fraga, L.; Jiménez-Villacorta, F.; Sánchez-Marcos, J.; de Andrés, A.; Prieto, C.

    2015-07-01

    The optical and electrical properties of indium tin oxide (ITO) films deposited at room temperature on glass and polyethylene terephthalate (PET) substrates were investigated. A clear evolution of optical transparency and sheet resistance with the content of H2 in the gas mixture of H2 and Ar during magnetron sputtering deposition is observed. An optimized performance of the transparent conductive properties ITO films on PET was achieved for samples prepared using H2/(Ar + H2) ratio in the range of 0.3-0.6%. Moreover, flexible ITO-PET samples show a better transparent conductive figure of merit, ΦTC = T10/RS, than their glass counterparts. These results provide valuable insight into the room temperature fabrication and development of transparent conductive ITO-based flexible devices.

  20. Synthesizing photovoltaic thin films of high quality copper-zinc-tin alloy with at least one chalcogen species

    Science.gov (United States)

    Teeter, Glenn; Du, Hui; Young, Matthew

    2013-08-06

    A method for synthesizing a thin film of copper, zinc, tin, and a chalcogen species ("CZTCh" or "CZTSS") with well-controlled properties. The method includes depositing a thin film of precursor materials, e.g., approximately stoichiometric amounts of copper (Cu), zinc (Zn), tin (Sn), and a chalcogen species (Ch). The method then involves re-crystallizing and grain growth at higher temperatures, e.g., between about 725 and 925 degrees K, and annealing the precursor film at relatively lower temperatures, e.g., between 600 and 650 degrees K. The processing of the precursor film takes place in the presence of a quasi-equilibrium vapor, e.g., Sn and chalcogen species. The quasi-equilibrium vapor is used to maintain the precursor film in a quasi-equilibrium condition to reduce and even prevent decomposition of the CZTCh and is provided at a rate to balance desorption fluxes of Sn and chalcogens.

  1. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  2. Effect of barrier layers on the properties of indium tin oxide thin films on soda lime glass substrates

    International Nuclear Information System (INIS)

    Lee, Jung-Min; Choi, Byung-Hyun; Ji, Mi-Jung; An, Yong-Tae; Park, Jung-Ho; Kwon, Jae-Hong; Ju, Byeong-Kwon

    2009-01-01

    In this paper, the electrical, structural and optical properties of indium tin oxide (ITO) films deposited on soda lime glass (SLG) haven been investigated, along with high strain point glass (HSPG) substrate, through radio frequency magnetron sputtering using a ceramic target (In 2 O 3 :SnO 2 , 90:10 wt.%). The ITO films deposited on the SLG show a high electrical resistivity and structural defects compared with those deposited on HSPG due to the Na ions from the SLG diffusing to the ITO film by annealing. However, these properties can be improved by intercalating a barrier layer of SiO 2 or Al 2 O 3 between the ITO film and the SLG substrate. SIMS analysis has confirmed that the barrier layer inhibits the Na ion's diffusion from the SLG. In particular, the ITO films deposited on the Al 2 O 3 barrier layer, show better properties than those deposited on the SiO 2 barrier layer.

  3. Electrochromic and electrochemical capacitive properties of tungsten oxide and its polyaniline nanocomposite films obtained by chemical bath deposition method

    CSIR Research Space (South Africa)

    Nwanya, AC

    2014-05-01

    Full Text Available Polyanine and its nanocomposite WO3/PANI films were deposited on fluorine doped tin oxide (FTO) glassslides by simple chemical bath deposition method. The morphology structure of the composite film wasstudied using atomic force microscopy (AFM...

  4. Indium tin oxide with titanium doping for transparent conductive film application on CIGS solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Wei-Sheng; Cheng, Huai-Ming; Hu, Hung-Chun; Li, Ying-Tse; Huang, Shi-Da; Yu, Hau-Wei [Department of Photonics Engineering, Yuan Ze University, Chung-Li 32003, Taiwan (China); Pu, Nen-Wen, E-mail: nwpuccit@gmail.com [Department of Photonics Engineering, Yuan Ze University, Chung-Li 32003, Taiwan (China); Liang, Shih-Chang [Materials & Electro-Optics Research Division, National Chung-Shan Institute of Science and Technology, Lung Tan 32599, Taiwan (China)

    2015-11-01

    Highlights: • Ti-doped indium tin oxide (ITO) films were deposited by DC magnetron sputtering. • Optimal optoelectronic properties were achieved at a sputtering power of 100 W. • Resistivity = 3.2 × 10{sup −4} Ω-cm without substrate heating or post growth annealing. • Mean visible and NIR transmittances of 83 and 80%, respectively, were achieved. • Efficient batteries (11.3%) were fabricated by applying ITO:Ti to CIGS solar cells. - Abstract: In this study, Ti-doped indium tin oxide (ITO:Ti) thin films were fabricated using a DC-magnetron sputtering deposition method. The thin films were grown without introducing oxygen or heating the substrate, and no post-growth annealing was performed after fabrication. The thickness of the ITO:Ti thin films (350 nm) was controlled while increasing the sputtering power from 50 to 150 W. According to the results, the optimal optoelectronic properties were observed in ITO:Ti thin films grown at a sputtering power of 100 W, yielding a reduced resistivity of 3.2 × 10{sup −4} Ω-cm and a mean high transmittance of 83% at wavelengths ranging from 400 to 800 nm. The optimal ITO:Ti thin films were used to fabricate a Cu(In,Ga)Se{sub 2} solar cell that exhibited a photoelectric conversion efficiency of 11.3%, a short-circuit current density of 33.1 mA/cm{sup 2}, an open-circuit voltage of 0.54 V, and a fill factor of 0.64.

  5. Effect of micro-patterned fluorine-doped tin oxide films on electrochromic properties of Prussian blue films

    International Nuclear Information System (INIS)

    Lee, Kyuha; Kim, A-Young; Park, Ji Hun; Jung, Hun-Gi; Choi, Wonchang; Lee, Hwa Young; Lee, Joong Kee

    2014-01-01

    Graphical abstract: - Highlights: • PB-based ECD employed micro-patterned FTO electrode was fabricated. • Effect of interface morphology on electrochromic characteristics was examined. • Electrochromic properties were enhanced by employing a patterned interface. - Abstract: The effect of interface morphology on electrochromic characteristics was examined for an electrochromic device (ECD). Micro-patterned fluorine-doped tin oxide (FTO) films were fabricated using a photolithography process. Prussian blue (PB) films were then deposited on the patterned FTO films. The surface areas of both PB films and FTO films were increased by patterning. ECDs were assembled using patterned PB/FTO films as the electrochromic electrode, bare FTO films as the counter electrode, and an electrolyte containing LiClO 4 salt. The increased effective surface area of the patterned PB/FTO electrode boosted the mobility of ions at the interphase between the electrolyte and PB electrode, and the electron transfer between PB films and FTO films. As a result, electrochromic properties such as transmittance and response time were significantly improved by employing the patterned FTO films as the transparent conductive oxide layer of the electrochromic electrode

  6. Pulsed laser deposition of ITO thin films and their characteristics

    International Nuclear Information System (INIS)

    Zuev, D. A.; Lotin, A. A.; Novodvorsky, O. A.; Lebedev, F. V.; Khramova, O. D.; Petuhov, I. A.; Putilin, Ph. N.; Shatohin, A. N.; Rumyanzeva, M. N.; Gaskov, A. M.

    2012-01-01

    The indium tin oxide (ITO) thin films are grown on quartz glass substrates by the pulsed laser deposition method. The structural, electrical, and optical properties of ITO films are studied as a function of the substrate temperature, the oxygen pressure in the vacuum chamber, and the Sn concentration in the target. The transmittance of grown ITO films in the visible spectral region exceeds 85%. The minimum value of resistivity 1.79 × 10 −4 Ω cm has been achieved in the ITO films with content of Sn 5 at %.

  7. Highly conducting and crystalline doubly doped tin oxide films fabricated using a low-cost and simplified spray technique

    Energy Technology Data Exchange (ETDEWEB)

    Ravichandran, K., E-mail: kkr1365@yahoo.co [P.G. and Research Department of Physics, AVVM. Sri Pushpum College, Poondi, Thanjavur District, Tamil Nadu 613503 (India); Muruganantham, G.; Sakthivel, B. [P.G. and Research Department of Physics, AVVM. Sri Pushpum College, Poondi, Thanjavur District, Tamil Nadu 613503 (India)

    2009-11-15

    Doubly doped (simultaneous doping of antimony and fluorine) tin oxide films (SnO{sub 2}:Sb:F) have been fabricated by employing an inexpensive and simplified spray technique using perfume atomizer from aqueous solution of SnCl{sub 2} precursor. The structural studies revealed that the films are highly crystalline in nature with preferential orientation along the (2 0 0) plane. It is found that the size of the crystallites of the doubly doped tin oxide films is larger (69 nm) than that (27 nm) of their undoped counterparts. The dislocation density of the doubly doped film is lesser (2.08x10{sup 14} lines/m{sup 2}) when compared with that of the undoped film (13.2x10{sup 14} lines/m{sup 2}), indicating the higher degree of crystallinity of the doubly doped films. The SEM images depict that the films are homogeneous and uniform. The optical transmittance in the visible range and the optical band gap of the doubly doped films are 71% and 3.56 eV respectively. The sheet resistance (4.13 OMEGA/square) attained for the doubly doped film in this study is lower than the values reported for spray deposited fluorine or antimony doped tin oxide films prepared from aqueous solution of SnCl{sub 2} precursor (without using methanol or ethanol).

  8. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  9. Structural and optical studies of 100 MeV Au irradiated thin films of tin oxide

    Science.gov (United States)

    Jaiswal, Manoj Kumar; Kanjilal, D.; Kumar, Rajesh

    2013-11-01

    Thin films of tin(IV) oxide (SnO2) of 100 nm thickness were grown on silicon (1 0 0) matrices by electron beam evaporation deposition technique under high vacuum. The thicknesses of these films were monitored by piezo-sensor attached to the deposition chamber. Nanocrystallinity is achieved in these thin films by 100 MeV Au8+ using 1 pnA current at normal incidence with ion fluences varying from 1 × 1011 ions/cm2 to 5 × 1013 ions/cm2. Swift Heavy Ion beam irradiation was carried out by using 15 UD Pelletron Accelerator at IUAC, New Delhi, India. Optical studies of pristine and ion irradiated thin films were characterized by UV-Visible spectroscopy and Fourier Transform Infrared (FTIR) spectroscopy. Prominent peak at 610 cm-1 in FTIR spectrum confirmed the O-Sn-O bonding of tin(IV) oxide. For Surface topographical studies and grain size calculations, these films were characterized by Atomic Force Microscope (AFM) using Nanoscope III-A. Crystallinity and phase transformation due to irradiation of pristine and irradiated films were characterized by Glancing Angle X-ray Diffraction (GAXRD) using Brucker-D8 advance model. GAXRD results show improvement in crystallinity and phase transformation due to swift heavy ion irradiation. Grain size distribution was verified by AFM and GAXRD results. Swift heavy ion induced modifications in thin films of SnO2 were confirmed by the presence of prominent peaks at 2θ values of 30.65°, 32.045°, 43.94°, 44.96° and 52.36° in GAXRD spectrum.

  10. Tin dioxide nanostructured thin films obtained through polymeric precursor method

    Directory of Open Access Journals (Sweden)

    Marcelo Antônio Dal Santos

    2012-11-01

    Full Text Available Tin dioxide (SnO2 nanostructured thin films with low proportion of defects and low roughness were produced through the systematic control of temperature and viscosity of the precursor solutions used for thin films deposition. These solutions were obtained through the citrate method and the films were deposited through the ‘dip-coating’ technique on glass substrate and after thermal treatment at 470ºC/4h, they were characterized both structurally and morphologically through the X-ray diffractometry, optic microscopy, scanning electronic microscopy, atomic force microscopy, X-ray fluorescence, UV-Vis absorption spectroscopy and X-ray excited photoelectrons spectroscopy. The film thickness was obtained through scanning electronic microscopy of the films cross-section and correlated to the proportion of Sn and Si obtained through X-ray fluorescence. X-ray diffractometry of the films revealed the presence of peaks corresponding to the SnO2 crystalline phase, overlapping a wide peak between 20 and 30º (2?, characteristic of the glass substrate. Optic microscopy, Scanning electronic microscopy and atomic force microscopy revealed homogeneous films, with low roughness, suitable to several applications such as sensors and transparent electrodes. It could be observed through the UV-Vis absorption analysis that the films presented high optical transparency and ‘band gap’ energy 4.36 eV. The X-ray excited photoelectron spectroscopy confirmed the presence of SnO2, as well as traces of the elements present in the glass substrate and residual carbon from the thermal treatment of the films.

  11. Preparation and characterization of tin sulphide thin films by a spray pyrolysis technique

    International Nuclear Information System (INIS)

    Ben Haj Salah, H.; Bouzouita, H.; Rezig, B.

    2005-01-01

    We have attempted the preparation and characterization of Sn 2 S 3 thin films by using the spray pyrolysis technique. We started with acidic aqueous solutions including tin (II) chloride and thiourea, which were atomized with compressed air as carrier gas. The Sn 2 S 3 thin films were obtained on glass substrates. Thin layers of Sn-S have been grown at various temperatures in the range of 275-325 deg. C and various [S/Sn] ratios. The structural properties have been determined by using X-ray diffraction (XRD). The changes observed in the structural phases during the film formation in dependence of growth temperatures are reported and discussed. The optical constants of the deposited films were obtained using the experimentally recorded transmission and reflectance spectral data as functions of the wavelength, in the range of 300-1800 nm. An analysis of the deduced spectral absorption of the deposited films revealed an optical indirect band gap energy of 1.9-2.2 eV for Sn 2 S 3 layers

  12. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  13. Influences of Indium Tin Oxide Layer on the Properties of RF Magnetron-Sputtered (BaSr)TiO3 Thin Films on Indium Tin Oxide-Coated Glass Substrate

    Science.gov (United States)

    Kim, Tae Song; Oh, Myung Hwan; Kim, Chong Hee

    1993-06-01

    Nearly stoichiometric ((Ba+Sr)/Ti=1.08-1.09) and optically transparent (BaSr)TiO3 thin films were deposited on an indium tin oxide (ITO)-coated glass substrate by means of rf magnetron sputtering for their application to the insulating layer of an electroluminescent flat panel display. The influence of the ITO layer on the properties of (BaSr)TiO3 thin films deposited on the ITO-coated substrate was investigated. The ITO layer did not affect the crystallographic orientation of (BaSr)TiO3 thin film, but enhanced the grain growth. Another effect of the ITO layer on (BaSr)TiO3 thin films was the interdiffusion phenomenon, which was studied by means of secondary ion mass spectrometry (SIMS). As the substrate temperature increased, interdiffusion intensified at the interface not only between the grown film and ITO layer but also between the ITO layer and base glass substrate. The refractive index (nf) of (BaSr)TiO3 thin film deposited on a bare glass substrate was 2.138-2.286, as a function of substrate temperature.

  14. Influence of plasma density on the chemical composition and structural properties of pulsed laser deposited TiAlN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Quiñones-Galván, J. G.; Camps, Enrique [Departamento de Física, Instituto Nacional de Investigaciones Nucleares, Apartado Postal 18-1027, México D.F. C.P. 11801 (Mexico); Muhl, S. [Instituto de Investigaciones en Materiales, UNAM, México D.F. C.P. 04510 (Mexico); Flores, M. [Departamento de Ingeniería de Proyectos, CUCEI, Universidad de Guadalajara, Apdo. Postal 307, C.P. 45101 Zapopan, Jalisco (Mexico); Campos-González, E. [Departamento de Física, CINVESTAV-IPN, Apdo. Postal 14-740, México D.F. 07360 (Mexico)

    2014-05-15

    Incorporation of substitutional Al into the TiN lattice of the ternary alloy TiAlN results in a material with improved properties compared to TiN. In this work, TiAlN thin films were grown by the simultaneous ablation of Ti and Al targets in a nitrogen containing reactive atmosphere. The deposit was formed on silicon substrates at low deposition temperature (200 °C). The dependence of the Al content of the films was studied as a function of the ion density of the plasma produced by the laser ablation of the Al target. The plasma parameters were measured by means of a planar Langmuir probe and optical emission spectroscopy. The chemical composition of the films was measured by energy dispersive X-ray spectroscopy. The results showed a strong dependence of the amount of aluminum incorporated in the films with the plasma density. The structural characterization of the deposits was carried out by Raman spectroscopy, X-ray diffraction, and transmission electron microscopy, where the substitutional incorporation of the Al into the TiN was demonstrated.

  15. Effect of cesium assistance on the electrical and structural properties of indium tin oxide films grown by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Song, Jaewon; Hwang, Cheol Seong; Park, Sung Jin; Yoon, Neung Ku [Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-742 (Korea, Republic of); Sorona Inc., Pyeongtaek, Gyeonggi 451-841 (Korea, Republic of)

    2009-07-15

    Indium tin oxide (ITO) thin films were deposited by cesium (Cs)-assisted dc magnetron sputtering in an attempt to achieve a high performance at low temperatures. The films were deposited on SiO{sub 2}/Si wafer and glass (Eagle 2000) substrates at a substrate temperature of 100 degree sign C with a Cs vapor flow during the deposition process. The ITO thin films deposited in the presence of Cs vapor showed better crystallinity than the control films grown under normal Ar/O{sub 2} plasma conditions. The resistivity of the films with the Cs assistance was lower than that of the control films. The lowest resistivity of 6.2x10{sup -4} {Omega} cm, which is {approx}20% lower than that of the control sample, was obtained without any postdeposition thermal annealing. The surface roughness increased slightly when Cs vapor was added. The optical transmittance was >80% at wavelengths ranging from 380 to 700 nm.

  16. Compositional influence on the electrical performance of zinc indium tin oxide transparent thin-film transistors

    International Nuclear Information System (INIS)

    Marsal, A.; Carreras, P.; Puigdollers, J.; Voz, C.; Galindo, S.; Alcubilla, R.; Bertomeu, J.; Antony, A.

    2014-01-01

    In this work, zinc indium tin oxide layers with different compositions are used as the active layer of thin film transistors. This multicomponent transparent conductive oxide is gaining great interest due to its reduced content of the scarce indium element. Experimental data indicate that the incorporation of zinc promotes the creation of oxygen vacancies, which results in a higher free carrier density. In thin-film transistors this effect leads to a higher off current and threshold voltage values. The field-effect mobility is also strongly degraded, probably due to coulomb scattering by ionized defects. A post deposition annealing in air reduces the density of oxygen vacancies and improves the field-effect mobility by orders of magnitude. Finally, the electrical characteristics of the fabricated thin-film transistors have been analyzed to estimate the density of states in the gap of the active layers. These measurements reveal a clear peak located at 0.3 eV from the conduction band edge that could be attributed to oxygen vacancies. - Highlights: • Zinc promotes the creation of oxygen vacancies in zinc indium tin oxide transistors. • Post deposition annealing in air reduces the density of oxygen. • Density of states reveals a clear peak located at 0.3 eV from the conduction band

  17. The Influence of a TiN Film on the Electronic Contribution to the Thermal Conductivity of a TiC Film in a TiN-TiC Layer System

    Science.gov (United States)

    Jagannadham, K.

    2018-01-01

    TiC and TiN films were deposited by reactive magnetron sputtering on Si substrates. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterization of the microstructure and interface structure have been carried out and the stoichiometric composition of TiC is determined. Thermal conductivity and interface thermal conductance between different layers in the films are evaluated by the transient thermo reflectance (TTR) and three-omega (3- ω) methods. The results showed that the thermal conductivity of the TiC films increased with temperature. The thermal conductivity of TiC in the absence of TiN is dominated by phonon contribution. The electronic contribution to the thermal conductivity of TiC in the presence of TiN is found to be more significant. The interface thermal conductance of the TiC/TiN interface is much larger than that of interfaces at Au/TiC, TiC/Si, or TiN/Si. The interface thermal conductance between TiC and TiN is reduced by the layer formed as a result of interdiffusion.

  18. The effects of two thick film deposition methods on tin dioxide gas sensor performance.

    Science.gov (United States)

    Bakrania, Smitesh D; Wooldridge, Margaret S

    2009-01-01

    This work demonstrates the variability in performance between SnO(2) thick film gas sensors prepared using two types of film deposition methods. SnO(2) powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 °C for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 - 20), often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0) at an operating temperature of 330 °C, low standard deviation to the sensor response (±0.35) and no signal hysteresis.

  19. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    Directory of Open Access Journals (Sweden)

    Smitesh D. Bakrania

    2009-08-01

    Full Text Available This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 ºC for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 – 20, often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0 at an operating temperature of 330 ºC, low standard deviation to the sensor response (±0.35 and no signal hysteresis.

  20. Indium-tin oxide thin films deposited at room temperature on glass and PET substrates: Optical and electrical properties variation with the H2–Ar sputtering gas mixture

    International Nuclear Information System (INIS)

    Álvarez-Fraga, L.; Jiménez-Villacorta, F.; Sánchez-Marcos, J.; Andrés, A. de; Prieto, C.

    2015-01-01

    Highlights: • ITO deposition on glass and PET at room temperature by using H. • High transparency and low resistance is obtained by tuning the H. • The figure of merit for ITO films on PET becomes maximal for thickness near 100 nm. - Abstract: The optical and electrical properties of indium tin oxide (ITO) films deposited at room temperature on glass and polyethylene terephthalate (PET) substrates were investigated. A clear evolution of optical transparency and sheet resistance with the content of H 2 in the gas mixture of H 2 and Ar during magnetron sputtering deposition is observed. An optimized performance of the transparent conductive properties ITO films on PET was achieved for samples prepared using H 2 /(Ar + H 2 ) ratio in the range of 0.3–0.6%. Moreover, flexible ITO-PET samples show a better transparent conductive figure of merit, Φ TC = T 10 /R S , than their glass counterparts. These results provide valuable insight into the room temperature fabrication and development of transparent conductive ITO-based flexible devices

  1. Spectroscopic ellipsometry studies of index profile of indium tin oxide films prepared by spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    El Rhaleb, H.; Benamar, E.; Rami, M.; Roger, J.P.; Hakam, A.; Ennaoui, A

    2002-11-30

    Spectroscopic ellipsometry (SE) has proven to be a very powerful diagnostic for thin film characterisation. It was used to determine thin film parameters such as film thickness and optical functions of polycrystalline tin-doped indium oxide (ITO) films deposited by spray pyrol onto Pyrex substrates. Dielectric ITO films often present microstructures which give rise to a variation of the refractive index with the distance from substrate. In this work, it was found that the fit between ellipsometric data and optical models results could be significantly improved when it was assumed that the refractive index of ITO films varied across the upper 60 nm near the film surface. Also, the surface roughness was modelled and compared with that given by the atomic force microscope (AFM)

  2. Spectroscopic ellipsometry studies of index profile of indium tin oxide films prepared by spray pyrolysis

    International Nuclear Information System (INIS)

    El Rhaleb, H.; Benamar, E.; Rami, M.; Roger, J.P.; Hakam, A.; Ennaoui, A.

    2002-01-01

    Spectroscopic ellipsometry (SE) has proven to be a very powerful diagnostic for thin film characterisation. It was used to determine thin film parameters such as film thickness and optical functions of polycrystalline tin-doped indium oxide (ITO) films deposited by spray pyrolysis onto Pyrex substrates. Dielectric ITO films often present microstructures which give rise to a variation of the refractive index with the distance from substrate. In this work, it was found that the fit between ellipsometric data and optical models results could be significantly improved when it was assumed that the refractive index of ITO films varied across the upper 60 nm near the film surface. Also, the surface roughness was modelled and compared with that given by the atomic force microscope (AFM)

  3. Spectroscopic ellipsometry studies of index profile of indium tin oxide films prepared by spray pyrolysis

    Science.gov (United States)

    El Rhaleb, H.; Benamar, E.; Rami, M.; Roger, J. P.; Hakam, A.; Ennaoui, A.

    2002-11-01

    Spectroscopic ellipsometry (SE) has proven to be a very powerful diagnostic for thin film characterisation. It was used to determine thin film parameters such as film thickness and optical functions of polycrystalline tin-doped indium oxide (ITO) films deposited by spray pyrolysis onto Pyrex substrates. Dielectric ITO films often present microstructures which give rise to a variation of the refractive index with the distance from substrate. In this work, it was found that the fit between ellipsometric data and optical models results could be significantly improved when it was assumed that the refractive index of ITO films varied across the upper 60 nm near the film surface. Also, the surface roughness was modelled and compared with that given by the atomic force microscope (AFM).

  4. Electrochromism of the electroless deposited cuprous oxide films

    International Nuclear Information System (INIS)

    Neskovska, R.; Ristova, M.; Velevska, J.; Ristov, M.

    2007-01-01

    Thin cuprous oxide films were prepared by a low cost, chemical deposition (electroless) method onto glass substrates pre-coated with fluorine doped tin oxide. The X-ray diffraction pattern confirmed the Cu 2 O composition of the films. Visible transmittance spectra of the cuprous oxide films were studied for the as-prepared, colored and bleached films. The cyclic voltammetry study showed that those films exhibited cathode coloring electrochromism, i.e. the films showed change of color from yellowish to black upon application of an electric field. The transmittance across the films for laser light of 670 nm was found to change due to the voltage change for about 50%. The coloration memory of those films was also studied during 6 h, ex-situ. The coloration efficiency at 670 nm was calculated to be 37 cm 2 /C

  5. Highly conducting and transparent sprayed indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Rami, M.; Benamar, E.; Messaoudi, C.; Sayah, D.; Ennaoui, A. (Faculte des Sciences, Rabat (Morocco). Lab. de Physique des Materiaux)

    1998-03-01

    Indium tin oxide (ITO) has a wide range of applications in solar cells (e.g. by controlling the resistivity, we can use low conductivity ITO as buffer layer and highly conducting ITO as front contact in thin films CuInS[sub 2] and CuInSe[sub 2] based solar cells) due to its wide band gap (sufficient to be transparent) in both visible and near infrared range, and high carrier concentrations with metallic conduction. A variety of deposition techniques such as reactive electron beam evaporation, DC magnetron sputtering, evaporation, reactive thermal deposition, and spray pyrolysis have been used for the preparation of undoped and tin doped indium oxide. This latter process which makes possible the preparation of large area coatings has attracted considerable attention due to its simplicity and large scale with low cost fabrication. It has been used here to deposit highly transparent and conducting films of tin doped indium oxide onto glass substrates. The electrical, optical and structural properties have been investigated as a function of various deposition parameters namely dopant concentrations, temperature and nature of substrates. X-ray diffraction patterns have shown that deposited films are polycrystalline without second phases and have preferred orientation [400]. INdium tin oxide layers with small resistivity value around 7.10[sup -5] [omega].cm and transmission coefficient in the visible and near IR range of about 85-90% have been easily obtained. (authors) 13 refs.

  6. Effect of micro-patterned fluorine-doped tin oxide films on electrochromic properties of Prussian blue films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyuha [Center for Energy Convergence Research, Green City Technology Institute, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Kim, A-Young [Center for Energy Convergence Research, Green City Technology Institute, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Department of Material Science and Engineering, Korea University, Seoul 136-701 (Korea, Republic of); Park, Ji Hun; Jung, Hun-Gi; Choi, Wonchang; Lee, Hwa Young [Center for Energy Convergence Research, Green City Technology Institute, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Center for Energy Convergence Research, Green City Technology Institute, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136-791 (Korea, Republic of)

    2014-09-15

    Graphical abstract: - Highlights: • PB-based ECD employed micro-patterned FTO electrode was fabricated. • Effect of interface morphology on electrochromic characteristics was examined. • Electrochromic properties were enhanced by employing a patterned interface. - Abstract: The effect of interface morphology on electrochromic characteristics was examined for an electrochromic device (ECD). Micro-patterned fluorine-doped tin oxide (FTO) films were fabricated using a photolithography process. Prussian blue (PB) films were then deposited on the patterned FTO films. The surface areas of both PB films and FTO films were increased by patterning. ECDs were assembled using patterned PB/FTO films as the electrochromic electrode, bare FTO films as the counter electrode, and an electrolyte containing LiClO{sub 4} salt. The increased effective surface area of the patterned PB/FTO electrode boosted the mobility of ions at the interphase between the electrolyte and PB electrode, and the electron transfer between PB films and FTO films. As a result, electrochromic properties such as transmittance and response time were significantly improved by employing the patterned FTO films as the transparent conductive oxide layer of the electrochromic electrode.

  7. Device quality InO{sub x}:Sn and InO{sub x} thin films deposited at room temperature with different rf-power densities

    Energy Technology Data Exchange (ETDEWEB)

    Amaral, A., E-mail: ana.de.amaral@ist.utl.pt [Dept. de Fisica and ICEMS, Instituto Superior Tecnico/Universidade Tecnica de Lisboa, Av. Rovisco Pais, 1049-001 Lisboa (Portugal); Brogueira, P. [Dept. de Fisica and ICEMS, Instituto Superior Tecnico/Universidade Tecnica de Lisboa, Av. Rovisco Pais, 1049-001 Lisboa (Portugal); Conde, O. [Universidade de Lisboa, Dept. de Fisica and ICEMS, Campo Grande, 1749-016 Lisboa (Portugal); Lavareda, G. [Dept. de Ciencia dos Materiais and CTS, FCT-UNL, 2829-516 Caparica (Portugal); Nunes de Carvalho, C. [Dept. de Ciencia dos Materiais, FCT-UNL and ICEMS, 2829-516 Caparica (Portugal)

    2012-12-30

    The influence of tin doping on the electrical, optical, structural and morphological properties of indium oxide films produced by radio-frequency plasma enhanced reactive thermal evaporation is studied, as transport properties are expected to improve with doping. Undoped and tin doped indium oxide thin films are deposited at room temperature using both pure In rods and (95-80) % In:(5-20) % Sn alloys as evaporation sources and 19.5 mW/cm{sup 2} and 58.6 mW/cm{sup 2} as rf-power densities. The two most important macroscopic properties - visible transparency and electrical resistivity - are relatively independent of tin content (0-20%). Visible transmittance of about 75% and electrical resistivity around 5 Multiplication-Sign 10{sup -4} {Omega}{center_dot}cm can be observed in the films. The structural features are similar for all samples. Nevertheless, the surface morphology characterization shows that the homogeneity of the films varies according to the tin content. Moreover this variation is a balance between the rf-power and the tin content in the alloy: i) films with small and compact grains are produced at 58.6 mW/cm{sup 2} from a 5% Sn alloy or at 19.5 mW/cm{sup 2} from a 15% Sn alloy and consequently, smooth surfaces with reduced roughness and similar grain size and shape are obtained; ii) films showing the presence of aggregates randomly distributed above a tissue formed of thinner grains and higher roughness are produced at the other deposition conditions. - Highlights: Black-Right-Pointing-Pointer InO{sub x}:Sn and InO{sub x} thin films were deposited at room temperature. Black-Right-Pointing-Pointer Transparency and electrical resistivity are relatively independent of Sn content. Black-Right-Pointing-Pointer Device quality material was obtained. Black-Right-Pointing-Pointer The surface morphology homogeneity of the films varies with tin content.

  8. Structural and optical studies of 100 MeV Au irradiated thin films of tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Jaiswal, Manoj Kumar [University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, New Delhi 110 078 (India); Kanjilal, D. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Kumar, Rajesh, E-mail: rajeshkumaripu@gmail.com [University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, New Delhi 110 078 (India)

    2013-11-01

    Thin films of tin(IV) oxide (SnO{sub 2}) of 100 nm thickness were grown on silicon (1 0 0) matrices by electron beam evaporation deposition technique under high vacuum. The thicknesses of these films were monitored by piezo-sensor attached to the deposition chamber. Nanocrystallinity is achieved in these thin films by 100 MeV Au{sup 8+} using 1 pnA current at normal incidence with ion fluences varying from 1 × 10{sup 11} ions/cm{sup 2} to 5 × 10{sup 13} ions/cm{sup 2}. Swift Heavy Ion beam irradiation was carried out by using 15 UD Pelletron Accelerator at IUAC, New Delhi, India. Optical studies of pristine and ion irradiated thin films were characterized by UV–Visible spectroscopy and Fourier Transform Infrared (FTIR) spectroscopy. Prominent peak at 610 cm{sup −1} in FTIR spectrum confirmed the O–Sn–O bonding of tin(IV) oxide. For Surface topographical studies and grain size calculations, these films were characterized by Atomic Force Microscope (AFM) using Nanoscope III-A. Crystallinity and phase transformation due to irradiation of pristine and irradiated films were characterized by Glancing Angle X-ray Diffraction (GAXRD) using Brucker-D8 advance model. GAXRD results show improvement in crystallinity and phase transformation due to swift heavy ion irradiation. Grain size distribution was verified by AFM and GAXRD results. Swift heavy ion induced modifications in thin films of SnO{sub 2} were confirmed by the presence of prominent peaks at 2θ values of 30.65°, 32.045°, 43.94°, 44.96° and 52.36° in GAXRD spectrum.

  9. The Tribological Behaviors of Three Films Coated on Biomedical Titanium Alloy by Chemical Vapor Deposition

    Science.gov (United States)

    Wang, Song; Liao, Zhenhua; Liu, Yuhong; Liu, Weiqiang

    2015-11-01

    Three thin films (DLC, a-C, and TiN) were performed on Ti6Al4V by chemical vapor deposition. Carbon ion implantation was pretreated for DLC and a-C films while Ti transition layer was pretreated for TiN film to strengthen the bonding strength. X-ray diffraction, Raman measurement, nano-hardness and nano-scratch tester, and cross-section etching by FIB method were used to analyze film characteristics. Tribological behaviors of these coatings were studied by articulation with both ZrO2 and UHMWPE balls using ball-on-disk sliding. The thickness values reached ~0.46, ~0.33, and ~1.67 μm for DLC, a-C, and TiN film, respectively. Nano-hardness of the coatings compared with that of untreated and bonding strength (critical load in nano-scratch test) values of composite coatings compared with that of monolayer film all increased significantly, respectively. Under destructive test (ZrO2 ball conterface) in bovine serum lubrication, TiN coating revealed the best wear resistance while DLC showed the worst. Film failure was mainly attributed to the plowing by hard ZrO2 ball characterized by abrasive and adhesive wear. Under normal test (UHMWPE ball conterface), all coatings showed significant improvement in wear resistance both in dry sliding and bovine serum lubrication. Both DLC and a-C films showed less surface damage than TiN film due to the self-lubricating phenomenon in dry sliding. TiN film showed the largest friction coefficient both in destructive and normal tests, devoting to the big TiN grains thus leading to much rougher surface and then a higher value. The self-lubricating film formed on DLC and a-C coating could also decrease their friction coefficients. The results indicated that three coatings revealed different wear mechanisms, and thick DLC or a-C film was more promising in application in lower stress conditions such as artificial cervical disk.

  10. Preparation and characterization of SnO2 thin film by chemical bath deposition method for solar cell application

    International Nuclear Information System (INIS)

    Wan Mohd Zin Wan Yunus; Saeideh Ebrahimiasl; Anuar Kassim

    2009-01-01

    Full text: Tin oxide thin films were synthesized by chemical bath deposition method on glass substrate .The as-deposited thin films were characterized for compositional, structural, surface morphological, optical and electrical properties. The X-ray diffraction patterns of the sample indicate that all samples are polycrystalline structure. AFM images show that the films consist of small uniform grains and are free of pinholes. (author)

  11. {alpha} Fe{sub 2}O{sub 3} films grown by the spin-on sol-gel deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Avila G, A.; Carbajal F, G. [Seccion de Electronica del Estado Solido, Departamento de Ingenieria Electrica, CINVESTAV del l.P.N., Av. I.P.N. No. 2508, Apartado Postal 14-740, Mexico 07360, D.F (Mexico); Tiburcio S, A. [Division Posg, lnstituto Tecnologico de Toluca-SEP, P.O. Box 890, 50000 Toluca, Edo. Mex. (Mexico); Barrera C, E. [Departamento de IPH, Area de Ingenieria en Recursos Energeticos, Universidad Autonoma Metropolitana-lztapalapa, Apartado Postal 55-5340, Mexico, D.F. (Mexico); Andrade I, E. [Instituto de Fsica, Universidad Nacional Autononca de Mexico, Apartado Postal 20-364, Mexico 01000, D. F (Mexico)

    2003-07-01

    {alpha}-Fe{sub 2}O{sub 3} polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  12. Growth Kinetics and Oxidation Mechanism of ALD TiN Thin Films Monitored by In Situ Spectroscopic Ellipsometry

    NARCIS (Netherlands)

    Van Hao, B.; Groenland, A.W.; Aarnink, Antonius A.I.; Wolters, Robertus A.M.; Schmitz, Jurriaan; Kovalgin, Alexeij Y.

    2011-01-01

    Spectroscopic ellipsometry (SE) was employed to investigate the growth of atomic layer deposited (ALD) TiN thin films from titanium chloride (TiCl4) and ammonia (NH3) and the followed oxidation in dry oxygen. Two regimes were found in the growth including a transient stage prior to a linear regime.

  13. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  14. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  15. A study on linear and non-linear optical constants of Rhodamine B thin film deposited on FTO glass

    Science.gov (United States)

    Yahia, I. S.; Jilani, Asim; Abutalib, M. M.; AlFaify, S.; Shkir, M.; Abdel-wahab, M. Sh.; Al-Ghamdi, Attieh A.; El-Naggar, A. M.

    2016-06-01

    The aim of this research was to fabricate/deposit the good quality thin film of Rhodamine B dye on fluorine doped tin oxide glass substrate by the low cost spin coating technique and study their linear and nonlinear optical parameters. The thickness of the thin film was measured about 300 nm with alpha step system. The transmittance of the fabricated thin film was found to be above 75% corresponding to the fluorine doped tin oxide layer. The structural analysis was performed with X-rays diffraction spectroscopy. Atomic force microscope showed the topographic image of deposited thin film. Linear optical constant like absorption coefficient, band gap, and extinction index was calculated. The dielectric constant was calculated to know the optical response of Rhodamine B dye over fluorine doped tin oxide substrate. The nonlinear optical constant like linear optical susceptibility χ(1), nonlinear optical susceptibility χ(3), nonlinear refractive index (n2) were calculated by spectroscopic method. This method has advantage over the experimental method like Z-Scan for organic dye base semiconductors for future advance optoelectronics applications like dye synthesis solar cell.

  16. A comparative study on microstructure and tribological properties of Si3N4 and TiN thin films produced by IBED method

    International Nuclear Information System (INIS)

    Zhuang Daming; Liu Jiajun; Zhu Baoliang; Li Wenzhi; Zhang Xushou; Yang Shengrong

    1995-01-01

    In this paper, the tribological properties of Si 3 N 4 and TiN thin films produced by ion beam enhanced deposition (IBED) method were compared on an SRV friction and wear testing machine. In order to understand the reasons of their excellent properties the microstructure, microhardness and bonding strength with the substrate were analysed by SEM, X-ray diffraction, Knoop hardness test and scratching test methods separately. The results show that the TiN(1) films exhibits the best tribological properties, which are closely related with its higher hardness and bonding strength. (author)

  17. Influence of deposition parameters and annealing on Cu{sub 2}ZnSnS{sub 4} thin films grown by SILAR

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Kinjal; Shah, Dimple V. [Department of Applied Physics, S.V. National Institute of Technology, Surat 395007 (India); Kheraj, Vipul, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, S.V. National Institute of Technology, Surat 395007 (India); Department of Electrical and Computer Engineering, University of Utah, Salt Lake City, UT 84112 (United States)

    2015-02-15

    Highlights: • Optimisation of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin film deposition using SILAR method. • Study on effects of annealing at different temperature under two different ambients, viz. sulphur and tin sulphide. • Formation of CZTS thin films with good crystalline quality confirmed by XRD and Raman spectra. - Abstract: Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were deposited on glass substrates using Successive Ionic Layer Adsorption and Reaction (SILAR) technique at the room-temperature. The deposition parameters such as concentration of precursors and number of cycles were optimised for the deposition of uniform CZTS thin films. Effects of annealing at different temperature under two different ambient, viz. sulphur and tin sulphide have also been investigated. The structural and optical properties of the films were studied using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and UV-visible spectra in light with the deposition parameters and annealing conditions. It is observed that a good quality CZTS film can be obtained by SILAR at room temperature followed by annealing at 500 °C in presence of sulphur.

  18. Influence of gaseous annealing environment on the properties of indium-tin-oxide thin films

    International Nuclear Information System (INIS)

    Wang, R.X.; Beling, C.D.; Fung, S.; Djurisic, A.B.; Ling, C.C.; Li, S.

    2005-01-01

    The influence of postannealing in different gaseous environments on the optical properties of indiu-tin-oxide (ITO) thin films deposited on glass substrates using e-beam evaporation has been systematically investigated. It is found that the annealing conditions affect the optical and electrical properties of the films. Atomic force microscopy, x-ray diffraction, and x-ray photoemission spectroscopy (XPS) were employed to obtain information on the chemical state and crystallization of the films. These data suggest that the chemical states and surface morphology of the ITO film are strongly influenced by the gaseous environment during the annealing process. The XPS data indicate that the observed variations in the optical transmittance can be explained by oxygen incorporation into the film, decomposition of the indium oxide phases, as well as the removal of metallic In

  19. Influence of pulsed substrate bias on the structure and properties of Ti-Al-N films deposited by cathodic vacuum arc

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, G.P., E-mail: princeterry@163.com [Institute of Physics, Chinese Academy of Science, Beijing 100080 (China); Gao, G.J. [Changchun University of Science and Technology, College of Science, Changchun 130000 (China); Wang, X.Q.; Lv, G.H.; Zhou, L.; Chen, H.; Pang, H.; Yang, S.Z. [Institute of Physics, Chinese Academy of Science, Beijing 100080 (China)

    2012-07-15

    Ti-Al-N films were deposited by cathodic vacuum arc (CVA) technique in N{sub 2} atmosphere with different pulsed substrate bias. The influence of pulsed substrate bias (0 to -800 V) on the deposition rate, surface morphology, crystal structure, and mechanical properties of the Ti-Al-N films were systematically investigated. Increasing pulsed bias voltage resulted in the decrease of deposition rate but the increase of surface roughness. It was found that there was a strong correlation between the pulsed bias and film structure. All the films studied in this paper were composed of TiN, AlN, and Ti-Al-N ternary phases. The grains changed from equiaxial to columnar and exhibited preferred orientation when the pulsed bias increased. With the increase of pulsed bias voltage, the atomic ratio of Ti to Al element increased gradually, while the N to (Ti + Al) ratio decreased. The composite films present an enhanced nanohardness compared with binary TiN and ZrN films. The film deposited with pulsed bias of -200 V possessed the maximum scratch critical load and nanohardness. The minimum friction coefficient with pulsed bias of -300 V was obtained.

  20. Influence of Oxygen Concentration on the Performance of Ultra-Thin RF Magnetron Sputter Deposited Indium Tin Oxide Films as a Top Electrode for Photovoltaic Devices

    Directory of Open Access Journals (Sweden)

    Jephias Gwamuri

    2016-01-01

    Full Text Available The opportunity for substantial efficiency enhancements of thin film hydrogenated amorphous silicon (a-Si:H solar photovoltaic (PV cells using plasmonic absorbers requires ultra-thin transparent conducting oxide top electrodes with low resistivity and high transmittances in the visible range of the electromagnetic spectrum. Fabricating ultra-thin indium tin oxide (ITO films (sub-50 nm using conventional methods has presented a number of challenges; however, a novel method involving chemical shaving of thicker (greater than 80 nm RF sputter deposited high-quality ITO films has been demonstrated. This study investigates the effect of oxygen concentration on the etch rates of RF sputter deposited ITO films to provide a detailed understanding of the interaction of all critical experimental parameters to help create even thinner layers to allow for more finely tune plasmonic resonances. ITO films were deposited on silicon substrates with a 98-nm, thermally grown oxide using RF magnetron sputtering with oxygen concentrations of 0, 0.4 and 1.0 sccm and annealed at 300 °C air ambient. Then the films were etched using a combination of water and hydrochloric and nitric acids for 1, 3, 5 and 8 min at room temperature. In-between each etching process cycle, the films were characterized by X-ray diffraction, atomic force microscopy, Raman Spectroscopy, 4-point probe (electrical conductivity, and variable angle spectroscopic ellipsometry. All the films were polycrystalline in nature and highly oriented along the (222 reflection. Ultra-thin ITO films with record low resistivity values (as low as 5.83 × 10−4 Ω·cm were obtained and high optical transparency is exhibited in the 300–1000 nm wavelength region for all the ITO films. The etch rate, preferred crystal lattice growth plane, d-spacing and lattice distortion were also observed to be highly dependent on the nature of growth environment for RF sputter deposited ITO films. The structural, electrical

  1. Deposition and characterization of ZnS thin films using chemical bath deposition method in the presence of sodium tartrate as complexing agent

    International Nuclear Information System (INIS)

    Kassim, A.; Tee, T.W.; Min, H.S.; Nagalingam, S.

    2011-01-01

    ZnS thin films were deposited on indium tin oxide glass substrate using the chemical bath deposition method. The deposited films were characterized by X-ray diffraction and atomic force microscopy. The influence of bath temperature on the structure and morphology of the thin films was investigated at three different bath temperatures of 60, 70 and 80 deg. C in the presence of sodium tartrate as a complexing agent. The XRD results indicated that the deposited ZnS thin films exhibited a polycrystalline cubic structure. The number of ZnS peaks increased from three to four peaks as the bath temperature was increased from 60 to 80 deg. C based on the XRD patterns. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the bath temperature. The grain size increased as the bath temperature was increased from 60 to 80 deg. C. (author)

  2. Influence of current density on surface morphology and properties of pulse plated tin films from citrate electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Ashutosh; Bhattacharya, Sumit; Das, Siddhartha; Das, Karabi, E-mail: karabi@metal.iitkgp.ernet.in

    2014-01-30

    Bulk polycrystalline tin films have been processed by pulse electrodeposition technique from a simple solution containing triammonium citrate and stannous chloride. The cathodic investigations have been carried out by galvanostatic methods. As deposited samples are characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), and transmission electron microscopy (TEM). XRD analysis of the deposited films shows microcrystalline grains having β-Sn form. The surface morphology is very rough at lower current density, but becomes smooth at higher current density, and exhibits pyramid type morphology at all the current densities. The effect of current density on microhardness, melting behavior, and electrical resistivity are also reported here.

  3. Investigation of the Optoelectronic Properties of Ti-doped Indium Tin Oxide Thin Film.

    Science.gov (United States)

    Pu, Nen-Wen; Liu, Wei-Sheng; Cheng, Huai-Ming; Hu, Hung-Chun; Hsieh, Wei-Ting; Yu, Hau-Wei; Liang, Shih-Chang

    2015-09-21

    : In this study, direct-current magnetron sputtering was used to fabricate Ti-doped indium tin oxide (ITO) thin films. The sputtering power during the 350-nm-thick thin-film production process was fixed at 100 W with substrate temperatures increasing from room temperature to 500 °C. The Ti-doped ITO thin films exhibited superior thin-film resistivity (1.5 × 10 - ⁴ Ω/cm), carrier concentration (4.1 × 10 21 cm - ³), carrier mobility (10 cm²/Vs), and mean visible-light transmittance (90%) at wavelengths of 400-800 nm at a deposition temperature of 400 °C. The superior carrier concentration of the Ti-doped ITO alloys (>10 21 cm - ³) with a high figure of merit (81.1 × 10 - ³ Ω - ¹) demonstrate the pronounced contribution of Ti doping, indicating their high suitability for application in optoelectronic devices.

  4. Preparation of RF reactively sputtered indium-tin oxide thin films with optical properties suitable for heat mirrors

    International Nuclear Information System (INIS)

    Boyadzhiev, S; Dobrikov, G; Rassovska, M

    2008-01-01

    Technologies are discussed for preparing and characterizing indium-tin oxide (ITO) thin films with properties appropriate for usage as heat mirrors in solar thermal collectors. The samples were prepared by means of radio frequency (RF) reactive sputtering of indium-tin targets in oxygen. The technological parameters were optimized to obtain films with optimal properties for heat mirrors. The optical properties of the films were studied by visible and infra-red (IR) spectrophotometry and laser ellipsometry. The reflectance of the films in the thermal IR range was investigated by a Fourier transform infra-red (FTIR) spectrophotometer. Heating of the substrates during the sputtering and their post deposition annealing in different environments were also studied. The ultimate purpose of the present research being the development of a technological process leading to low-cost ITO thin films with high transparency in the visible and near IR (0.3-2.4 μm) and high reflection in the thermal IR range (2.5-25 μm), we investigated the correlation of the ITO thin films structural and optical properties with the technological process parameters - target composition and heat treatment

  5. Comparison of mechanical behavior of TiN, TiNC, CrN/TiNC, TiN/TiNC films on 9Cr18 steel by PVD

    Science.gov (United States)

    Feng, Xingguo; Zhang, Yanshuai; Hu, Hanjun; Zheng, Yugang; Zhang, Kaifeng; Zhou, Hui

    2017-11-01

    TiN, TiNC, CrN/TiNC and TiN/TiNC films were deposited on 9Cr18 steel using magnetron sputtering technique. The morphology, composition, chemical state and crystalline structure of the films were observed and analyzed by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscopy (SEM). Hardness and adhesion force were tested by nanoindentation and scratch tester, respectively. The friction and wear behavior of TiN, TiNC, CrN/TiNC and TiN/TiNC films sliding against GCr15 balls were investigated and compared synthetically using ball-on-disk tribometer. It was found that Tisbnd N, Tisbnd C, Tisbnd Nsbnd C and Csbnd C bonds were formed. The TiN/TiNC film was composed of TiN, TiC and TiNC phases. Hardness and adhesion force results indicated that although the TiN film possessed the highest hardness, its adhesion force was lowest among all the films. Tribological test results showed that the friction coefficient of TiN/TiNC was much lower than that of TiN and the wear rate decreases remarkably from 2.3 × 10-15 m3/Nm to 7.1 × 10-16 m3/Nm, which indicated the TiN/TiNC film has better wear resistance.

  6. Study of quartz crystal microbalance NO2 sensor coated with sputtered indium tin oxide film

    Science.gov (United States)

    Georgieva, V.; Aleksandrova, M.; Stefanov, P.; Grechnikov, A.; Gadjanova, V.; Dilova, T.; Angelov, Ts

    2014-12-01

    A study of NO2 gas sorption ability of thin indium tin oxide (ITO) deposited on 16 MHz quartz crystal microbalance (QCM) is presented. ITO films are grown by RF sputtering of indium/tin target with weight proportion 95:5 in oxygen environment. The ITO films have been characterized by X-ray photoelectron spectroscopy measurements. The ITO surface composition in atomic % is defined to be: In-40.6%, Sn-4.3% and O-55%. The thickness and refractive index of the films are determined by ellipsometric method. The frequency shift of QCM-ITO is measured at different NO2 concentrations. The QCM-ITO system becomes sensitive at NO2 concentration >= 500 ppm. The sorbed mass for each concentration is calculated according the Sauerbrey equation. The results indicated that the 1.09 ng of the gas is sorbed into 150 nm thick ITO film at 500 ppm NO2 concentration. When the NO2 concentration increases 10 times the calculated loaded mass is 5.46 ng. The sorption process of the gas molecules is defined as reversible. The velocity of sorbtion /desorption processes are studied, too. The QCM coated with thin ITO films can be successfully used as gas sensors for detecting NO2 in the air at room temperature.

  7. Study of quartz crystal microbalance NO2 sensor coated with sputtered indium tin oxide film

    International Nuclear Information System (INIS)

    Georgieva, V; Gadjanova, V; Angelov, Ts; Aleksandrova, M; Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" data-affiliation=" (Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" >Stefanov, P; Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" data-affiliation=" (Institute of General and Inorganic Chemistry, Bulgarian Academy of Sciences, Acad. Georgi Bonchev str.bl. 11, 1113, Sofia (Bulgaria))" >Dilova, T; Grechnikov, A

    2014-01-01

    A study of NO 2 gas sorption ability of thin indium tin oxide (ITO) deposited on 16 MHz quartz crystal microbalance (QCM) is presented. ITO films are grown by RF sputtering of indium/tin target with weight proportion 95:5 in oxygen environment. The ITO films have been characterized by X-ray photoelectron spectroscopy measurements. The ITO surface composition in atomic % is defined to be: In-40.6%, Sn-4.3% and O-55%. The thickness and refractive index of the films are determined by ellipsometric method. The frequency shift of QCM-ITO is measured at different NO 2 concentrations. The QCM-ITO system becomes sensitive at NO 2 concentration ≥ 500 ppm. The sorbed mass for each concentration is calculated according the Sauerbrey equation. The results indicated that the 1.09 ng of the gas is sorbed into 150 nm thick ITO film at 500 ppm NO 2 concentration. When the NO 2 concentration increases 10 times the calculated loaded mass is 5.46 ng. The sorption process of the gas molecules is defined as reversible. The velocity of sorbtion /desorption processes are studied, too. The QCM coated with thin ITO films can be successfully used as gas sensors for detecting NO 2 in the air at room temperature

  8. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  9. Effect of post annealing treatment on electrochromic properties of spray deposited niobium oxide thin films

    International Nuclear Information System (INIS)

    Mujawar, S.H.; Inamdar, A.I.; Betty, C.A.; Ganesan, V.; Patil, P.S.

    2007-01-01

    Niobium oxide thin films were deposited on the glass and fluorine doped tin oxide (FTO) coated glass substrates using simple and inexpensive spray pyrolysis technique. During deposition of the films various process parameters like nozzle to substrate distance, spray rate, concentration of sprayed solution were optimized to obtain well adherent and transparent films. The films prepared were further annealed and effect of post annealing on the structural, morphological, optical and electrochromic properties was studied. Structural and morphological characterizations of the films were carried out using scanning electron microscopy, atomic force microscopy and X-ray diffraction techniques. Electrochemical properties of the niobium oxide thin films were studied by using cyclic-voltammetry, chronoamperometry and chronocoulometry

  10. Growth and characterization of tin oxide thin films and fabrication of transparent p-SnO/n-ZnO p–n hetero junction

    Energy Technology Data Exchange (ETDEWEB)

    Sanal, K.C., E-mail: sanalcusat@gmail.com [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala 682 022 (India); Inter University Center for Nanomaterials and Devices (IUCND), Cochin University of Science and Technology (India); Jayaraj, M.K. [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala 682 022 (India)

    2013-07-01

    Highlights: • Growth of p-type semiconducting SnO thin films by rf sputtering. • Varying the type of charge carriers with oxygen partial pressure. • Atomic percentage of SnO{sub x} thin films from the XPS analysis. • Demonstration of transparent p–n hetero junctions fabricated in the structure glass/ITO/n-ZnO/p-SnO. -- Abstract: p-Type and n-type tin oxide thin films were deposited by rf-magnetron sputtering of metal tin target by varying the oxygen pressure. Chemical composition of SnO thin film according to the intensity of the XPS peak is about 48.85% and 51.15% for tin and oxygen respectively. Nearest neighbor distance of the atoms calculated from SAED patterns is 2.9 Åand 2.7 Åfor SnO and SnO{sub 2} respectively. The Raman scattering spectrum obtained from SnO thin films showed two peaks, one at 113 cm{sup −1} and the other at 211 cm{sup −1}. Band gap of as-deposited SnO{sub x} thin films vary from 1.6 eV to 3.2 eV on varying the oxygen partial pressure from 3% to 30% which indicates the oxidization of metallic phase Sn to SnO and SnO{sub 2}. p-Type conductivity of SnO thin films and n-type conductivity of SnO{sub 2} thin films were confirmed through Hall coefficient measurement. Transparent p–n hetero junction fabricated in the structure glass/ITO/n-ZnO/p-SnO shows rectification with forward to reverse current ratio as 12 at 4.5 V.

  11. Influence of substrate material on the microstructure and optical properties of hot wall deposited SnS thin films

    International Nuclear Information System (INIS)

    Bashkirov, S.A.; Gremenok, V.F.; Ivanov, V.A.; Shevtsova, V.V.; Gladyshev, P.P.

    2015-01-01

    Tin monosulfide SnS raises an interest as a promising material for photovoltaics. The influence of the substrate material on the microstructure and optical properties of SnS thin films with [111] texture obtained by hot wall vacuum deposition on glass, molybdenum and indium tin oxide substrates is reported. The lattice parameters for layers grown on different substrates were determined by X-ray diffraction and their deviations from the data reported in the literature for single α-SnS crystals were discussed. The change in the degree of preferred orientation of the films depending on the substrate material is observed. The direct nature of the optical transitions with the optical band gap of 1.15 ± 0.01 eV is reported. - Highlights: • SnS thin films were hot wall deposited on glass, molybdenum and indium tin oxide. • Physical properties of the films were studied with respect to the substrate type. • The SnS lattice parameter deviations were observed and the explanation was given. • The direct optical transitions with the band gap of 1.15 ± 0.01 eV were observed

  12. A study on linear and non-linear optical constants of Rhodamine B thin film deposited on FTO glass

    Energy Technology Data Exchange (ETDEWEB)

    Yahia, I.S. [Nano-Science & Semiconductor Labs, Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Jilani, Asim, E-mail: asim.jilane@gmail.com [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); Abutalib, M.M. [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); AlFaify, S. [Nano-Science & Semiconductor Labs, Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Shkir, M. [Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Abdel-wahab, M.Sh.; Al-Ghamdi, Attieh A. [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); El-Naggar, A.M. [Exploitation of Renewable Energy Applications in Saudi Arabia, Physics & Astronomy Department, College of Science, King Saud University, P.O.Box 2455, Riyadh 11451 (Saudi Arabia)

    2016-06-01

    The aim of this research was to fabricate/deposit the good quality thin film of Rhodamine B dye on fluorine doped tin oxide glass substrate by the low cost spin coating technique and study their linear and nonlinear optical parameters. The thickness of the thin film was measured about 300 nm with alpha step system. The transmittance of the fabricated thin film was found to be above 75% corresponding to the fluorine doped tin oxide layer. The structural analysis was performed with X-rays diffraction spectroscopy. Atomic force microscope showed the topographic image of deposited thin film. Linear optical constant like absorption coefficient, band gap, and extinction index was calculated. The dielectric constant was calculated to know the optical response of Rhodamine B dye over fluorine doped tin oxide substrate. The nonlinear optical constant like linear optical susceptibility χ{sup (1)}, nonlinear optical susceptibility χ{sup (3)}, nonlinear refractive index (n{sub 2}) were calculated by spectroscopic method. This method has advantage over the experimental method like Z-Scan for organic dye base semiconductors for future advance optoelectronics applications like dye synthesis solar cell.

  13. Hydrogen plasma treatment for improved conductivity in amorphous aluminum doped zinc tin oxide thin films

    Directory of Open Access Journals (Sweden)

    M. Morales-Masis

    2014-09-01

    Full Text Available Improving the conductivity of earth-abundant transparent conductive oxides (TCOs remains an important challenge that will facilitate the replacement of indium-based TCOs. Here, we show that a hydrogen (H2-plasma post-deposition treatment improves the conductivity of amorphous aluminum-doped zinc tin oxide while retaining its low optical absorption. We found that the H2-plasma treatment performed at a substrate temperature of 50 °C reduces the resistivity of the films by 57% and increases the absorptance by only 2%. Additionally, the low substrate temperature delays the known formation of tin particles with the plasma and it allows the application of the process to temperature-sensitive substrates.

  14. Hydrogen plasma treatment for improved conductivity in amorphous aluminum doped zinc tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Morales-Masis, M., E-mail: monica.moralesmasis@epfl.ch; Ding, L.; Dauzou, F. [Photovoltaics and Thin-Film Electronics Laboratory (PVLab), Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne - EPFL, Rue de la Maladière 71b, CH-2002 Neuchatel (Switzerland); Jeangros, Q. [Interdisciplinary Centre for Electron Microscopy, Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne (Switzerland); Hessler-Wyser, A. [Photovoltaics and Thin-Film Electronics Laboratory (PVLab), Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne - EPFL, Rue de la Maladière 71b, CH-2002 Neuchatel (Switzerland); Interdisciplinary Centre for Electron Microscopy, Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne (Switzerland); Nicolay, S. [Centre Suisse d’Electronique et de Microtechnique (CSEM) SA, Rue Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Ballif, C. [Photovoltaics and Thin-Film Electronics Laboratory (PVLab), Institute of Microengineering (IMT), Ecole Polytechnique Fédérale de Lausanne - EPFL, Rue de la Maladière 71b, CH-2002 Neuchatel (Switzerland); Centre Suisse d’Electronique et de Microtechnique (CSEM) SA, Rue Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland)

    2014-09-01

    Improving the conductivity of earth-abundant transparent conductive oxides (TCOs) remains an important challenge that will facilitate the replacement of indium-based TCOs. Here, we show that a hydrogen (H{sub 2})-plasma post-deposition treatment improves the conductivity of amorphous aluminum-doped zinc tin oxide while retaining its low optical absorption. We found that the H{sub 2}-plasma treatment performed at a substrate temperature of 50 °C reduces the resistivity of the films by 57% and increases the absorptance by only 2%. Additionally, the low substrate temperature delays the known formation of tin particles with the plasma and it allows the application of the process to temperature-sensitive substrates.

  15. Seed-mediated electrochemical growth of gold nanostructures on indium tin oxide thin films

    International Nuclear Information System (INIS)

    Praig, Vera G.; Piret, Gaelle; Manesse, Mael; Castel, Xavier; Boukherroub, Rabah; Szunerits, Sabine

    2008-01-01

    Two-dimensional gold nanostructures (Au NSs) were fabricated on amine-terminated indium tin oxide (ITO) thin films using constant potential electrolysis. By controlling the deposition time and by choosing the appropriate ITO surface, Au NSs with different shapes were generated. When Au NSs were formed directly on aminosilane-modified ITO, the surface roughness of the interface was largely enhanced. Modification of such Au NSs with n-tetradecanethiol resulted in a highly hydrophobic interface with a water contact angle of 144 deg. Aminosilane-modified ITO films further modified with colloidal Au seeds before electrochemical Au NSs formation demonstrated interesting optical properties. Depending on the deposition time, surface colors ranging from pale pink to beatgold-like were observed. The optical properties and the chemical stability of the interfaces were characterized using UV-vis absorption spectroscopy. Well-defined localized surface plasmon resonance signals were recorded on Au-seeded interfaces with λ max = 675 ± 2 nm (deposition time 180 s). The prepared interfaces exhibited long-term stability in various solvents and responded linearly to changes in the corresponding refractive indices

  16. Seed-mediated electrochemical growth of gold nanostructures on indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Praig, Vera G.; Szunerits, Sabine [Laboratoire d' Electrochimie et de Physicochimie des Materiaux et des Interfaces (LEPMI), CNRS-INPG-UJF, 1130 rue de la piscine, BP 75, 38402 St. Martin d' Heres Cedex (France); Institut de Recherche Interdisciplinaire (IRI), USR CNRS 3078 and Institut d' Electronique, de Microelectronique et de Nanotechnologie (IEMN),UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France); Piret, Gaelle; Boukherroub, Rabah [Institut de Recherche Interdisciplinaire (IRI), USR CNRS 3078 and Institut d' Electronique, de Microelectronique et de Nanotechnologie (IEMN),UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France); Manesse, Mael [Laboratoire d' Electrochimie et de Physicochimie des Materiaux et des Interfaces (LEPMI), CNRS-INPG-UJF, 1130 rue de la piscine, BP 75, 38402 St. Martin d' Heres Cedex (France); Castel, Xavier [Institut d' Electronique et de Telecommunications de Rennes (IETR), UMR CNRS 6164, 18 rue H. Wallon, BP 406, 22004 Saint-Brieuc Cedex 1 (France)

    2008-11-15

    Two-dimensional gold nanostructures (Au NSs) were fabricated on amine-terminated indium tin oxide (ITO) thin films using constant potential electrolysis. By controlling the deposition time and by choosing the appropriate ITO surface, Au NSs with different shapes were generated. When Au NSs were formed directly on aminosilane-modified ITO, the surface roughness of the interface was largely enhanced. Modification of such Au NSs with n-tetradecanethiol resulted in a highly hydrophobic interface with a water contact angle of 144 . Aminosilane-modified ITO films further modified with colloidal Au seeds before electrochemical Au NSs formation demonstrated interesting optical properties. Depending on the deposition time, surface colors ranging from pale pink to beatgold-like were observed. The optical properties and the chemical stability of the interfaces were characterized using UV-vis absorption spectroscopy. Well-defined localized surface plasmon resonance signals were recorded on Au-seeded interfaces with {lambda}{sub max}=675{+-} 2 nm (deposition time 180 s). The prepared interfaces exhibited long-term stability in various solvents and responded linearly to changes in the corresponding refractive indices. (author)

  17. X-Ray diffraction analysis of thermally evaporated copper tin selenide thin films at different annealing temperature

    International Nuclear Information System (INIS)

    Mohd Amirul Syafiq Mohd Yunos; Zainal Abidin Talib; Wan Mahmood Mat Yunus; Josephine Liew Ying Chyi; Wilfred Sylvester Paulus

    2010-01-01

    Semiconductor thin films Copper Tin Selenide, Cu 2 SnSe 3 , a potential compound for semiconductor radiation detector or solar cell applications were prepared by thermal evaporation method onto well-cleaned glass substrates. The as-deposited films were annealed in flowing purified nitrogen, N 2 , for 2 hours in the temperature range from 100 to 500 degree Celsius. The structure of as-deposited and annealed films has been studied by X-ray diffraction technique. The semi-quantitative analysis indicated from the Reitveld refinement show that the samples composed of Cu 2 SnSe 3 and SnSe. These studies revealed that the films were structured in mixed phase between cubic space group F-43 m (no. 216) and orthorhombic space group P n m a (no. 62). The crystallite size and lattice strain were determined from Scherrer calculation method. The results show that increasing in annealing temperature resulted in direct increase in crystallite size and decrease in lattice strain. (author)

  18. Electrical and optical properties of nitrogen doped SnO2 thin films deposited on flexible substrates by magnetron sputtering

    International Nuclear Information System (INIS)

    Fang, Feng; Zhang, Yeyu; Wu, Xiaoqin; Shao, Qiyue; Xie, Zonghan

    2015-01-01

    Graphical abstract: The best SnO 2 :N TCO film: about 80% transmittance and 9.1 × 10 −4 Ω cm. - Highlights: • Nitrogen-doped tin oxide film was deposited on PET by RF-magnetron sputtering. • Effects of oxygen partial pressure on the properties of thin films were investigated. • For SnO 2 :N film, visible light transmittance was 80% and electrical resistivity was 9.1 × 10 −4 Ω cm. - Abstract: Nitrogen-doped tin oxide (SnO 2 :N) thin films were deposited on flexible polyethylene terephthalate (PET) substrates at room temperature by RF-magnetron sputtering. Effects of oxygen partial pressure (0–4%) on electrical and optical properties of thin films were investigated. Experimental results showed that SnO 2 :N films were amorphous state, and O/Sn ratios of SnO 2 :N films were deviated from the standard stoichiometry 2:1. Optical band gap of SnO 2 :N films increased from approximately 3.10 eV to 3.42 eV as oxygen partial pressure increased from 0% to 4%. For SnO 2 :N thin films deposited on PET, transmittance was about 80% in the visible light region. The best transparent conductive oxide (TCO) deposited on flexible PET substrates was SnO 2 :N thin films preparing at 2% oxygen partial pressure, the transmittance was about 80% and electrical conductivity was about 9.1 × 10 −4 Ω cm

  19. Photocatalysis of zinc oxide nanotip array/titanium oxide film heterojunction prepared by aqueous solution deposition

    Science.gov (United States)

    Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu

    2017-05-01

    A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.

  20. Modification of anomalous deposition of Zn-Ni alloy by using tin additions

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Zeyang; O`Keefe, T.J. [Missouri Univ., Rolla, MO (United States). Dept. of Metallurgical Engineering

    1997-11-25

    One of the common examples of anomalous deposition in electrolytic processing is the Zn-Ni alloy coating system. These alloys, in the range 10-15% Ni, are also of commercial interest in electrogalvanizing for protecting steel from corrosion while retaining good formability, weldability and paintability. The primary objective of this research was to obtain a better fundamental understanding of anomalous deposition and to identify ways to modify its influence. Specifically, the effects of tin additions on the composition, structure and surface morphology of Zn-Ni alloy deposits from electrolyte containing 80 g l{sup -1} Zn and 10 g l{sup -1} Ni were studied. Previous work had shown that low concentrations (parts per million) of cations such as antimony and arsenic were very effective in countering the anomalous deposition and increasing the relative nickel content of the deposits. Unfortunately, the morphology and current efficiency were adversely affected by use of these additives. It was found that the addition of tin also appreciably increased the nickel content of the alloy deposit, as well as giving smooth, dense deposits with a current efficiency of about 90%. The surface morphology of the deposits was correlated with the amount of tin added. The limited electrochemical impedance spectroscopy tests conducted showed that the low concentrations of tin did lower the charge transfer resistance of the reaction. Overall, the results were promising but considerably more research is needed to elucidate the basic factors that influence zinc alloy electrocrystallization mechanisms. (orig.) 27 refs.

  1. The Optimum Fabrication Condition of p-Type Antimony Tin Oxide Thin Films Prepared by DC Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Huu Phuc Dang

    2016-01-01

    Full Text Available Transparent Sb-doped tin oxide (ATO thin films were fabricated on quartz glass substrates via a mixed (SnO2 + Sb2O3 ceramic target using direct current (DC magnetron sputtering in ambient Ar gas at a working pressure of 2 × 10−3 torr. X-ray diffraction (XRD, X-ray photoelectron spectroscopy (XPS, Hall-effect, and UV-vis spectra measurements were performed to characterize the deposited films. The substrate temperature of the films was investigated in two ways: (1 films were annealed in Ar ambient gas after being deposited at room temperature or (2 they were deposited directly at different temperatures. The first process for fabricating the ATO films was found to be easier than the second process. The deposited films showed p-type electrical properties, a polycrystalline tetragonal rutile structure, and their average transmittance was greater than 80% in the visible light range at the optimum annealing temperature of 500°C. The best electrical properties of the film were obtained on a 10 wt% Sb2O3-doped SnO2 target with a resistivity, hole concentration, and Hall mobility of 0.55 Ω·cm, 1.2 × 1019 cm−3, and 0.54 cm2V−1s−1, respectively.

  2. Investigation of the Optoelectronic Properties of Ti-doped Indium Tin Oxide Thin Film

    Directory of Open Access Journals (Sweden)

    Nen-Wen Pu

    2015-09-01

    Full Text Available : In this study, direct-current magnetron sputtering was used to fabricate Ti-doped indium tin oxide (ITO thin films. The sputtering power during the 350-nm-thick thin-film production process was fixed at 100 W with substrate temperatures increasing from room temperature to 500 °C. The Ti-doped ITO thin films exhibited superior thin-film resistivity (1.5 × 10−4 Ω/cm, carrier concentration (4.1 × 1021 cm−3, carrier mobility (10 cm2/Vs, and mean visible-light transmittance (90% at wavelengths of 400–800 nm at a deposition temperature of 400 °C. The superior carrier concentration of the Ti-doped ITO alloys (>1021 cm−3 with a high figure of merit (81.1 × 10−3 Ω−1 demonstrate the pronounced contribution of Ti doping, indicating their high suitability for application in optoelectronic devices.

  3. PREPARING OF THE CHAMELEON COATING BY THE ION JET DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Jakub Skocdopole

    2017-07-01

    Full Text Available Preparation of chameleon coatings using an Ionized Jet Deposition (IJD technique is reported in the present paper. IJD is a new flexible method for thin film deposition developed by Noivion, Srl. The chameleon coatings are thin films characterised by a distinct change of their tribological properties according to the external conditions. The deposited films of SiC and TiN materials were examined by the Raman spectroscopy, SEM and XPS. The results of the Raman spectroscopy have proved an amorphous structure of SiC films. The data from XPS on TiN films have shown that the films are heavily oxidized, but also prove that the films are composed of TiN and pure Ti. The SEM provided information about the size of grains and particles constituting the deposited films, which is important for tribological properties of the films. Deposition of the chameleon coating is very complex problem and IJD could be ideal method for preparation of this coating.

  4. A direct method to measure the fracture toughness of indium tin oxide thin films on flexible polymer substrates

    International Nuclear Information System (INIS)

    Chang, Rwei-Ching; Tsai, Fa-Ta; Tu, Chin-Hsiang

    2013-01-01

    This work presents a straightforward method to measure the fracture toughness of thin films deposited on flexible substrates. A 200 nm thick indium tin oxide (ITO) thin film is deposited on a 188 μm thick terephthalate (PET) substrate by a radio frequency magnetron sputtering machine. Using nanoindentation to induce brittle fracture on the ITO thin films, the energy release is calculated from integrating the resulting load–depth curve. An approach that directly measures the fracture toughness of thin films deposited on flexible substrates is proposed. A comparison shows that the results of the proposed method agree well with those of other reports. Furthermore, in order to improve the toughness of the ITO thin films, a copper interlayer is added between the ITO thin film and PET substrate. It shows that the fracture toughness of the ITO thin film deposited on the copper interlayer is higher than that of the one without the interlayer, which agrees well with the critical load tested by micro scratch. Further observations on optical and electric performances are also discussed in this work. - Highlights: • A straightforward method to measure the film's toughness • Directly using the load-depth curve of nanoindentation • The toughness is consistent with the critical load tested by micro scratch. • Interlayers can improve the film's toughness. • Optical and electric performances are also discussed

  5. Cobalt Xanthate Thin Film with Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    İ. A. Kariper

    2013-01-01

    Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.

  6. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    Science.gov (United States)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  7. Low-cost fabrication of WO{sub 3} films using a room temperature and low-vacuum air-spray based deposition system for inorganic electrochromic device applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sung-Ik [Department of Mechanical and Aerospace Engineering, Seoul National University, Seoul (Korea, Republic of); Kim, Sooyeun, E-mail: sooyeunk@u.washington.edu [Department of Mechanical Engineering, University of Washington, Seattle, WA (United States); Choi, Jung-Oh; Song, Ji-Hyeon [Department of Mechanical and Aerospace Engineering, Seoul National University, Seoul (Korea, Republic of); Taya, Minoru [Department of Mechanical Engineering, University of Washington, Seattle, WA (United States); Ahn, Sung-Hoon, E-mail: ahnsh@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, Seoul (Korea, Republic of); Institute of Advanced Machines and Design, Seoul (Korea, Republic of)

    2015-08-31

    We report the deposition of tungsten oxide (WO{sub 3}) thin films on fluorine-doped tin oxide (FTO) and indium-doped tin oxide (ITO) glass substrates by using a room-temperature deposition system based on low-vacuum air-spray for the fabrication of inorganic electrochromic windows. The structure of the WO{sub 3} films was characterized using X-ray diffraction, and the surface morphology and film thickness were investigated using scanning electron microscopy and atomic force microscopy. The color of the prepared WO{sub 3} films changed from slight yellow to dark blue under applied voltages, demonstrating electrochromism. The WO{sub 3} film coated FTO glass exhibited a large electrochromic contrast of up to 50% at a wavelength of 800 nm. The electrochemical properties of the films were examined using cyclic voltammetry and chronocoulometry. - Highlights: • WO{sub 3} thin films were fabricated using an air-spray based deposition system at room temperature under low-vacuum conditions. • Dry WO{sub 3} particles were directly deposited on FTO and ITO glasses by using a low-cost deposition system. • The FTO glass based WO{sub 3} film showed the optical contrast of 50% at a wavelength of 800 nm.

  8. Effects of Post- Heat Treatment of Nanocrystalline ZnO Thin Films deposited on Zn-Deposited FTO Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ikhyun; Kim, Younggyu; Nam, Giwoong; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of)

    2015-10-15

    The effects of heat-treatment temperature on the structural and optical properties of ZnO thin films were investigated with field-effect scanning electron microscopy (SEM), X-ray diffraction analysis, and photoluminescence (PL) measurements. The ZnO thin films were grown on Zn-deposited fluorine-doped tin oxide substrates by sol-gel spin coating. The SEM images of the samples showed that their surfaces had a mountain-chain-like structure. The film annealed at 400 ℃ had the highest degree of alignment along the c-axis, and its residual stress was close to zero. The PL spectra of the ZnO thin films consisted of sharp near-band-edge emissions (NBE) and broad deep-level emissions (DLE) in the visible range. The DLE peaks exhibited a green-to-red shift with an increase in the temperature. The highest INBE/IDLE ratio was observed in the film annealed at 400 ℃. Thus, the optimal temperature for growing high-quality ZnO thin films on Zn-deposited FTO substrates is 400 ℃.

  9. Grain-size effect on the electrical properties of nanocrystalline indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jong Hoon [Korea Research Institute of Standards and Science, 267 Gajeong-Ro, Yuseong-Gu, Daejeon 305-340 (Korea, Republic of); Kim, Young Heon, E-mail: young.h.kim@kriss.re.kr [Korea Research Institute of Standards and Science, 267 Gajeong-Ro, Yuseong-Gu, Daejeon 305-340 (Korea, Republic of); University of Science & Technology, 217 Gajeong-Ro, Yuseong-Gu, Daejeon 305-350 (Korea, Republic of); Ahn, Sang Jung [Korea Research Institute of Standards and Science, 267 Gajeong-Ro, Yuseong-Gu, Daejeon 305-340 (Korea, Republic of); University of Science & Technology, 217 Gajeong-Ro, Yuseong-Gu, Daejeon 305-350 (Korea, Republic of); Ha, Tae Hwan [University of Science & Technology, 217 Gajeong-Ro, Yuseong-Gu, Daejeon 305-350 (Korea, Republic of); Future Biotechnology Research Division, Korea Research Institute of Bioscience and Biotechnology (KRIBB), 125 Gwahak-ro, Yuseong-Gu, Daejeon 305-806 (Korea, Republic of); Kim, Hong Seung [Department of Nano Semiconductor Engineering, Korea Maritime and Ocean University, 727 Taejong-Ro, Busan 606-791 (Korea, Republic of)

    2015-09-15

    Highlights: • Nanometer-sized small grains were observed in the ITO thin films. • The grain size increased as the post-thermal annealing temperature increased. • The mobility of ITO thin films increased with increasing grain size. • The ITO film annealed at 300 °C was an amorphous phase, while the others were polycrystalline structure. - Abstract: In this paper, we demonstrate the electrical properties, depending on grain size, of nanocrystalline indium tin oxide (ITO) thin films prepared with a solution process. The size distributions of nanometer-sized ITO film grains increased as the post-annealing temperature increased after deposition; the grain sizes were comparable with the calculated electron mean free path. The mobility of ITO thin films increased with increasing grain size; this phenomenon was explained by adopting the charge-trapping model for grain boundary scattering. These findings suggest that it is possible to improve mobility by reducing the number of trapping sites at the grain boundary.

  10. Gas Sensing of Fluorine Doped Tin Oxide Thin Films Prepared by Spray Pyrolysis

    Directory of Open Access Journals (Sweden)

    A. A. YADAV

    2008-05-01

    Full Text Available Fluorine doped tin oxide (F: SnO2 films have been prepared onto the amorphous glass substrates by a spray pyrolysis. XRD studies reveal that the material deposited is polycrystalline SnO2 and have tetragonal structure. It is observed that films are highly orientated along (200 direction. The direct optical band gap energy for the F: SnO2 films are found to be 4.15 eV. Gas sensing properties of the sensor were checked against combustible gases like H2, CO2 CO, C3H8, CH4.The H2 sensitivity of the F-doped SnO2 sensor was found to be increased. The increase in the sensitivity is discussed in terms of increased resistivity and reduced permeation of gaseous oxygen into the underlying sensing layer due to the surface modification of the sensor.

  11. The electronic structure of co-sputtered zinc indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Carreras, Paz; Antony, Aldrin; Bertomeu, Joan [Departament de Fisica Aplicada i Optica, Universitat de Barcelona, 08028 Barcelona (Spain); Gutmann, Sebastian [Department of Chemistry, University of South Florida, Tampa, Florida 33620 (United States); Schlaf, Rudy [Department of Electrical Engineering, University of South Florida, Tampa, Florida 33620 (United States)

    2011-10-01

    Zinc indium tin oxide (ZITO) transparent conductive oxide layers were deposited via radio frequency (RF) magnetron co-sputtering at room temperature. A series of samples with gradually varying zinc content was investigated. The samples were characterized with x-ray and ultraviolet photoemission spectroscopy (XPS, UPS) to determine the electronic structure of the surface. Valence and conduction bands maxima (VBM, CBM), and work function were determined. The experiments indicate that increasing Zn content results in films with a higher defect rate at the surface leading to the formation of a degenerately doped surface layer if the Zn content surpasses {approx}50%. Furthermore, the experiments demonstrate that ZITO is susceptible to ultraviolet light induced work function reduction, similar to what was earlier observed on ITO and TiO{sub 2} films.

  12. Tin

    Science.gov (United States)

    Kamilli, Robert J.; Kimball, Bryn E.; Carlin, James F.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Tin (Sn) is one of the first metals to be used by humans. Almost without exception, tin is used as an alloy. Because of its hardening effect on copper, tin was used in bronze implements as early as 3500 B.C. The major uses of tin today are for cans and containers, construction materials, transportation materials, and solder. The predominant ore mineral of tin, by far, is cassiterite (SnO2).In 2015, the world’s total estimated mine production of tin was 289,000 metric tons of contained tin. Total world reserves at the end of 2016 were estimated to be 4,700,000 metric tons. China held about 24 percent of the world’s tin reserves and accounted for 38 percent of the world’s 2015 production of tin.The proportion of scrap used in tin production is between 10 and 25 percent. Unlike many metals, tin recycling is relatively efficient, and the fraction of tin in discarded products that get recycled is greater than 50 percent.Only about 20 percent of the world’s identified tin resources occur as primary hydrothermal hard-rock veins, or lodes. These lodes contain predominantly high-temperature minerals and almost invariably occur in close association with silicic, peraluminous granites. About 80 percent of the world’s identified tin resources occur as unconsolidated secondary or placer deposits in riverbeds and valleys or on the sea floor. The largest concentration of both onshore and offshore placers is in the extensive tin belt of Southeast Asia, which stretches from China in the north, through Thailand, Burma (also referred to as Myanmar), and Malaysia, to the islands of Indonesia in the south. Furthermore, tin placers are almost always found closely allied to the granites from which they originate. Other countries with significant tin resources are Australia, Bolivia, and Brazil.Most hydrothermal tin deposits belong to what can be thought of as a superclass of porphyry-greisen deposits. The hydrothermal tin deposits are all characterized by a close spatial

  13. Indium tin oxide films prepared by atmospheric plasma annealing and their semiconductor-metal conductivity transition around room temperature

    International Nuclear Information System (INIS)

    Li Yali; Li Chunyang; He Deyan; Li Junshuai

    2009-01-01

    We report the synthesis of indium tin oxide (ITO) films using the atmospheric plasma annealing (APA) technique combined with the spin-coating method. The ITO film with a low resistivity of ∼4.6 x 10 -4 Ω cm and a high visible light transmittance, above 85%, was achieved. Hall measurement indicates that compared with the optimized ITO films deposited by magnetron sputtering, the above-mentioned ITO film has a higher carrier concentration of ∼1.21 x 10 21 cm -3 and a lower mobility of ∼11.4 cm 2 V -1 s -1 . More interestingly, these electrical characteristics result in the semiconductor-metal conductivity transition around room temperature for the ITO films prepared by APA.

  14. Boron doped nanostructure ZnO films deposited by ultrasonic spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Karakaya, Seniye, E-mail: seniyek@ogu.edu.tr; Ozbas, Omer

    2015-02-15

    Highlights: • Nanostructure undoped and boron doped ZnO films were deposited by USP technique. • Influences of doping on the surface and optical properties of the ZnO films were investigated. • XRD spectra of the films exhibited a variation in crystalline quality depending on the B content. - Abstract: ZnO is an II–VI compound semiconductor with a wide direct band gap of 3.3 eV at room temperature. Doped with group III elements (B, Al or Ga), it becomes an attractive candidate to replace tin oxide (SnO{sub 2}) or indium tin oxide (ITO) as transparent conducting electrodes in solar cell devices and flat panel display due to competitive electrical and optical properties. In this work, ZnO and boron doped ZnO (ZnO:B) films have been deposited onto glass substrates at 350 ± 5 °C by a cost-efficient ultrasonic spray pyrolysis technique. The optical, structural, morphological and electrical properties of nanostructure undoped and ZnO:B films have been investigated. Electrical resistivity of films has been analyzed by four-probe technique. Optical properties and thicknesses of the films have been examined in the wavelength range 1200–1600 nm by using spectroscopic ellipsometry (SE) measurements. The optical constants (refractive index (n) and extinction coefficient (k)) and the thicknesses of the films have been fitted according to Cauchy model. The optical method has been used to determine the band gap value of the films. Transmission spectra have been taken by UV spectrophotometer. It is found that both ZnO and ZnO:B films have high average optical transmission (≥80%). X-ray diffraction (XRD) patterns indicate that the obtained ZnO has a hexagonal wurtzite type structure. The morphological properties of the films were studied by atomic force microscopy (AFM). The surface morphology of the nanostructure films is found to depend on the concentration of B. As a result, ZnO:B films are promising contender for their potential use as transparent window layer and

  15. Evaluating the Properties of High-Temperature and Low-Temperature Wear of TiN Coatings Deposited at Different Temperatures

    Directory of Open Access Journals (Sweden)

    B. Khorrami Mokhori

    2017-02-01

    Full Text Available In this research titanium nitride (TiN films were prepared by plasma assisted chemical vapor deposition using TiCl4, H2, N2 and Ar on the AISI H13 tool steel. Coatings were deposited during different substrate temperatures (460°C, 480 ° C  and 510 °C. Wear tests were performed in order to study the acting wear mechanisms in the high(400 °C and low (25 °C temperatures by ball on disc method. Coating structure and chemical composition were characterized using scanning electron microscopy, microhardness and X-ray diffraction. Wear test result was described in ambient temprature according to wear rate. It was evidenced that the TiN coating deposited at 460 °C has the least weight loss with the highest hardness value. The best wear resistance was related to the coating with the highest hardness (1800 Vickers. Wear mechanisms were observed to change by changing wear temperatures. The result of wear track indicated that low-temprature wear has surface fatigue but high-temperature wear showed adhesive mechanism.

  16. Characterization of ZnO film grown on polycarbonate by atomic layer deposition at low temperature

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Gyeong Beom; Han, Gwon Deok; Shim, Joon Hyung; Choi, Byoung-Ho, E-mail: bhchoi@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-707 (Korea, Republic of)

    2015-01-15

    ZnO is an attractive material for use in various technological products such as phosphors, gas sensors, and transparent conductors. Recently, aluminum-doped zinc oxide has received attention as a potential replacement for indium tin oxide, which is one of the transparent conductive oxides used in flat panel displays, organic light-emitting diodes, and organic solar cells. In this study, the characteristics of ZnO films deposited on polycarbonate (PC) substrates by atomic layer deposition (ALD) are investigated for various process temperatures. The growth mechanism of these films was investigated at low process temperatures using x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS). XRD and XPS were used to determine the preferred orientation and chemical composition of the films, respectively. Furthermore, the difference of the deposition mechanisms on an amorphous organic material, i.e., PC substrate and an inorganic material such as silicon was discussed from the viewpoint of the diffusion and deposition of precursors. The structure of the films was also investigated by chemical analysis in order to determine the effect of growth temperature on the films deposited by ALD.

  17. The Effect of Deposition Rate on Electrical, Optical and Structural Properties of ITO Thin Films

    Directory of Open Access Journals (Sweden)

    P. S. Raghupathi

    2005-01-01

    Full Text Available Indium tin oxide (ITO thin films have been prepared using the reactive evaporation technique on glass substrates in an oxygen atmosphere. It is found that the deposition rate plays prominent role in controlling the electrical and optical properties of the ITO thin films. Resistivity, electrical conductivity, activation energy, optical transmission and band gap energy were investigated. A transmittance value of more than 90% in the visible region of the spectrum and an electrical conductivity of 3x10–6 Ωm has been obtained with a deposition rate of 2 nm/min. XRD studies showed that the films are polycrystalline.

  18. CTS and CZTS for solar cells made by pulsed laser deposition and pulsed electron deposition

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt

    This thesis concerns the deposition of thin films for solar cells using pulsed laser deposition (PLD) and pulsed electron deposition (PED). The aim was to deposit copper tin sulfide (CTS) and zinc sulfide (ZnS) by pulsed laser deposition to learn about these materials in relation to copper zinc tin...... time. We compared the results of CZTS deposition by PLD at DTU in Denmark to CZTS made by PED at IMEM-CNR, where CIGS solar cells have successfully been fabricated at very low processing temperatures. The main results of this work were as follows: Monoclinic-phase CTS films were made by pulsed laser...... deposition followed by high temperature annealing. The films were used to understand the double band gap that we and other groups observed in the material. The Cu-content of the CTS films varied depending on the laser fluence (the laser energy per pulse and per area). The material transfer from...

  19. Effect of plasma molybdenized buffer layer on adhesive properties of TiN film coated on Ti6Al4V alloy

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Lin, E-mail: qinlin@tyut.edu.cn; Yi, Hong; Kong, Fanyou; Ma, Hua; Guo, Lili; Tian, Linhai; Tang, Bin

    2017-05-01

    Highlights: • A molybdenized layer was prepared as a buffer layer under TiN film on Ti6Al4V. • The molybdenized layer can enhance adhesion strength of PVD coatings effectively. • The duplex treated samples increase elastic energy ratio in the impact tests. • The enhancement attributes to the hardness improvement and inverted-S shape elastic modulus profile of the modified layer. - Abstract: Effect of molybdenized buffer layer on adhesion strength of TiN film on Ti6Al4V alloy was investigated. The buffer layer composed of a dense molybdenum deposition layer, a rapid drop zone and a slow fall zone was prepared using double glow plasma surface alloying technique. Scratch tests and low energy repeated impact tests were adopted to comparatively evaluate the duplex treated layers and the single TiN samples. The results show that the critical load was increased from 62 N for the single TiN film to over 100 N for the duplex treated layer. The volume of impact pit, formed in impact tests, of the single TiN samples is 9.15 × 10{sup 6} μm{sup 3}, and about 1.5 times than that of the duplex treated samples. The Leeb hardness values reveal that about 70% impact energy was transferred to the single TiN samples to generate permanent deformation, while that was only about 47% for the duplex treated samples. The mechanism of improving adhesion strength is attributed to synergistic effect due to an inverted-S shape elastic modulus distribution produced by the molybdenized layer.

  20. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  1. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  2. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  3. MAPLE deposition and characterization of SnO2 colloidal nanoparticle thin films

    International Nuclear Information System (INIS)

    Caricato, A P; Martino, M; Romano, F; Tunno, T; Valerini, D; Epifani, M; Rella, R; Taurino, A

    2009-01-01

    In this paper we report on the deposition and characterization of tin oxide (SnO 2 ) nanoparticle thin films. The films were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. SnO 2 colloidal nanoparticles with a trioctylphosphine capping layer were diluted in toluene with a concentration of 0.2 wt% and frozen at liquid nitrogen temperature. The frozen target was irradiated with a KrF (248 nm, τ = 20 ns) excimer laser (6000 pulses at 10 Hz). The nanoparticles were deposited on silica (SiO 2 ) and (1 0 0) Si substrates and submitted to morphological (high resolution scanning electron microscopy (SEM)), structural Fourier transform infrared spectroscopy (FTIR) and optical (UV-Vis transmission) characterizations. SEM and FTIR analyses showed that trioctylphosphine was the main component in the as-deposited films. The trioctylphosphine was removed after an annealing in vacuum at 400 0 C, thus allowing to get uniform SnO 2 nanoparticle films in which the starting nanoparticle dimensions were preserved. The energy gap value, determined by optical characterizations, was 4.2 eV, higher than the bulk SnO 2 energy gap (3.6 eV), due to quantum confinement effects.

  4. F-doped SnO2 thin films grown on flexible substrates at low temperatures by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, H.; Auyeung, R.C.Y.; Pique, A.

    2011-01-01

    Fluorine-doped tin oxide (SnO 2 :F) films were deposited on polyethersulfone plastic substrates by pulsed laser deposition. The electrical and optical properties of the SnO 2 :F films were investigated as a function of deposition conditions such as substrate temperature and oxygen partial pressure during deposition. High quality SnO 2 :F films were achieved under an optimum oxygen pressure range (7.4-8 Pa) at relatively low growth temperatures (25-150 deg. C). As-deposited films exhibited low electrical resistivities of 1-7 mΩ-cm, high optical transmittance of 80-90% in the visible range, and optical band-gap energies of 3.87-3.96 eV. Atomic force microscopy measurements revealed a reduced root mean square surface roughness of the SnO 2 :F films compared to that of the bare substrates indicating planarization of the underlying substrate.

  5. The influence of annealing in nitrogen atmosphere on the electrical, optical and structural properties of spray- deposited ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ikhmayies, S.J. [Applied Science Private Univ., Amman (Jordan). Dept. of Physics; Abu El-Haija, N.M.; Ahmad-Bitar, R.N. [Jordan Univ., Amman (Jordan). Dept. of Physics

    2009-07-01

    Thin-film zinc oxide (ZnO) has many applications in solar cell technology and is considered to be a candidate for the substitution of indium tin oxide and tin oxide. ZnO thin films can be prepared by thermal evaporation, rf-sputtering, atomic layer deposition, chemical vapor deposition, sol-gel, laser ablation and spray pyrolysis technique. Spray pyrolysis has received much attention because of its simplicity and low cost. In this study, large area and highly uniform polycrystalline ZnO thin films were produced by spray pyrolysis using a home-made spraying system on glass substrates at 450 degrees C. The electrical, optical and structural properties of the ZnO films were enhanced by annealing the thin films in nitrogen atmosphere. X-ray diffraction revealed that the films are polycrystalline with a hexagonal wurtzite structure. The preferential orientation did not change with annealing, but XRD patterns revealed that some very weak lines had grown. There was no noticeable increase in the grain size. The transmittance of the films increased as a result of annealing. It was concluded that post-deposition annealing is essential to improve the quality of the ZnO thin films. The electrical properties improved due to a decrease in resistivity. 13 refs., 5 figs.

  6. Preparation of transparent conductive indium tin oxide thin films from nanocrystalline indium tin hydroxide by dip-coating method

    International Nuclear Information System (INIS)

    Koroesi, Laszlo; Papp, Szilvia; Dekany, Imre

    2011-01-01

    Indium tin oxide (ITO) thin films with well-controlled layer thickness were produced by dip-coating method. The ITO was synthesized by a sol-gel technique involving the use of aqueous InCl 3 , SnCl 4 and NH 3 solutions. To obtain stable sols for thin film preparation, as-prepared Sn-doped indium hydroxide was dialyzed, aged, and dispersed in ethanol. Polyvinylpyrrolidone (PVP) was applied to enhance the stability of the resulting ethanolic sols. The transparent, conductive ITO films on glass substrates were characterized by X-ray diffraction, scanning electron microscopy and UV-Vis spectroscopy. The ITO layer thickness increased linearly during the dipping cycles, which permits excellent controllability of the film thickness in the range ∼ 40-1160 nm. After calcination at 550 o C, the initial indium tin hydroxide films were transformed completely to nanocrystalline ITO with cubic and rhombohedral structure. The effects of PVP on the optical, morphological and electrical properties of ITO are discussed.

  7. Synthesis and characterization of electrochemically deposited nanocrystalline CdTe thin films

    Energy Technology Data Exchange (ETDEWEB)

    Singh, Ragini Raj, E-mail: raginirajsingh@gmail.com [Department of Physics, Bhopal University, Bhopal-462026 (India); Department of Physical Electronics, Iby and Aladar Fleishman Faculty of Engineering, Tel-Aviv University, Tel-Aviv-69978 (Israel); Painuly, Diksha [Centre for Nanoscience and Nanotechnology, University of Kerala, Thiruanantpuram, Kerala (India); Pandey, R.K. [Department of Physics, Bhopal University, Bhopal-462026 (India)

    2009-07-15

    Electrodeposition is emerging as a method for the synthesis of semiconductor thin films and nanostructures. In this work we prepared the nanocrystalline CdTe thin films on indium tin oxide coated glass substrate from aqueous acidic bath at the deposition temperature 50 {+-} 1 deg. C. The films were grown potentiostatically from -0.60 V to -0.82 V with respect to saturated calomel reference electrode. The structural, compositional, morphological and optical properties were investigated using X-ray diffraction (XRD), energy dispersive analysis by X-rays (EDAX), atomic force microscopy (AFM), and UV-vis spectroscopy respectively and cyclic voltammetery. The structural and optical studies revealed that films are nanocrystalline in nature and possess cubic phase, also the films are preferentially oriented along the cubic (1 1 1) plane. The effect of cadmium composition on the deposited morphology was also investigated. The size dependent blue shift in the experimentally determined absorption edge has been compared with the theoretical predictions based on the effective mass approximation and tight binding approximation. It is shown that the experimentally determined absorption edges depart from the theoretically calculated values.

  8. Cu and Cu2O films with semi-spherical particles grown by electrochemical deposition

    International Nuclear Information System (INIS)

    Zheng, Jin You; Jadhav, Abhijit P.; Song, Guang; Kim, Chang Woo; Kang, Young Soo

    2012-01-01

    Cu and Cu 2 O films can be prepared on indium-doped tin oxide glass substrates by simple electrodeposition in a solution containing 0.1 M Cu(NO 3 ) 2 and 3 M lactic acid at different pH values. At low pH (pH = 1.2), the uniform Cu films were obtained; when pH ≥ 7, the pure Cu 2 O films can be deposited. Especially, at pH = 11, the deposited Cu 2 O films exhibited cubic surface morphology exposing mainly {100} plane; in contrast, the films consisting of semi-spherical particles were obtained when the solution was being stirred for 2 weeks prior to use. The possible growth process and mechanism were comparatively discussed. - Highlights: ► Cu and Cu 2 O films were prepared by facile electrodeposition. ► Electrodeposition was preformed in electrolyte at different pH values. ► Dendritic Cu films were obtained at 1.2 pH with relatively high deposition potential. ► Semi-spherical Cu 2 O films were obtained with solution at 11 pH and stirred for 2 weeks. ► The possible growth mechanism of semi-spherical Cu 2 O films was discussed.

  9. Thin film formation at the air-water interface and on solid substrates of soluble axial substituted cis-bis-decanoate tin phthalocyanine

    Energy Technology Data Exchange (ETDEWEB)

    Campos-Teran, Jose, E-mail: jcampos@correo.cua.uam.mx [Departamento de Procesos y Tecnologia, DCNI, Universidad Autonoma Metropolitana-Cuajimalpa, Artificios 40-sexto piso, Col. Hidalgo, D. F., 001120 (Mexico); Garza, Cristina [Instituto de Fisica, Universidad Nacional Autonoma de Mexico, P. O. Box 20-364, D. F., 01000 (Mexico); Beltran, Hiram I. [Departamento de Ciencias Naturales, DCNI, Universidad Autonoma Metropolitana-Cuajimalpa, Artificios 40-sexto piso, Col. Hidalgo, D. F., 001120 (Mexico); Castillo, Rolando [Instituto de Fisica, Universidad Nacional Autonoma de Mexico, P. O. Box 20-364, D. F., 01000 (Mexico)

    2012-01-01

    Herein we study thin films of a recent kind of soluble axial substituted cis-bis-decanoate-tin{sup IV} phthalocyanine (PcSn10) at the air/water interface, which were compressed isothermally and observed with Brewster Angle Microscopy. The air/water interfacial behavior of the films suggests that there are strong interactions among the PcSn10 molecules, which produces multilayers and 3D self-assemblies that prevent the formation of a Langmuir monolayer. Langmuir-Blodgett deposits of these films on both mica (negatively charged) and mild steel (positively charged) surfaces were developed. Information about the morphology of the film was obtained by using atomic force microscopy. We found structural differences in the PcSn10 thin films deposited on both substrates, suggesting that a combination of {pi}-{pi}, {sigma}-{pi} and Van der Waals interactions are the leading factors for the deposition, and consequently, for the control of supramolecular order. Our findings provide insights in the design of phthalocyanine molecules for the development of highly ordered and reproducible thin films.

  10. Thin film formation at the air–water interface and on solid substrates of soluble axial substituted cis-bis-decanoate tin phthalocyanine

    International Nuclear Information System (INIS)

    Campos-Terán, José; Garza, Cristina; Beltrán, Hiram I.; Castillo, Rolando

    2012-01-01

    Herein we study thin films of a recent kind of soluble axial substituted cis-bis-decanoate-tin IV phthalocyanine (PcSn10) at the air/water interface, which were compressed isothermally and observed with Brewster Angle Microscopy. The air/water interfacial behavior of the films suggests that there are strong interactions among the PcSn10 molecules, which produces multilayers and 3D self-assemblies that prevent the formation of a Langmuir monolayer. Langmuir–Blodgett deposits of these films on both mica (negatively charged) and mild steel (positively charged) surfaces were developed. Information about the morphology of the film was obtained by using atomic force microscopy. We found structural differences in the PcSn10 thin films deposited on both substrates, suggesting that a combination of π–π, σ–π and Van der Waals interactions are the leading factors for the deposition, and consequently, for the control of supramolecular order. Our findings provide insights in the design of phthalocyanine molecules for the development of highly ordered and reproducible thin films.

  11. Effect of passive film on electrochemical surface treatment for indium tin oxide

    International Nuclear Information System (INIS)

    Wu, Yung-Fu; Chen, Chi-Hao

    2013-01-01

    Highlights: ► Oxalic, tartaric, and citric acid baths accompanying with applied voltages were used to treat the ITO surface. ► We investigated the changes in ITO surfaces by examining the potentiodynamic behavior of ITO films. ► AFM analysis showed the formation of a passive layer could assist to planarize surface. ► XPS analysis indicated this passive layer was mainly composed of SnO 2. ► A better planarization was obtained by treating in 3.0 wt.% tartaric acid at 0.5 V due to weak complexation strength. - Abstract: Changes in indium tin oxide (ITO) film surface during electrochemical treatment in oxalic acid, tartaric acid, and citric acid were investigated. Controlling the voltage applied on ITO film allows the formation of a passive layer, effectively protecting the film surface. X-ray photoelectron spectrometry showed that the passive layer composition was predominantly SnO 2 in tartaric acid, while a composite of tin oxide and tin carboxylate in citric or oxalic acid. Even though the passive films on ITO surface generated in these organic acids, the indium or tin could complex with the organic acid anions, enhancing the dissolution of ITO films. The experimental results show that the interaction between the dissolution and passivation could assist to planarize the ITO surface. We found that the optimal treatment at 0.5 V in 3 wt.% tartaric acid could provide the ITO surface with root-mean-squared roughness less than 1.0 nm, due to the weak complexing characteristics of tartaric acid.

  12. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    International Nuclear Information System (INIS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C.N.; Mihailescu, I.N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A.C.; Luculescu, C.R.; Craciun, V.

    2012-01-01

    Highlights: ► TCO thin films were grown by PLD on PET substrate at low temperature. ► We found that the quality of TCO on PET substrate depends on the target–substrate distance. ► TCO with high transparency (>95%) and reduced electrical resistivity (∼5 × 10 −4 Ω cm) were obtained. ► Optimized TCO films deposited on PET were free of any cracks. - Abstract: The influence of target–substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10 −4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  13. Atomic layer deposition of W{sub x}N/TiN and WN{sub x}C{sub y}/TiN nanolaminates

    Energy Technology Data Exchange (ETDEWEB)

    Elers, K.-E.; Saanila, V.; Li, W.-M.; Soininen, P.J.; Kostamo, J.T.; Haukka, S.; Juhanoja, J.; Besling, W.F.A

    2003-06-23

    Diffusion barrier materials, such as TiN, W{sub x}N, WN{sub x}C{sub y} and their nanolaminates were deposited by atomic layer deposition method. TiN film exhibited excellent properties, but W{sub x}N film exhibited high resistivity despite the low residue concentration. Both TiN and W{sub x}N films suffered from serious incompatibility with the copper metal. WN{sub x}C{sub y} film was deposited by introducing triethylboron as a reducing agent for tungsten. Excellent film properties were obtained, including very good compatibility with the copper metal, evident as strong adhesion and no pitting on the copper surface. Nanolaminate barrier stacks of W{sub x}N/TiN and WN{sub x}C{sub y}/TiN were successfully deposited. TiN deposition did not cause copper pitting when thin WN{sub x}C{sub y} film was deposited underneath.

  14. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    Science.gov (United States)

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  15. Optical and Electrical Properties of Tin-Doped Cadmium Oxide Films Prepared by Electron Beam Technique

    Science.gov (United States)

    Ali, H. M.; Mohamed, H. A.; Wakkad, M. M.; Hasaneen, M. F.

    2009-04-01

    Tin-doped cadmium oxide films were deposited by electron beam evaporation technique. The structural, optical and electrical properties of the films were characterized. The X-ray diffraction (XRD) study reveals that the films are polycrystalline in nature. As composition and structure change due to the dopant ratio and annealing temperature, the carrier concentration was varied around 1020 cm-3, and the mobility increased from less than 10 to 45 cm2 V-1 s-1. A transmittance value of ˜83% and a resistivity value of 4.4 ×10-4 Ω cm were achieved for (CdO)0.88(SnO2)0.12 film annealed at 350 °C for 15 min., whereas the maximum value of transmittance ˜93% and a resistivity value of 2.4 ×10-3 Ω cm were obtained at 350 °C for 30 min. The films exhibited direct band-to-band transitions, which corresponded to optical band gaps of 3.1-3.3 eV.

  16. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom)

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films.

  17. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films

  18. Electrical properties of indium-tin oxide films deposited on nonheated substrates using a planar-magnetron sputtering system and a facing-targets sputtering system

    International Nuclear Information System (INIS)

    Iwase, Hideo; Hoshi, Youichi; Kameyama, Makoto

    2006-01-01

    Distribution of the electrical properties of indium-tin oxide (ITO) film prepared by both a planar-magnetron sputtering system (PMSS) and a facing-targets sputtering system (FTSS) at room temperature were investigated. It was found that the outstanding non-uniformities of the electrical properties in noncrystalline ITO films are mainly due to the variation of the oxygen stoichiometry dependent on film positions on substrate surfaces. Furthermore, ITO film with uniform distribution of electrical properties was obtainable using FTSS

  19. Self-consistent modelling of X-ray photoelectron spectra from air-exposed polycrystalline TiN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Greczynski, G., E-mail: grzgr@ifm.liu.se; Hultman, L.

    2016-11-30

    Highlights: • We present first self-consistent model of TiN core level spectra with a cross-peak qualitative and quantitative agreement. • Model is tested for a series of TiN thin films oxidized to different extent by varying the venting temperature. • Conventional deconvolution process relies on reference binding energies that typically show large spread introducing ambiguity. • By imposing requirement of quantitative cross-peak self-consistency reliability of extracted chemical information is enhanced. • We propose that the cross-peak self-consistency should be a prerequisite for reliable XPS peak modelling. - Abstract: We present first self-consistent modelling of x-ray photoelectron spectroscopy (XPS) Ti 2p, N 1s, O 1s, and C 1s core level spectra with a cross-peak quantitative agreement for a series of TiN thin films grown by dc magnetron sputtering and oxidized to different extent by varying the venting temperature T{sub v} of the vacuum chamber before removing the deposited samples. So-obtained film series constitute a model case for XPS application studies, where certain degree of atmosphere exposure during sample transfer to the XPS instrument is unavoidable. The challenge is to extract information about surface chemistry without invoking destructive pre-cleaning with noble gas ions. All TiN surfaces are thus analyzed in the as-received state by XPS using monochromatic Al Kα radiation (hν = 1486.6 eV). Details of line shapes and relative peak areas obtained from deconvolution of the reference Ti 2p and N 1 s spectra representative of a native TiN surface serve as an input to model complex core level signals from air-exposed surfaces, where contributions from oxides and oxynitrides make the task very challenging considering the influence of the whole deposition process at hand. The essential part of the presented approach is that the deconvolution process is not only guided by the comparison to the reference binding energy values that often show

  20. Influence of complexing agent (Na2EDTA on chemical bath deposited Cu4SnS4 thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-08-01

    Full Text Available The quality of thin film is influenced by the presence of complexing agents such as Na2EDTA. The Cu4SnS4 thin films were deposited onto indium tin oxide glass substrate by chemical bath deposition method. The structural, morphological and optical properties of the deposited films have been studied using X-ray diffraction, atomic force microscopy and UV-Vis spectrophotometer, respectively. The XRD data showed that the films have a polycrystalline and orthorhombic structure. It also indicated that the most intense peak at 2 θ = 30.2° which belongs to (221 plane of Cu4 SnS4. The film deposited with 0.05 M Na2 EDTA showed good uniformity, good surface coverage with bigger grains and produced higher absorbance value. The band gap energy varies with the variation of Na2EDTA concentration which ranging from 1.56-1.60 eV. Deposition at concentration of 0.05 M Na2EDTA proved to offer a reasonably good Cu4SnS4 thin film.

  1. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  2. New deposition processes for the growth of oxide and nitride thin films

    International Nuclear Information System (INIS)

    Apen, E.A.; Atagi, L.M.; Barbero, R.S.; Espinoza, B.F.; Hubbard, K.M.; Salazar, K.V.; Samuels, J.A.; Smith, D.C.; Hoffman, D.M.

    1998-01-01

    This is the final report of a three-year, Laboratory Directed Research and Development (LDRD) project at Los Alamos National Laboratory (LANL). The goal of this effort is to study the use of homoleptic metal amido compounds as precursors for chemical vapor deposition (CVD). The amides offer potential for the deposition of a variety of important materials at low temperatures. The establishment of these precursor compounds will enhance the ability to exploit the properties of advanced materials in numerous coatings applications. Experiments were performed to study the reactivity of Sn[NMe 2 ] 4 with oxygen. The data demonstrated that gas-phase insertion of oxygen into the Sn-N bond, leading to a reactive intermediate, plays an important role in tin oxide deposition. Several CVD processes for technologically important materials were developed using the amido precursor complexes. These included the plasma enhanced CVD of TiN and Zr 3 N 4 , and the thermal CVD of GaN and AlN. Quality films were obtained in each case, demonstrating the potential of the amido compounds as CVD precursors

  3. Limits of ZnO Electrodeposition in Mesoporous Tin Doped Indium Oxide Films in View of Application in Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Christian Dunkel

    2014-04-01

    Full Text Available Well-ordered 3D mesoporous indium tin oxide (ITO films obtained by a templated sol-gel route are discussed as conductive porous current collectors. This paper explores the use of such films modified by electrochemical deposition of zinc oxide (ZnO on the pore walls to improve the electron transport in dye-sensitized solar cells (DSSCs. Mesoporous ITO film were dip-coated with pore sizes of 20–25 nm and 40–45 nm employing novel poly(isobutylene-b-poly(ethylene oxide block copolymers as structure-directors. After electrochemical deposition of ZnO and sensitization with the indoline dye D149 the films were tested as photoanodes in DSSCs. Short ZnO deposition times led to strong back reaction of photogenerated electrons from non-covered ITO to the electrolyte. ITO films with larger pores enabled longer ZnO deposition times before pore blocking occurred, resulting in higher efficiencies, which could be further increased by using thicker ITO films consisting of five layers, but were still lower compared to nanoporous ZnO films electrodeposited on flat ITO. The major factors that currently limit the application are the still low thickness of the mesoporous ITO films, too small pore sizes and non-ideal geometries that do not allow obtaining full coverage of the ITO surface with ZnO before pore blocking occurs.

  4. Limits of ZnO Electrodeposition in Mesoporous Tin Doped Indium Oxide Films in View of Application in Dye-Sensitized Solar Cells

    Science.gov (United States)

    Dunkel, Christian; von Graberg, Till; Smarsly, Bernd M.; Oekermann, Torsten; Wark, Michael

    2014-01-01

    Well-ordered 3D mesoporous indium tin oxide (ITO) films obtained by a templated sol-gel route are discussed as conductive porous current collectors. This paper explores the use of such films modified by electrochemical deposition of zinc oxide (ZnO) on the pore walls to improve the electron transport in dye-sensitized solar cells (DSSCs). Mesoporous ITO film were dip-coated with pore sizes of 20–25 nm and 40–45 nm employing novel poly(isobutylene)-b-poly(ethylene oxide) block copolymers as structure-directors. After electrochemical deposition of ZnO and sensitization with the indoline dye D149 the films were tested as photoanodes in DSSCs. Short ZnO deposition times led to strong back reaction of photogenerated electrons from non-covered ITO to the electrolyte. ITO films with larger pores enabled longer ZnO deposition times before pore blocking occurred, resulting in higher efficiencies, which could be further increased by using thicker ITO films consisting of five layers, but were still lower compared to nanoporous ZnO films electrodeposited on flat ITO. The major factors that currently limit the application are the still low thickness of the mesoporous ITO films, too small pore sizes and non-ideal geometries that do not allow obtaining full coverage of the ITO surface with ZnO before pore blocking occurs. PMID:28788618

  5. Increased charge storage capacity of titanium nitride electrodes by deposition of boron-doped nanocrystalline diamond films

    DEFF Research Database (Denmark)

    Meijs, Suzan; McDonald, Matthew; Sørensen, Søren

    2015-01-01

    The aim of this study was to investigate the feasibility of depositing a thin layer of boron-doped nanocrystalline diamond (B-NCD) on titanium nitride (TiN) coated electrodes and the effect this has on charge injection properties. The charge storage capacity increased by applying the B-NCD film...

  6. Hybrid nanocomposite based on cellulose and tin oxide: growth, structure, tensile and electrical characteristics

    International Nuclear Information System (INIS)

    Mahadeva, Suresha K; Kim, Jaehwan

    2011-01-01

    A highly flexible nanocomposite was developed by coating a regenerated cellulose film with a thin layer of tin oxide (SnO 2 ) by liquid-phase deposition. Tin oxide was crystallized in solution and formed nanocrystal coatings on regenerated cellulose. The nanocrystalline layers did not exfoliate from cellulose. Transmission electron microscopy and energy dispersive x-ray spectroscopy suggest that SnO 2 was not only deposited over the cellulose surface, but also nucleated and grew inside the cellulose film. Current-voltage characteristics of the nanocomposite revealed that its electrical resistivity decreases with deposition time, with the lowest value obtained for 24 h of deposition. The cellulose-SnO 2 hybrid nanocomposite can be used for biodegradable and disposable chemical, humidity and biosensors.

  7. Effect of thickness on optoelectrical properties of Nb-doped indium tin oxide thin films deposited by RF magnetron sputtering

    Science.gov (United States)

    Li, Shi-na; Ma, Rui-xin; Ma, Chun-hong; Li, Dong-ran; Xiao, Yu-qin; He, Liang-wei; Zhu, Hong-min

    2013-05-01

    Niobium-doped indium tin oxide (ITO:Nb) thin films are prepared on glass substrates with various film thicknesses by radio frequency (RF) magnetron sputtering from one piece of ceramic target material. The effects of thickness (60-360 nm) on the structural, electrical and optical properties of ITO: Nb films are investigated by means of X-ray diffraction (XRD), ultraviolet (UV)-visible spectroscopy, and electrical measurements. XRD patterns show the highly oriented (400) direction. The lowest resistivity of the films without any heat treatment is 3.1×10-4Ω·cm-1, and the resistivity decreases with the increase of substrate temperature. The highest Hall mobility and carrier concentration are 17.6 N·S and 1.36×1021 cm-3, respectively. Band gap energy of the films depends on substrate temperature, which varies from 3.48 eV to 3.62 eV.

  8. Nanocrystalline CdTe thin films by electrochemical synthesis

    Directory of Open Access Journals (Sweden)

    Ramesh S. Kapadnis

    2013-03-01

    Full Text Available Cadmium telluride thin films were deposited onto different substrates as copper, Fluorine-doped tin oxide (FTO, Indium tin oxide (ITO, Aluminum and zinc at room temperature via electrochemical route. The morphology of the film shows the nanostructures on the deposited surface of the films and their growth in vertical direction. Different nanostructures developed on different substrates. The X-ray diffraction study reveals that the deposited films are nanocrystalline in nature. UV-Visible absorption spectrum shows the wide range of absorption in the visible region. Energy-dispersive spectroscopy confirms the formation of cadmium telluride.

  9. Crack density and electrical resistance in indium-tin-oxide/polymer thin films under cyclic loading

    KAUST Repository

    Mora Cordova, Angel

    2014-11-01

    Here, we propose a damage model that describes the degradation of the material properties of indium-tin-oxide (ITO) thin films deposited on polymer substrates under cyclic loading. We base this model on our earlier tensile test model and show that the new model is suitable for cyclic loading. After calibration with experimental data, we are able to capture the stress-strain behavior and changes in electrical resistance of ITO thin films. We are also able to predict the crack density using calibrations from our previous model. Finally, we demonstrate the capabilities of our model based on simulations using material properties reported in the literature. Our model is implemented in the commercially available finite element software ABAQUS using a user subroutine UMAT.[Figure not available: see fulltext.].

  10. Direct growth of Ge1-xSnx films on Si using a cold-wall ultra-high-vacuum chemical-vapor-deposition system

    Directory of Open Access Journals (Sweden)

    Aboozar eMosleh

    2015-04-01

    Full Text Available Germanium tin alloys were grown directly on Si substrate at low temperatures using a cold-wall ultra-high vacuum chemical vapor deposition system. Epitaxial growth was achieved by adopting commercial gas precursors of germane and stannic chloride without any carrier gases. The X-ray diffraction analysis showed the incorporation of Sn and that the Ge1-xSnx films are fully epitaxial and strain relaxed. Tin incorporation in the Ge matrix was found to vary from 1% to 7%. The scanning electron microscopy images and energy dispersive X-ray spectra maps show uniform Sn incorporation and continuous film growth. Investigation of deposition parameters shows that at high flow rates of stannic chloride the films were etched due to the production of HCl. The photoluminescence study shows the reduction of bandgap from 0.8 eV to 0.55 eV as a result of Sn incorporation.

  11. Combinatorial study of zinc tin oxide thin-film transistors

    Science.gov (United States)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  12. Modification of structure and properties of tin – fullerite films irradiated by boron ions

    International Nuclear Information System (INIS)

    Baran, L.V.

    2013-01-01

    By methods of raster electronic, atomic force and electronic force microscopy and X-ray diffraction the research of change of structure, phase composition and local electronic properties of the tin - fullerite films, subjected to implantation by B + ions (E = 80 keV, F = 5×10 17 ions/cm 2 ) are submitted. It is established, that as a result of boron ion implantation of two-layered tin - fullerite films, tin and fullerite interfusion on sues, that is the solid-phase interaction and as a result of which forms the heterophase with heterogeneous local electric properties. (authors)

  13. Fabrication of Cu–Zn–Sn–S–O Thin Films by the Electrochemical Deposition Method and Application to Heterojunction Cells

    Directory of Open Access Journals (Sweden)

    Kai Yang

    2012-01-01

    Full Text Available A new multinary semiconductor Cu2ZnSnS4−O (CZTSO, which does not contain toxic elements and expensive rare metals, was fabricated by the electrochemical deposition (ECD method. CZTSO thin films were deposited onto indium tin oxide (ITO- coated glass substrates by DC and two-step pulsed ECD from aqueous solutions containing CuSO4, ZnSO4, SnSO4, and Na2S2O3. The films deposited by pulsed ECD contained smaller amount of oxygen than those deposited by DC ECD. The films had band gap energies in a range from 1.5 eV and 2.1 eV. By a photoelectrochemical measurement, it was confirmed that CZTSO films showed p-type conduction and photosensitivity. CZTSO/ZnO heterojunctions exhibited rectification properties in a current-voltage measurement.

  14. Magnetron sputtered TiN thin films toward enhanced performance supercapacitor electrodes

    KAUST Repository

    Wei, Binbin

    2018-04-09

    Supercapacitors as a new type of energy storage devices bridging the gap between conventional capacitors and batteries have aroused widespread concern. Herein, binder-free titanium nitride (TiN) thin film electrodes for supercapacitors prepared by reactive magnetron sputtering technology are reported. The effect of N2 content on the supercapacitor performance is evaluated. A highest specific capacitance of 27.3 mF cm−2 at a current density of 1.0 mA cm−2, together with excellent cycling performance (98.2% capacitance retention after 20,000 cycles at 2.0 mA cm−2) is achieved in a 0.5 M H2SO4 aqueous electrolyte. More importantly, a symmetric supercapacitor device assembled on the basis of TiN thin films can deliver a maximum energy density of 17.6 mWh cm−3 at a current density of 0.2 mA cm−2 and a maximum power density of 10.8 W cm−3 at a current density of 2 mA cm−2 with remarkable cycling stability. As a consequence, TiN thin films demonstrate great potential as promising supercapacitor electrode materials.

  15. Magnetron sputtered TiN thin films toward enhanced performance supercapacitor electrodes

    KAUST Repository

    Wei, Binbin; Liang, Hanfeng; Zhang, Dongfang; Qi, Zhengbing; Shen, Hao; Wang, Zhoucheng

    2018-01-01

    Supercapacitors as a new type of energy storage devices bridging the gap between conventional capacitors and batteries have aroused widespread concern. Herein, binder-free titanium nitride (TiN) thin film electrodes for supercapacitors prepared by reactive magnetron sputtering technology are reported. The effect of N2 content on the supercapacitor performance is evaluated. A highest specific capacitance of 27.3 mF cm−2 at a current density of 1.0 mA cm−2, together with excellent cycling performance (98.2% capacitance retention after 20,000 cycles at 2.0 mA cm−2) is achieved in a 0.5 M H2SO4 aqueous electrolyte. More importantly, a symmetric supercapacitor device assembled on the basis of TiN thin films can deliver a maximum energy density of 17.6 mWh cm−3 at a current density of 0.2 mA cm−2 and a maximum power density of 10.8 W cm−3 at a current density of 2 mA cm−2 with remarkable cycling stability. As a consequence, TiN thin films demonstrate great potential as promising supercapacitor electrode materials.

  16. Low temperature plasma-enhanced ALD TiN ultrathin films for Hf{sub 0.5}Zr{sub 0.5}O{sub 2}-based ferroelectric MIM structures

    Energy Technology Data Exchange (ETDEWEB)

    Kozodaev, M.G.; Chernikova, A.G.; Markeev, A.M. [Moscow Institute of Physics and Technology, Institutsky Lane 9, Dolgoprudny, Moscow Region 141700 (Russian Federation); Lebedinskii, Y.Y. [Moscow Institute of Physics and Technology, Institutsky Lane 9, Dolgoprudny, Moscow Region 141700 (Russian Federation); National Research Nuclear University MEPhI, Moscow Engineering Physics Institute, Kashirskoye Shosse 31, 115409 Moscow (Russian Federation); Polyakov, S.N. [Technological Institute for Superhard and Novel Carbon Materials, Tsentral' naya str. 7a, 142190, Troitsk, Moscow (Russian Federation)

    2017-06-15

    In this work chemical and electrical properties of TiN films, grown by low temperature plasma-enhanced atomic layer deposition (PE-ALD) process from TiCl{sub 4} and NH{sub 3}, were investigated. Electrical resistivity as low as 250 μOhm x cm, as well as the lowest Cl impurity content, was achieved at 320 C. Full-ALD Hf{sub 0.5}Zr{sub 0.5}O{sub 2}-based metal-ferroelectric-metal capacitor with TiN electrodes was fabricated and its electrical properties were investigated. It was also shown that the proposed PE-ALD process provides an early film continuity, which was confirmed by ultrathin fully continuous film growth. Such ultrathin (3 nm) and fully continuous TiN film was also successfully implemented as the top electrode to Hf{sub 0.5}Zr{sub 0.5}O{sub 2}-based ferroelectric capacitor. Angle-resolved X-ray photoelectron spectroscopy (AR-XPS) was used for its thickness determination and a visible wake-up effect in underlying Hf{sub 0.5}Zr{sub 0.5}O{sub 2} layer was clearly observed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Low temperature ITO thin film deposition on PES substrate using pulse magnetron sputtering

    International Nuclear Information System (INIS)

    Lin, Y.C.; Li, J.Y.; Yen, W.T.

    2008-01-01

    Experiments were conducted using pulse magnetron sputtering (PMS) to deposit transparent conducting indium tin oxide (ITO) thin film onto flexible polyethersulfone (PES) plastic substrates. The thin film microstructure, optoelectronic and residual stress were analyzed using the modulating PMS power, work pressure, pulse frequency, duty cycle and cycle time process parameters. The residual stress of the thin film was determined by scanning electron microscopy (SEM) combined with the Sony equation. The experimental results show that PMS has a lower process temperature, higher deposition rate and lower resistivity compared with the radio frequency process at the same output power. The duty cycle increase produces the optimum optoelectronic characteristics. When the pressure, power, duty cycle and sputter time are increased, the thin film stress will also increase, causing flexural distortion in the PES plastic substrate. When the deposition thickness reaches 1.5 μm, ITO thin film will appear with a distinct split. Under 5 mtorr work pressure, 60 W power, 33 μs duty time and 2 μs pulse reverse time at duty cycle 95%, thin film with an optimized electrical 3.0 x 10 -4 Ω-cm, RMS surface roughness of 0.85 nm and visible region optical transmittance will be achieved with acquisition of over 85%

  18. Atomic layer deposition and properties of mixed Ta2O5 and ZrO2 films

    Directory of Open Access Journals (Sweden)

    Kaupo Kukli

    2017-02-01

    Full Text Available Thin solid films consisting of ZrO2 and Ta2O5 were grown by atomic layer deposition at 300 °C. Ta2O5 films doped with ZrO2, TaZr2.75O8 ternary phase, or ZrO2 doped with Ta2O5 were grown to thickness and composition depending on the number and ratio of alternating ZrO2 and Ta2O5 deposition cycles. All the films grown exhibited resistive switching characteristics between TiN and Pt electrodes, expressed by repetitive current-voltage loops. The most reliable windows between high and low resistive states were observed in Ta2O5 films mixed with relatively low amounts of ZrO2, providing Zr to Ta cation ratio of 0.2.

  19. Indium tin oxide films prepared via wet chemical route

    International Nuclear Information System (INIS)

    Legnani, C.; Lima, S.A.M.; Oliveira, H.H.S.; Quirino, W.G.; Machado, R.; Santos, R.M.B.; Davolos, M.R.; Achete, C.A.; Cremona, M.

    2007-01-01

    In this work, indium tin oxide (ITO) films were prepared using a wet chemical route, the Pechini method. This consists of a polyesterification reaction between an α-hydroxicarboxylate complex (indium citrate and tin citrate) with a polyalcohol (ethylene glycol) followed by a post annealing at 500 deg. C. A 10 at.% of doping of Sn 4+ ions into an In 2 O 3 matrix was successfully achieved through this method. In order to characterize the structure, the morphology as well as the optical and electrical properties of the produced ITO films, they were analyzed using different experimental techniques. The obtained films are highly transparent, exhibiting transmittance of about 85% at 550 nm. They are crystalline with a preferred orientation of [222]. Microscopy discloses that the films are composed of grains of 30 nm average size and 0.63 nm RMS roughness. The films' measured resistivity, mobility and charge carrier concentration were 5.8 x 10 -3 Ω cm, 2.9 cm 2 /V s and - 3.5 x 10 20 /cm 3 , respectively. While the low mobility value can be related to the small grain size, the charge carrier concentration value can be explained in terms of the high oxygen concentration level resulting from the thermal treatment process performed in air. The experimental conditions are being refined to improve the electrical characteristics of the films while good optical, chemical, structural and morphological qualities already achieved are maintained

  20. Properties of Ce-doped ITO films deposited on polymer substrate by DC magnetron sputtering

    International Nuclear Information System (INIS)

    Kang, Y.M.; Kwon, S.H.; Choi, J.H.; Cho, Y.J.; Song, P.K.

    2010-01-01

    Ce-doped indium tin oxide (ITO:Ce) films were deposited on flexible polyimide substrates by DC magnetron sputtering using ITO targets containing various CeO 2 contents (CeO 2 : 0, 0.5, 3.0, 4.0, 6.0 wt.%) at room temperature and post-annealed at 200 o C. The crystallinity of the ITO films decreased with increasing Ce content, and it led to a decrease in surface roughness. In addition, a relatively small change in resistance in dynamic stress mode was obtained for ITO:Ce films even after the annealing at high temperature (200 o C). The minimum resistivity of the amorphous ITO:Ce films was 3.96 x 10 -4 Ωcm, which was deposited using a 3.0 wt.% CeO 2 doped ITO target. The amorphous ITO:Ce films not only have comparable electrical properties to the polycrystalline films but also have a crystallization temperature > 200 o C. In addition, the amorphous ITO:Ce film showed stable mechanical properties in the bended state.

  1. Parametrization of optical properties of indium-tin-oxide thin films by spectroscopic ellipsometry: Substrate interfacial reactivity

    Science.gov (United States)

    Losurdo, M.; Giangregorio, M.; Capezzuto, P.; Bruno, G.; de Rosa, R.; Roca, F.; Summonte, C.; Plá, J.; Rizzoli, R.

    2002-01-01

    Indium-tin-oxide (ITO) films deposited by sputtering and e-gun evaporation on both transparent (Corning glass) and opaque (c-Si, c-Si/SiO2) substrates and in c-Si/a-Si:H/ITO heterostructures have been analyzed by spectroscopic ellipsometry (SE) in the range 1.5-5.0 eV. Taking the SE advantage of being applicable to absorbent substrate, ellipsometry is used to determine the spectra of the refractive index and extinction coefficient of the ITO films. The effect of the substrate surface on the ITO optical properties is focused and discussed. To this aim, a parametrized equation combining the Drude model, which considers the free-carrier response at the infrared end, and a double Lorentzian oscillator, which takes into account the interband transition contribution at the UV end, is used to model the ITO optical properties in the useful UV-visible range, whatever the substrate and deposition technique. Ellipsometric analysis is corroborated by sheet resistance measurements.

  2. Influence of substrate temperature, growth rate and TCO substrate on the properties of CSS deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Schaffner, J., E-mail: jschaffner@surface.tu-darmstadt.de; Feldmeier, E.; Swirschuk, A.; Schimper, H.-J.; Klein, A.; Jaegermann, W.

    2011-08-31

    The growth of CdS thin films by close space sublimation (CSS) has been systematically studied using an ultra-high vacuum system known as DAISY-SOL in order to understand the basic growth mechanisms and their impact on the film properties. Substrate temperature and deposition rate were varied, and the surface properties of the CdS layer were determined by photoelectron spectroscopy (XPS) without breaking the vacuum. To analyze the influence of the deposition conditions on the layer morphology and crystallographic structure, the films were further characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The SEM and AFM studies show a correlation between the deposition rate and the film morphology. For high deposition rates, edged grain shapes and smoother surfaces were observed than for low deposition rates. CdS films were deposited onto two different commercially available fluorine-doped tin oxide (FTO) substrates. XRD studies show that a high <200> texture of the FTO substrate prefers the CdS growth in <0001> orientation of the hexagonal crystal modification.

  3. Effect of substrate temperature and deposition rate on the morphology and optical properties of Ti films

    Energy Technology Data Exchange (ETDEWEB)

    Einollahzadeh-Samadi, M.; Dariani, R.S., E-mail: dariani@alzahra.ac.ir

    2013-09-01

    Titanium films are deposited on transparent fluorine-doped tin oxide (FTO) glass substrates by DC magnetron sputtering process. Influences imposed by sputtering rate and substrate temperature on surface morphology and optical properties of the deposited Ti films are investigated. We observed that all the sputtered films exhibit uniform and compact surface morphology without peeling and cracking. Morphology of the films is studied using atomic force microscopy (AFM) and X-ray diffraction (XRD). The optical properties of the films are investigated using UV–vis spectroscopy. The morphological studies indicate that by increasing the substrate temperature from room temperature to 250 °C and/or decreasing sputtering rate from 660 Å/min to 540 Å/min the surface roughness decreased from 73.4 to 31.0 nm and the grain size increases from 50.76 nm to 163.93 nm. An important effect of the root mean square (RMS) surface roughness and grain size is modification of the films optical properties. In fact, an enhancement of refractive index n for the Ti films deposited at high substrate temperature and/or high deposition rate is observed, that is attributed to reduction of RMS roughness. This effect is attributed to increment of fractional volume which leads to an increase in density of deposited film. Thus, by controlling the sputtering conditions one can reach to the desired morphological and optical properties.

  4. Initial growth and texture formation during reactive magnetron sputtering of TiN on Si(111)

    CERN Document Server

    Li, T Q; Tsuji, Y; Ohsawa, T; Komiyama, H

    2002-01-01

    The initial growth and texture formation mechanism of titanium nitride (TiN) films were investigated by depositing TiN films on (111) silicon substrates by using reactive magnetron sputtering of a Ti metallic target under a N sub 2 /Ar atmosphere, and then analyzing the films in detail by using transmission electron microscopy (TEM) and x-ray diffraction (XRD). Two power sources for the sputtering, dc and rf, were compared. At the initial growth stage, a continuous amorphous film containing randomly oriented nuclei was observed when the film thickness was about 3 nm. The nuclei grew and formed a polycrystalline layer when the film thickness was about 6 nm. As the film grew further, its orientation changed depending on the deposition conditions. For dc sputtering, the appearance of (111) or (200)-preferred orientations depended on the N sub 2 partial pressure, and the intensity of the preferred orientation increased with increasing film thickness. For rf sputtering, however, when the film thickness was small (...

  5. Effect of multiple film on the tritium permeation property in 316L stainless steel

    International Nuclear Information System (INIS)

    Yao Zhenyu; Hao Jiakun; Zhou Changshan; Shan Changqi

    2000-01-01

    The films of TiN + TiC + TiN and TiN + TiC + SiO 2 were deposited on the surface of 316L stainless steel by physical vapor deposition technology. The characteristics of films are tested by SEM technology, it shows that the films are compact, thermal shock-resistant, oxidation-resistant and have good compatibility with bulk. the SIMS and IR analysis results show that the tritium permeation barrier is formed when TiC and SiO 2 films are annealed in hydrogen above 300 degree C. The tritium permeability in 316L with film is measured at various temperature, the results show that the tritium permeability in 316L with TiN + TiC + SiO 2 film is 4-6 orders of magnitude lower, and that in 316L with TiN + TiC + TiN film is 4-5 orders of magnitude lower than that in 316L with Pd film at about 200-600 degree C. These films may be used as the surface coating of the first wall, tritium blanket and heat exchanger in fusion reactor

  6. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  7. Structural, optical and electrical characteristics of ITO thin films deposited by sputtering on different polyester substrates

    International Nuclear Information System (INIS)

    Guillen, C.; Herrero, J.

    2008-01-01

    Indium tin oxide (ITO) thin films were deposited by sputtering at room temperature on glass and different polyester substrates; namely polyarylate (PA), polycarbonate (PC) and polyethylene terephtalate (PET). The influence of the substrate on the structural, optical and electrical characteristics of the ITO layers was investigated. The sputtered films exhibited crystallization in the (2 2 2) orientation, with higher mean crystallite size and lower structural distortion onto PET than onto PA, PC or glass substrates. ITO films deposited onto PET showed also higher band gap energy, higher carrier concentration and lower resistivity than the ITO layers onto the other tested substrates. These optical and electrical characteristics have been related to the structural distortion that was found dependent on the specific polyester substrate

  8. Characteristics of tungsten oxide thin films prepared on the flexible substrates using pulsed laser deposition

    International Nuclear Information System (INIS)

    Suda, Yoshiaki; Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyuu, Yoshihito

    2008-01-01

    Tungsten trioxide (WO 3 ) thin films have been prepared on the flexible indium tin oxide (ITO) substrates by pulsed laser deposition (PLD) using WO 3 targets in oxygen gas. Color of the WO 3 film on the flexible ITO substrates depends on the oxygen gas mixture. The plasma plume produced by PLD using a Nd:YAG laser and WO 3 target is investigated by temporal and spatial-resolved optical emission spectroscopy. WO 3 films prepared on the flexible ITO substrates show electrochromic properties, even when the substrates are bent. The film color changes from blue to transparent within 10-20 s after the applied DC voltage is turned off

  9. Effect of cerium doping on the electrical properties of ultrathin indium tin oxide films for application in touch sensors

    International Nuclear Information System (INIS)

    Kang, Saewon; Cho, Sanghyun; Song, Pungkeun

    2014-01-01

    The electrical and microstructure properties of cerium doped indium tin oxide (ITO:Ce) ultrathin films were evaluated to assess their potential application in touch sensors. 10 to 150-nm ITO and ITO:Ce films were deposited on glass substrates (200 °C) by DC magnetron sputtering using different ITO targets (doped with CeO 2 : 0, 1, 3, 5 wt.%). ITO:Ce (doped with CeO 2 : 3 wt.%) films with thickness < 25 nm showed lower resistivity than ITO. This lower resistivity was accompanied by a significant increase in the Hall mobility despite a decrease in crystallinity. In addition, the surface morphology and wetting properties improved with increasing Ce concentration. This is related to an earlier transition from an island structure to continuous film formation caused by an increase in the initial nucleation density. - Highlights: • 10 to 150-nm InSnO 2 (ITO) and ITO:Ce thin films were deposited by sputtering. • ITO:Ce films with thickness < 25 nm showed lower resistivity than ITO. • Hall mobility was strongly affected by initial film formation. • Surface morphology and wetting property improved with increasing Ce concentration. • Such behavior is related to an earlier transition to continuous film formation

  10. Kinetics of the formation of the titanium nitruro film (TiN)

    International Nuclear Information System (INIS)

    Rojas Andres; Devia C, Alfonso; Alzate Rafael

    1999-01-01

    They are presented in succinct form the factors that intervene in the growth, adhesion of the film and election of the support material in the process of formation of TiN film. Equally it's carried out the kinetic development of the possible reactions (ionization and excitement) involved in the process

  11. Mesoporous tin-doped indium oxide thin films: effect of mesostructure on electrical conductivity

    Directory of Open Access Journals (Sweden)

    Till von Graberg, Pascal Hartmann, Alexander Rein, Silvia Gross, Britta Seelandt, Cornelia Röger, Roman Zieba, Alexander Traut, Michael Wark, Jürgen Janek and Bernd M Smarsly

    2011-01-01

    Full Text Available We present a versatile method for the preparation of mesoporous tin-doped indium oxide (ITO thin films via dip-coating. Two poly(isobutylene-b-poly(ethyleneoxide (PIB-PEO copolymers of significantly different molecular weight (denoted as PIB-PEO 3000 and PIB-PEO 20000 are used as templates and are compared with non-templated films to clarify the effect of the template size on the crystallization and, thus, on the electrochemical properties of mesoporous ITO films. Transparent, mesoporous, conductive coatings are obtained after annealing at 500 °C; these coatings have a specific resistance of 0.5 Ω cm at a thickness of about 100 nm. Electrical conductivity is improved by one order of magnitude by annealing under a reducing atmosphere. The two types of PIB-PEO block copolymers create mesopores with in-plane diameters of 20–25 and 35–45 nm, the latter also possessing correspondingly thicker pore walls. Impedance measurements reveal that the conductivity is significantly higher for films prepared with the template generating larger mesopores. Because of the same size of the primary nanoparticles, the enhanced conductivity is attributed to a higher conduction path cross section. Prussian blue was deposited electrochemically within the films, thus confirming the accessibility of their pores and their functionality as electrode material.

  12. On the structure and surface chemical composition of indium-tin oxide films prepared by long-throw magnetron sputtering

    International Nuclear Information System (INIS)

    Chuang, M.J.; Huang, H.F.; Wen, C.H.; Chu, A.K.

    2010-01-01

    Structures and surface chemical composition of indium tin oxide (ITO) thin films prepared by long-throw radio-frequency magnetron sputtering technique have been investigated. The ITO films were deposited on glass substrates using a 20 cm target-to-substrate distance in a pure argon sputtering environment. X-ray diffraction results showed that an increase in substrate temperature resulted in ITO structure evolution from amorphous to polycrystalline. Field-emission scanning electron microscopy micrographs suggested that the ITO films were free of bombardment of energetic particles since the microstructures of the films exhibited a smaller grain size and no sub-grain boundary could be observed. The surface composition of the ITO films was characterized by X-ray photoelectron spectroscopy (XPS). Oxygen atoms in both amorphous and crystalline ITO structures were observed from O 1 s XPS spectra. However, the peak of the oxygen atoms in amorphous ITO phase could only be found in samples prepared at low substrate temperatures. Its relative peak area decreased drastically when substrate temperatures were larger than 200 o C. In addition, a composition analysis from the XPS results revealed that the films deposited at low substrate temperatures contained high concentration of oxygen at the film surfaces. The oxygen-rich surfaces can be attributed to hydrolysis reactions of indium oxides, especially when large amount of the amorphous ITO were developed near the film surfaces.

  13. Tailoring the structural and optical properties of TiN thin films by Ag ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Popović, M., E-mail: majap@vinca.rs; Novaković, M.; Rakočević, Z.; Bibić, N.

    2016-12-15

    Highlights: • Changes in structural and optical properties of TiN films induced by Ag ions. • The formation of Ag metallic clusters inside of TiN layers was observed. • The SPR of Ag particles was confirmed by a broad band in the spectra. • As the Ag ions fluence increases the n also increase and k values decrease. • With increasing ion fluence the TiN film becomes more metallic. - Abstract: Titanium nitride (TiN) thin films thickness of ∼260 nm prepared by dc reactive sputtering were irradiated with 200 keV silver (Ag) ions to the fluences ranging from 5 × 10{sup 15} ions/cm{sup 2} to 20 × 10{sup 15} ions/cm{sup 2}. After implantation TiN layers were annealed 2 h at 700 °C in a vacuum. Ion irradiation-induced microstructural changes were examined by using Rutherford backscattering spectrometry, X-ray diffraction and transmission electron microscopy, while the surface topography was observed using atomic force microscopy. Spectroscopic ellipsometry was employed to get insights on the optical and electronic properties of TiN films with respect to their microstructure. The results showed that the irradiations lead to deformation of the lattice, increasing disorder and formation of new Ag phase. The optical results demonstrate the contribution of surface plasmon resonace (SPR) of Ag particles. SPR position shifted in the range of 354.3–476.9 nm when Ag ion fluence varied from 5 × 10{sup 15} ions/cm{sup 2} to 20 × 10{sup 15} ions/cm{sup 2}. Shift in peak wavelength shows dependence on Ag particles concentration, suggesting that interaction between Ag particles dominate the surface plasmon resonance effect. Presence of Ag as second metal in the layer leads to overall decrease of optical resistivity of TiN.

  14. Copper zinc tin sulfide-based thin film solar cells

    CERN Document Server

    Ito, Kentaro

    2014-01-01

    Beginning with an overview and historical background of Copper Zinc Tin Sulphide (CZTS) technology, subsequent chapters cover properties of CZTS thin films, different preparation methods of CZTS thin films, a comparative study of CZTS and CIGS solar cell, computational approach, and future applications of CZTS thin film solar modules to both ground-mount and rooftop installation. The semiconducting compound (CZTS) is made up earth-abundant, low-cost and non-toxic elements, which make it an ideal candidate to replace Cu(In,Ga)Se2 (CIGS) and CdTe solar cells which face material scarcity and tox

  15. Synthesis and characterization of copper antimony tin sulphide thin films for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Ali, N., E-mail: nisar.ali@utm.my [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, UTM Skudai, 81310 Johor (Malaysia); Department of Physics, Govt. Post Graduate Jehanzeb College Saidu Sharif, Swat, 19200 (Pakistan); Hussain, A. [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, UTM Skudai, 81310 Johor (Malaysia); Ahmed, R., E-mail: rashidahmed@utm.my [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, UTM Skudai, 81310 Johor (Malaysia); Wan Shamsuri, W.N. [Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, UTM Skudai, 81310 Johor (Malaysia); Fu, Y.Q., E-mail: richard.fu@northumbria.ac.uk [Department of Physics and Electrical Engineering, Faculty of Engineering & Environment, University of Northumbria, Newcastle upon Tyne, NE1 8ST (United Kingdom)

    2016-12-30

    Highlights: • A new and novel material for solar cell applications is demonstrated as a replacement for toxic and expansive compounds. • The materials used in this compound are abundant and low cost. • Compound exhibit unusual optical and electrical properties. • The band gap was found to be comparable with that of GaAs. - Abstract: Low price thin film modules based on Copper antimony tin sulphide (CATS) are introduced for solar harvesting to compete for the already developed compound semiconductors. Here, CATS thin films were deposited on soda lime glass by thermal evaporation technique followed by a rapid thermal annealing in an argon atmosphere. From Our XRD analysis, it was revealed that the annealed samples were poly-crystalline and their crystallinity was improved with increasing annealing temperature. The constituent elements and their corresponding chemical states were identified using X-ray photoelectron spectroscopy. The obtained optical band gap of 1.4 eV for CATS thin film is found nearly equal to GaAs – one of the highly efficient thin film material for solar cell technology. Furthermore, our observed good optical absorbance and low transmittance for the annealed CATS thin films in the visible region of light spectrum assured the aptness of the CATS thin films for solar cell applications.

  16. Effect of the cadmium ion source on the structural and optical properties of chemical bath deposited CdS thin films

    Science.gov (United States)

    Rami, M.; Benamar, E.; Fahoume, M.; Chraibi, F.; Ennaoui, A.

    1999-06-01

    The chemical bath deposition (CBD) technique has been successfully used to deposit cadmium sulphide from cadmium chloride and cadmium acetate as the cadmium ion source and thiourea as the sulphur source on both glass microscope slide and indium tin oxide coated glass substrates. Various properties of the films such as surface morphology, crystallinity, optical properties and resistivitiy have been investigated. XRD patterns reveal that the CdS films deposited from cadmium chloride have an hexagonal structure. Their preferential orientation changes from (002) to (100) with the thermal annealing. Films deposited from cadmium acetate are amorphous but improve their crystallinity with annealing. SEM analysis shows that the grains of the as deposited films are randomly shaped and appear to be bigger in the case of the CdS prepared from cadmium chloride. The optical transmission of the layers are in the 70-80 % range for wavelength above the band gap absorption which makes them more appropriate as window material in heterojunction solar cells.

  17. Effect of the cadmium ion source on the structural and optical properties of chemical bath deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rami, M.; Benamar, E.; Fahoume, M.; Chraibi, F.; Ennaoui, A. [University Mohamed V, Laboratory of Materials Physics, Dept., Faculty of Sciences, Rabat (Morocco)

    1999-06-01

    The chemical bath deposition (CBD) technique has been successfully used to deposit cadmium sulphide from cadmium chloride and cadmium acetate as the cadmium ion source and thiourea as the sulphur source on both glass microscope slide and indium tin oxide coated glass substrates. Various properties of the films such as surface morphology, crystallinity, optical properties and resistivity have been investigated. XRD patterns reveal that the CdS films deposited from cadmium chloride have an hexagonal structure. Their preferential orientation changes from (002) to (100) with the thermal annealing. Films deposited from cadmium acetate are amorphous but improve their crystallinity with annealing. SEM analysis shows that the grains of the as deposited films are randomly shaped and appear to be bigger in the case of the CdS prepared from cadmium chloride. The optical transmission of the layers are in the 70-80% range for wavelength above the band gap absorption which makes them more appropriate as window material in heterojunction solar cells. (authors)

  18. Titanium dioxide-coated fluorine-doped tin oxide thin films for improving overall photoelectric property

    International Nuclear Information System (INIS)

    Li, Bao-jia; Huang, Li-jing; Ren, Nai-fei; Zhou, Ming

    2014-01-01

    Titanium (Ti) layers were deposited by direct current (DC) magnetron sputtering on commercial fluorine-doped tin oxide (FTO) glasses, followed by simultaneous oxidation and annealing treatment in a tubular furnace to prepare titanium dioxide (TiO 2 )/FTO bilayer films. Large and densely arranged grains were observed on all TiO 2 /FTO bilayer films. The presence of TiO 2 tetragonal rutile phase in the TiO 2 /FTO bilayer films was confirmed by X-ray diffraction (XRD) analysis. The results of parameter optimization indicated that the TiO 2 /FTO bilayer film, which was formed by adopting a temperature of 400 °C and an oxygen flow rate of 15 sccm, had the optimal overall photoelectric property with a figure of merit of 2.30 × 10 −2 Ω −1 , higher than 1.78 × 10 −2 Ω −1 for the FTO single-layer film. After coating a 500 nm-thick AZO layer by DC magnetron sputtering on this TiO 2 /FTO bilayer film, the figure of merit of the trilayer film achieved to a higher figure of merit of 3.12 × 10 −2 Ω −1 , indicating further improvement of the overall photoelectric property. This work may provide a scientific basis and reference for improving overall photoelectric property of transparent conducting oxide (TCO) films.

  19. The role of Tin Oxide Concentration on The X-ray Diffraction, Morphology and Optical Properties of In2O3:SnO2 Thin Films

    Science.gov (United States)

    Hasan, Bushra A.; Abdallah, Rusul M.

    2018-05-01

    Alloys were performed from In2O3 doped SnO2 with different doping ratio by quenching from the melt technique. Pulsed Laser Deposition PLD was used to deposit thin films of different doping ratio In2O3 : SnO2 (0, 1, 3, 5, 7 and 9 % wt.) on glass substrate at ambient temperature under vacuum of 10-3 bar thickness of ∼100nm. The structural type,grain size and morphology of the prepared alloys compounds and thin films were examined using X-ray diffraction and atomic force microscopy. The results showed that all alloys have polycrystalline structures and the peaks belonged to the preferred plane for crystal growth were identical with the ITO (Indium – Tin –Oxide) standard cards also another peaks were observed belonged to SnO2 phase. The structures of thin films was also polycrystalline, and the predominate peaks are identical with standard cards ITO. On the other side the prepared thin films declared decrease a reduction of degree of crystallinity with the increase of doping ratio. Atomic Force Microscopy AFM measurements showed the average grain size and average surface roughness exhibit to change in systematic manner with the increase of doping ratio with tin oxide. The optical measurements show that the In2O3:SnO2 thin films have a direct energy gap Eg opt in the first stage decreases with the increase of doping ratio and then get to increase with further increase of doping ration, whereas reverse to that the optical constants such as refractive index (n), extinction coefficient (k) and dielectric constant (εr, εi) have a regular increase with the doping ratio by tin oxide and then decreases.

  20. ITO films with enhanced electrical properties deposited on unheated ZnO-coated polymer substrates

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Lavareda, G.; Fortunato, E.; Alves, H.; Goncalves, A.; Varela, J.; Nascimento, R.; Amaral, A.

    2005-01-01

    Indium tin oxide (ITO) films were deposited by radio frequency (rf)-plasma enhanced reactive thermal evaporation (rf-PERTE) at room temperature on intrinsic ZnO/polymer substrates to enhance their electrical and structural properties. The polymer substrate used is polyethylene terephthalate (PET). The thickness of the ZnO films varied in the range 50-150 nm. The average thickness of the ITO films is of about 170 nm. Results show that ITO deposited on bare PET substrates exhibit: an average visible transmittance of about 85% and an electrical resistivity of 5.6 x 10 -2 Ω cm. ITO on ZnO/PET substrates show the optical quality practically preserved and the resistivity decreased to a minimum value of 1.9x10 -3 Ω cm for ZnO layers 125 nm thick. The electrical properties of ITO on ZnO/PET are largely improved by the increase in carrier mobility

  1. The electrochemical deposition of tin-nickel alloys and the corrosion properties of the coating

    DEFF Research Database (Denmark)

    Jellesen, Morten Stendahl; Møller, Per

    2005-01-01

    The electrodeposition of tin/nickel (65/35 wt%) is a unique coating process because of the deposition of an intermetallic phase of nickel and tin, which cannot be formed by any pyrometallurgical process. From thermodynamic calculations it can be shown that intermetallic phases can be formed throu...

  2. Ternary Ag-In-S polycrystalline films deposited using chemical bath deposition for photoelectrochemical applications

    International Nuclear Information System (INIS)

    Chang, Wen-Sheng; Wu, Ching-Chen; Jeng, Ming-Shan; Cheng, Kong-Wei; Huang, Chao-Ming; Lee, Tai-Chou

    2010-01-01

    This paper describes the preparation and characterization of ternary Ag-In-S thin films deposited on indium tin oxide (ITO)-coated glass substrates using chemical bath deposition (CBD). The composition of the thin films was varied by changing the concentration ratio of [Ag]/[In] in the precursor solutions. The crystal structure, optical properties, and surface morphology of the thin films were analyzed by grazing incidence X-ray diffraction (GIXRD), UV-vis spectroscopy, and field-emission scanning electron microscopy (FE-SEM). GIXRD results indicate that the samples consisted of AgInS 2 and/or AgIn 5 S 8 crystal phases, depending on the composition of the precursor solutions. The film thicknesses, electrical resistivity, flat band potentials, and band gaps of the samples were between 1.12 and 1.37 μm, 3.73 x 10 -3 and 4.98 x 10 4 Ω cm, -0.67 and -0.90 V vs. NHE, and 1.83 and 1.92 eV, respectively. The highest photocurrent density was observed in the sample with [Ag]/[In] = 4. A photocurrent density of 9.7 mA cm -2 was obtained with an applied potential of 0.25 V vs. SCE in the three-electrode system. The photoresponse experiments were conducted in 0.25 M K 2 SO 3 and 0.35 M Na 2 S aqueous electrolyte solutions under irradiation by a 300 W Xe light (100 mW cm -2 ). The results show that ternary Ag-In-S thin film electrodes have potential in water splitting applications.

  3. Room temperature synthesis of indium tin oxide nanotubes with high precision wall thickness by electroless deposition

    Directory of Open Access Journals (Sweden)

    Mario Boehme

    2011-02-01

    Full Text Available Conductive nanotubes consisting of indium tin oxide (ITO were fabricated by electroless deposition using ion track etched polycarbonate templates. To produce nanotubes (NTs with thin walls and small surface roughness, the tubes were generated by a multi-step procedure under aqueous conditions. The approach reported below yields open end nanotubes with well defined outer diameter and wall thickness. In the past, zinc oxide films were mostly preferred and were synthesized using electroless deposition based on aqueous solutions. All these methods previously developed, are not adaptable in the case of ITO nanotubes, even with modifications. In the present work, therefore, we investigated the necessary conditions for the growth of ITO-NTs to achieve a wall thickness of around 10 nm. In addition, the effects of pH and reductive concentrations for the formation of ITO-NTs are also discussed.

  4. CATHODIC ELECTRODEPOSITION OF Cu 4 SnS 4 THIN FILMS FROM ACIDIC SOLUTION

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2017-11-01

    Full Text Available In this work the synthesis of copper tin sulfide thin films by electrodeposition is carried out. The films were deposited onto ITO glass substrates from anaqueous solution bath containingcopper sulfate, tin chloride and sodium thiosulfate at pH 1 and room temperature. Prior to the deposition, a cyclic voltammetry experiment was carried out between two potential limits (+1000 to -1000 mV versus Ag/AgCl to probe the effect of the applied potential and to determine the most likely suitable electrodeposition potential for the deposition of copper tin sulfide. The deposition was attempted at various cathodic potentials such as -400, -600, -800, -1000 mV to determine the optimum deposition potential. The films have been characterized by techniques such as optical absorption, X-ray diffraction and atomic force microscopy. The XRD patterns show that the films are polycrystalline with orthorhombic structure. The AFMstudies reveal the electrodeposited films were smooth, compact and uniform at deposition potentials of –600 mV versus Ag/AgCl. The direct optical band-gap energy was obtained to be 1.58 eV.

  5. Controlling plasmonic properties of epitaxial thin films of indium tin oxide in the near-infrared region

    Science.gov (United States)

    Kamakura, R.; Fujita, K.; Murai, S.; Tanaka, K.

    2015-06-01

    Epitaxial thin films of indium tin oxide (ITO) were grown on yttria-stabilized zirconia single-crystal substrates by using a pulsed laser deposition to examine their plasmonic properties. The dielectric function of ITO was characterized by spectroscopic ellipsometry. Through the concentration of SnO2 in the target, the carrier concentration in the films was modified, which directly leads to the tuning of the dielectric function in the near-infrared region. Variable-angle reflectance spectroscopy in the Kretschmann geometry shows the dip in the reflection spectrum of p-polarized light corresponding to the excitation of surface plasmon polaritions (SPPs) in the near-infrared region. The excitation wavelength of the SPPs was shifted with changing the dielectric functions of ITO, which is reproduced by the calculation using transfer matrix method.

  6. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  7. Synthesis and characterisation of co-evaporated tin sulphide thin films

    Science.gov (United States)

    Koteeswara Reddy, N.; Ramesh, K.; Ganesan, R.; Ramakrishna Reddy, K. T.; Gunasekhar, K. R.; Gopal, E. S. R.

    2006-04-01

    Tin sulphide films were grown at different substrate temperatures by a thermal co-evaporation technique. The crystallinity of the films was evaluated from X-ray diffraction studies. Single-phase SnS films showed a strong (040) orientation with an orthorhombic crystal structure and a grain size of 0.12 μm. The films showed an electrical resistivity of 6.1 Ω cm with an activation energy of 0.26 eV. These films exhibited an optical band gap of 1.37 eV and had a high optical absorption coefficient (>104 cm-1) above the band-gap energy. The results obtained were analysed to evaluate the potentiality of the co-evaporated SnS films as an absorber layer in solar photovoltaic devices.

  8. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  9. Impact of soft annealing on the performance of solution-processed amorphous zinc tin oxide thin-film transistors

    KAUST Repository

    Nayak, Pradipta K.

    2013-05-08

    It is demonstrated that soft annealing duration strongly affects the performance of solution-processed amorphous zinc tin oxide thin-film transistors. Prolonged soft annealing times are found to induce two important changes in the device: (i) a decrease in zinc tin oxide film thickness, and (ii) an increase in oxygen vacancy concentration. The devices prepared without soft annealing exhibited inferior transistor performances, in comparison to devices in which the active channel layer (zinc tin oxide) was subjected to soft annealing. The highest saturation field-effect mobility - 5.6 cm2 V-1 s-1 with a drain-to-source on-off current ratio (Ion/Ioff) of 2 × 108 - was achieved in the case of devices with 10-min soft-annealed zinc tin oxide thin films as the channel layer. The findings of this work identify soft annealing as a critical parameter for the processing of chemically derived thin-film transistors, and it correlates device performance to the changes in material structure induced by soft annealing. © 2013 American Chemical Society.

  10. Influence of Continuous and Discontinuous Depositions on Properties of Ito Films Prepared by DC Magnetron Sputtering

    Science.gov (United States)

    Aiempanakit, K.; Rakkwamsuk, P.; Dumrongrattana, S.

    Indium tin oxide (ITO) films were deposited on glass substrate without external heating by DC magnetron sputtering with continuous deposition of 800 s (S1) and discontinuous depositions of 400 s × 2 times (S2), 200 s × 4 times (S3) and 100 s × 8 times (S4). The structural, surface morphology, optical transmittance and electrical resistivity of ITO films were measured by X-ray diffraction, atomic force microscope, spectrophotometer and four-point probe, respectively. The deposition process of the S1 condition shows the highest target voltage due to more target poisoning occurrence. The substrate temperature of the S1 condition increases with the saturation curve of the RC charging circuit while other conditions increase and decrease due to deposition steps as DC power turns on and off. Target voltage and substrate temperature of ITO films decrease when changing the deposition conditions from S1 to S2, S3 and S4, respectively. The preferential orientation of ITO films were changed from dominate (222) plane to (400) plane with the increasing number of deposition steps. The ITO film for the S4 condition shows the lowest electrical resistivity of 1.44 × 10-3 Ω·cm with the highest energy gap of 4.09 eV and the highest surface roughness of 3.43 nm. These results were discussed from the point of different oxygen occurring on the surface ITO target between the sputtering processes which affected the properties of ITO films.

  11. Electrochromic properties of bipolar pulsed magnetron sputter deposited tungsten–molybdenum oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Tai-Nan [Chemical Engineering Division, Institute of Nuclear Energy Research, Taoyuan 325, Taiwan, ROC (China); Lin, Yi Han; Lee, Chin Tan [Department of Electronic Engineering, National Quemoy University, Kinmen 892, Taiwan, ROC (China); Han, Sheng [Center of General Education, National Taichung Institute of Technology, Taichung 404, Taiwan, ROC (China); Weng, Ko-Wei, E-mail: kowei@nqu.edu.tw [Department of Electronic Engineering, National Quemoy University, Kinmen 892, Taiwan, ROC (China)

    2015-06-01

    There are great interests in electrochromic technology for smart windows and displays over past decades. In this study, the WMoO{sub x} thin films were deposited onto indium tin oxide glass and silicon substrates by pulsed magnetron sputter system with W and Mo targets. The films were deposited with fixed W target power while the variant parameter of Mo target power in the range 50, 100, 150 and 200 W was investigated. The working pressure was fixed at 1.33 Pa with a gas mixture of Ar (30 sccm) and O{sub 2} (15 sccm). The film thickness increased with the Mo target power. Higher plasma power resulted in a crystalline structure which would reduce the electrochromic property of the film. The influence of plasma powers applied to Mo target on the structural, optical and electrochromic properties of the WMoO{sub x} thin films has been investigated. WMoO{sub x} films grown at Mo target powers less than 100 W were found to be amorphous. The films deposited at 150 W, which is the optimal fabrication condition, exhibit better electrochromic properties with high optical modulation, high coloration efficiency and less color memory effect at wavelength 400, 550 and 800 nm. The improvement resulted from the effect of doping Mo has been tested. The maximum ΔT (%) values are 36.6% at 400 nm, 65.6% at 550 nm, and 66.6% at 800 nm for pure WO{sub 3} film. The addition of Mo content in the WMoO{sub x} films provides better resistance to the short wavelength light source and can be used in the concerned application. - Highlights: • WMoO{sub x} films are deposited by pulsed magnetron sputter with pure W and Mo targets. • Mo addition in WMoO{sub x} provides better resistance to short wavelength light source. • WMoO{sub x} films exhibit electrochemical stability in the cycling test.

  12. Titanium dioxide-coated fluorine-doped tin oxide thin films for improving overall photoelectric property

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bao-jia, E-mail: bjia_li@126.com [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Huang, Li-jing [School of Materials Science and Engineering, Jiangsu University, Zhenjiang 212013 (China); Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); Ren, Nai-fei [Jiangsu Provincial Key Laboratory of Center for Photon Manufacturing Science and Technology, Jiangsu University, Zhenjiang 212013 (China); School of Mechanical Engineering, Jiangsu University, Zhenjiang 212013 (China); Zhou, Ming [The State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2014-01-30

    Titanium (Ti) layers were deposited by direct current (DC) magnetron sputtering on commercial fluorine-doped tin oxide (FTO) glasses, followed by simultaneous oxidation and annealing treatment in a tubular furnace to prepare titanium dioxide (TiO{sub 2})/FTO bilayer films. Large and densely arranged grains were observed on all TiO{sub 2}/FTO bilayer films. The presence of TiO{sub 2} tetragonal rutile phase in the TiO{sub 2}/FTO bilayer films was confirmed by X-ray diffraction (XRD) analysis. The results of parameter optimization indicated that the TiO{sub 2}/FTO bilayer film, which was formed by adopting a temperature of 400 °C and an oxygen flow rate of 15 sccm, had the optimal overall photoelectric property with a figure of merit of 2.30 × 10{sup −2} Ω{sup −1}, higher than 1.78 × 10{sup −2} Ω{sup −1} for the FTO single-layer film. After coating a 500 nm-thick AZO layer by DC magnetron sputtering on this TiO{sub 2}/FTO bilayer film, the figure of merit of the trilayer film achieved to a higher figure of merit of 3.12 × 10{sup −2} Ω{sup −1}, indicating further improvement of the overall photoelectric property. This work may provide a scientific basis and reference for improving overall photoelectric property of transparent conducting oxide (TCO) films.

  13. Diffusion and adhesion properties of Cu films on polyimide substrates

    International Nuclear Information System (INIS)

    Liang, T.X.; Liu, Y.Q.; Fu, Z.Q.; Luo, T.Y.; Zhang, K.Y.

    2005-01-01

    Copper thin films were prepared on polyimide (PI) substrates by physical vapor deposition (PVD) and chemical vapor deposition (CVD). Titanium nitride (TiN) diffusion barrier layers were deposited between the copper films and the PI substrates by PVD. Auger electron spectroscopy compositional depth profile showed that TiN barrier layer was very effective in preventing copper diffusion into PI substrate even after the Cu/TiN/PI samples were annealed at 300 deg. C for 5 h. For the as-deposited CVD-Cu/PI, CVD-Cu/TiN/PI, and as-deposited PVD-Cu/PI samples, the residual stress in Cu films was very small. Relatively larger residual stress existed in Cu films for PVD-Cu/TiN/PI samples. For PVD-Cu/TiN/PI samples, annealing can increase the peeling strength to the level observed without a diffusion barrier. The adhesion improvement of Cu films by annealing treatment can be attributed to lowering of the residual tensile stress in Cu films

  14. Ternary Ag-In-S polycrystalline films deposited using chemical bath deposition for photoelectrochemical applications

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Wen-Sheng [Energy and Environmental Laboratories, Industrial Technology Research Institute, 195 Sec. 4, Chung-Hsing Road, Hsin-Chu 310, Taiwan (China); Wu, Ching-Chen [Department of Chemical Engineering, National Chung Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 621, Taiwan (China); Jeng, Ming-Shan [Energy and Environmental Laboratories, Industrial Technology Research Institute, 195 Sec. 4, Chung-Hsing Road, Hsin-Chu 310, Taiwan (China); Cheng, Kong-Wei [Department of Chemical and Materials Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan, Tao-Yuan 333, Taiwan (China); Huang, Chao-Ming [Department of Environmental Engineering, Kun Shan University, 949 Da Wan Road, Yung-Kang City, Tainan Hsien 710, Taiwan (China); Lee, Tai-Chou, E-mail: chmtcl@ccu.edu.tw [Department of Chemical Engineering, National Chung Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 621, Taiwan (China)

    2010-04-15

    This paper describes the preparation and characterization of ternary Ag-In-S thin films deposited on indium tin oxide (ITO)-coated glass substrates using chemical bath deposition (CBD). The composition of the thin films was varied by changing the concentration ratio of [Ag]/[In] in the precursor solutions. The crystal structure, optical properties, and surface morphology of the thin films were analyzed by grazing incidence X-ray diffraction (GIXRD), UV-vis spectroscopy, and field-emission scanning electron microscopy (FE-SEM). GIXRD results indicate that the samples consisted of AgInS{sub 2} and/or AgIn{sub 5}S{sub 8} crystal phases, depending on the composition of the precursor solutions. The film thicknesses, electrical resistivity, flat band potentials, and band gaps of the samples were between 1.12 and 1.37 {mu}m, 3.73 x 10{sup -3} and 4.98 x 10{sup 4} {Omega} cm, -0.67 and -0.90 V vs. NHE, and 1.83 and 1.92 eV, respectively. The highest photocurrent density was observed in the sample with [Ag]/[In] = 4. A photocurrent density of 9.7 mA cm{sup -2} was obtained with an applied potential of 0.25 V vs. SCE in the three-electrode system. The photoresponse experiments were conducted in 0.25 M K{sub 2}SO{sub 3} and 0.35 M Na{sub 2}S aqueous electrolyte solutions under irradiation by a 300 W Xe light (100 mW cm{sup -2}). The results show that ternary Ag-In-S thin film electrodes have potential in water splitting applications.

  15. Physical-mechanical properties of Ti-Al-N films, deposited from mixed two-component vacuum arc plasma stream

    International Nuclear Information System (INIS)

    Aksenov, D.S.; Aksenov, I.I.; Luchaninov, A.A.; Reshetnyak, E.N.; Strel'nitskij, V.E.; Tolmacheva, G.N.; Yurkov, S.A.

    2011-01-01

    Ti-Al-N films were obtained by vacuum arc system equipped with two-channel T-shaped magnetic filter. The films were deposited by mixing of filtered plasma streams from two sources with cathodes made of aluminium and titanium in nitrogen environment. An influence of aluminium concentration and substrate bias on structure, hardness and elastic modulus of deposited films was studied by X-ray diffraction analysis and nanoindentation techniques. It was found that coatings with aluminium content in range from 13 to 47 at. % are characterized by cubic nitride based on TiN with NaCl structure. An increase of Al content to 71 at. % leads to the formation of hexagonal AlN-based nitride with wurtzite-like structure. Maximum hardness of 35 GPa is observed in films with 47 at. % aluminium concentration and -100 V substrate bias. Elastic modulus falls off along with increase of Al content and negative substrate bias.

  16. Application of in-plane x-ray diffraction technique for residual stress measurement of TiN film/WC-Co alloy

    International Nuclear Information System (INIS)

    Takago, Shigeki; Yasui, Haruyuki; Awazu, Kaoru; Sasaki, Toshihiko; Hirose, Yukio; Sakurai, Kenji

    2006-01-01

    An in-plane X-ray diffraction technique was used to measure the residual stress of a CVD (chemical vapor deposition) TiN-coated WC-Co alloy. We could obtain the diffraction pattern from a thin film layer, eliminating that of the substrate. In the case of a conventional X-ray diffractometer, the X-ray penetration depth is about few μm. However, for a grazing incidence beam it is only 0.2μm. Depth profiles of residual stress in TiN film layer were evaluated by the present method and the conventional sin 2 ψ technique. We concluded that the in-plane diffraction technique enables us to determine the residual stress in a DVD-TiN film having an oriented texture. It was found that the residual tensile stress generated a mismatch of the coefficient of thermal expansion between the film and the substrate. (author)

  17. Application of in-plane x-ray diffraction technique for residual stress measurement of TiN film/WC-Co alloy

    Energy Technology Data Exchange (ETDEWEB)

    Takago, Shigeki; Yasui, Haruyuki; Awazu, Kaoru [Industrial Research Inst. of Ishikawa, Kanazawa, Ishikawa (Japan); Sasaki, Toshihiko; Hirose, Yukio [Kanazawa Univ., Dept. of Materials Science and Engineering, Kanazawa, Ishikawa (Japan); Sakurai, Kenji [National Inst. for Materials Science, Tsukuba, Ibaraki (Japan)

    2006-06-15

    An in-plane X-ray diffraction technique was used to measure the residual stress of a CVD (chemical vapor deposition) TiN-coated WC-Co alloy. We could obtain the diffraction pattern from a thin film layer, eliminating that of the substrate. In the case of a conventional X-ray diffractometer, the X-ray penetration depth is about few {mu}m. However, for a grazing incidence beam it is only 0.2{mu}m. Depth profiles of residual stress in TiN film layer were evaluated by the present method and the conventional sin{sup 2}{psi} technique. We concluded that the in-plane diffraction technique enables us to determine the residual stress in a DVD-TiN film having an oriented texture. It was found that the residual tensile stress generated a mismatch of the coefficient of thermal expansion between the film and the substrate. (author)

  18. Low-temperature growth of highly crystallized transparent conductive fluorine-doped tin oxide films by intermittent spray pyrolysis deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fukano, Tatsuo; Motohiro, Tomoyoshi [Toyota Central Research and Development Laboratories Inc., Nagakute, Aichi 480-1192 (Japan)

    2004-05-30

    Following the procedure by Sawada et al. (Thin Solid Films 409 (2002) 46), high-quality SnO{sub 2}:F films were grown on glass substrates at relatively low temperatures of 325-340C by intermittent spray pyrolysis deposition using a perfume atomizer for cosmetics use. Even though the substrate temperature is low, as-deposited films show a high optical transmittance of 92% in the visible range, a low electric resistivity of 5.8x10{sup -4}{omega}cm and a high Hall mobility of 28cm{sup 2}/Vs. The F/Sn atomic ratio (0.0074) in the films is low in comparison with the value (0.5) in the sprayed solution. The carrier density in the film is approximately equal to the F-ion density, suggesting that most of the F-ions effectively function as active dopants. Films' transmittance and resistivity show little change after a 450C 60min heat treatment in the atmosphere, evidencing a high heat resistance. The SnO{sub 2}:F films obtained in this work remove the difficulty to improve the figure of merit at low synthesis temperatures.

  19. CATHODIC ELECTRODEPOSITION OF Cu 4 SnS 4 THIN FILMS FROM ACIDIC SOLUTION

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2017-11-01

    Full Text Available In this work the synthesis of copper tin sulfide thin films by electrodeposition is carried out. The films were deposited onto ITO glass substrates from an aqueous solution bath containing copper sulfate, tin chloride and sodium thiosulfate at pH 1 and room temperature. Prior to the deposition, a cyclic voltammetry experiment was carried out  between two potential limits (+1000 to -1000 mV versus Ag/AgCl to probe the effect of  the applied potential and to determine the most likely suitable electrodeposition potential  for the deposition of copper tin sulfide. The deposition was attempted at various cathodic potentials such as -400, -600, -800, -1000 mV to determine the optimum deposition  potential. The films have been characterized by techniques such as optical absorption, Xray diffraction  and  atomic  force  microscopy. The XRD patterns show that the films are polycrystalline with orthorhombic structure. The AFM studies reveal the electrodeposited films were smooth, compact and uniform at deposition potentials of –600 mV versus  Ag/AgCl. The direct optical band-gap energy was obtained to be 1.58 eV.

  20. Radio frequency magnetron sputter-deposited indium tin oxide for use as a cathode in transparent organic light-emitting diode

    International Nuclear Information System (INIS)

    Chung, Choong-Heui; Ko, Young-Wook; Kim, Yong-Hae; Sohn, Choong-Yong; Hye Yong Chu; Ko Park, Sang-Hee; Lee, Jin Ho

    2005-01-01

    Indium tin oxide (ITO) films were prepared by radio frequency magnetron sputtering at room temperature, for use as a cathode in a transparent organic light-emitting diode (TOLED). To minimize damage to the TOLED by the ITO sputtering process, the target-to-substrate distance was increased to 20 cm. An ITO film deposited at the optimum oxygen partial pressure exhibited an electrical resistivity as low as 4.06 x 10 -4 Ω cm and a high optical transmittance of 91% in the visible range. The film was used as a transparent cathode for a TOLED with structure of an ITO coated glass substrate / Naphthylphenyldiamide (60 nm) / Tris-(8-hydroxyquinoline) aluminum (60 nm) / LiF (1 nm) / Al (2 nm) / Ag (8 nm) / ITO cathode (100 nm). A maximum luminance of 37,000 cd/m 2 was obtained. The device performance was comparable to a conventional OLED

  1. Deposition of titanium nitride on Si(1 0 0) wafers using plasma focus

    International Nuclear Information System (INIS)

    Hussain, Tousif; Ahmad, R.; Khan, I.A.; Siddiqui, Jamil; Khalid, Nida; Bhatti, Arshad Saleem; Naseem, Shahzad

    2009-01-01

    Titanium nitride thin films were deposited on Si(1 0 0) substrates by using a low energy (2.3 KJ) Mather-type plasma focus device. The composition of the deposited films was characterized by X-ray diffraction (XRD). The crystallite size has strong dependence on the numbers of focus shots. The crystallinity of TiN thin films is found to increase with increasing the number of focus shots. The effect of different number of focus shots on micro structural changes of thin films was characterized by Scanning Electron Microscope (SEM) and Atomic Force Microscope (AFM). SEM results showed net-like structure for film deposited for 15 numbers of shots, which are elongated grains of Si 3 N 4 in amorphous form embedded into TiN crystals. The average surface roughness was calculated from AFM images of the thin films. These results indicated that the average surface roughness increased for films deposited with increased number of focus shots. The least crystallite size and roughness are observed for film deposited with 25 focus shots.

  2. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  3. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  4. Residual stress in the first wall coating materials of TiC and TiN for fusion reactor

    International Nuclear Information System (INIS)

    Qiu Shaoyu

    1997-01-01

    Residual stresses measurement in the first wall coating of a fusion reactor of TiC and TiN films by X-ray diffraction 'sin 2 ψ methods' were described. The authors have studied on the effect of conditions of specimen preparation (such as coating method, substrate materials, film thickness and deposition temperature) on the residual stress of TiC and TiN films coated onto Mo, 316LSS and Pocographite by chemical vapor deposition (CVD) and physical vapor deposition (PVD) method. All films prepared in this study were found to have a compressive stresses and the CVD method gave lower residual stress than PVD method. TiC film coated on Mo substrate at 1100 degree C by CVD method showed that residual stress as the film thickness was raised from 14 μm to 60 μm, on the other hand, residual stress by PVD method exhibited a high compressive stresses, this kind of stress was principally the intrinsic stress, and a marked decrease in the residual with raising the deposition temperature (200 degree C∼650 degree C) was demonstrated. Origins of the residual stress were discussed by correlation with differences between thermal expansion coefficients, and also with fabrication methods

  5. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Samal, Nigamananda; Du Hui; Luberoff, Russell; Chetry, Krishna; Bubber, Randhir; Hayes, Alan; Devasahayam, Adrian [Veeco Instruments, 1 Terminal Drive, Plainview, New York 11803 (United States)

    2013-01-15

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for the DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.

  6. Evaporation-Driven Deposition of ITO Thin Films from Aqueous Solutions with Low-Speed Dip-Coating Technique.

    Science.gov (United States)

    Ito, Takashi; Uchiyama, Hiroaki; Kozuka, Hiromitsu

    2017-05-30

    We suggest a novel wet coating process for preparing indium tin oxide (ITO) films from simple solutions containing only metal salts and water via evaporation-driven film deposition during low-speed dip coating. Homogeneous ITO precursor films were deposited on silica glass substrates from the aqueous solutions containing In(NO 3 ) 3 ·3H 2 O and SnCl 4 ·5H 2 O by dip coating at substrate withdrawal speeds of 0.20-0.50 cm min -1 and then crystallized by the heat treatment at 500-800 °C for 10-60 min under N 2 gas flow of 0.5 L min -1 . The ITO films heated at 600 °C for 30 min had a high optical transparency in the visible range and a good electrical conductivity. Multiple-coating ITO films obtained with five-times dip coating exhibited the lowest sheet (ρ S ) and volume (ρ V ) resistivities of 188 Ω sq -1 and 4.23 × 10 -3 Ω cm, respectively.

  7. Indium--tin oxide films radio frequency sputtered from specially formulated high density indium--tin oxide targets

    International Nuclear Information System (INIS)

    Kulkarni, S.; Bayard, M.

    1991-01-01

    High density ITO (indium--tin oxide) targets doped with Al 2 O 3 and SiO 2 manufactured in the Tektronix Ceramics Division have been used to rf sputter ITO films of various thicknesses on borosilicate glass substrates. Sputtering in an oxygen--argon gas mixture and annealing in forming gas, resulted in ITO films exhibiting 90% transmission at 550 nm and a sheet resistance of 15 Ω/sq for a thickness of 1100 A. Sputtering in an oxygen--argon gas mixture and annealing in air increased sheet resistance without a large effect on the transmission. Films sputtered in argon gas alone were transparent in the visible and the sheet resistance was found to be 100--180 Ω/sq for the same thickness, without annealing

  8. Novel composite cBN-TiN coating deposition method: structure and performance in metal cutting

    International Nuclear Information System (INIS)

    Russell, W.C.; Malshe, A.P.; Yedave, S.N.; Brown, W.D.

    2001-01-01

    Cubic boron nitride coatings are under development for a variety of applications but stabilization of the pure cBN form and adhesion of films deposited by PVD and ion-based methods has been difficult. An alternative method for depositing a composite cBN-TiN film has been developed for wear related applications. The coating is deposited in a two-stage process utilizing ESC (electrostatic spray coating) and CVI (chemical vapor infiltration). Fully dense films of cBN particles evenly dispersed in a continuous TiN matrix have been developed. Testing in metal cutting has shown an increase in tool life (turning - 4340 steel) of three to seven times, depending of machining parameters, in comparison with CVD deposited TiN films. (author)

  9. Effect of gas ratio on tribological properties of sputter deposited TiN coatings

    Energy Technology Data Exchange (ETDEWEB)

    Chavda, Mahesh R., E-mail: maheshchavda1990@gmail.com [Department of Mechanical Engineering, Dr. Jivraj Mehta Institute of Technology, Mogar-388340 (India); Chauhan, Kamlesh V.; Rawal, Sushant K., E-mail: sushantrawal.me@charusat.ac.in [CHAMOS Matrusanstha Department of Mechanical Engineering, Chandubhai S. Patel Institute of Technology, Charotar University of Science and Technology (CHARUSAT), Changa-388421 (India)

    2016-05-06

    Titanium nitride (TiN) coatings were deposited on Si, corning glass, pins of mild steel (MS, ϕ3mm), aluminium (Al, ϕ4mm) and brass (ϕ6mm) substratesby DC magnetron sputtering. The argon and nitrogen (Ar:N{sub 2})gas ratio was precisely controlled by Mass Flow Controller (MFC) and was varied systematically at diffract values of 10:10,12:08, 16:04 and 18:02sccm. The structural properties of TiN coatings were characterized by X-ray diffraction (XRD) and its surface topography was studied using field emission scanning electron microscopy (FE-SEM). The tribological properties of TiN coatings were investigated using pin-on-disc tribometer.

  10. Study on Optoelectronic Characteristics of Sn-Doped ZnO Thin Films on Poly(ethylene terephthalate) and Indium Tin Oxide/Poly(ethylene terephthalate) Flexible Substrates

    Science.gov (United States)

    Cheng, Chi-Hwa; Chen, Mi; Chiou, Chin-Lung; Liu, Xing-Yang; Weng, Lin-Song; Koo, Horng-Show

    2013-05-01

    Transparent conductive oxides of Sn-doped ZnO (SZO) films with doping weight ratios of 2.0, 3.0, 4.0, and 5.0 wt % have been deposited on indium tin oxide (ITO)/poly(ethylene terephthalate) (PET) and PET flexible substrates at room temperature by pulsed laser deposition (PLD). Resultant films of SZO on ITO/PET and PET flexible substrates are amorphous in phase. It is found that undoped and SZO films on ITO/PET is anomalously better than films on PET in optical transmittance in the range of longer wavelength, possibly due to the refraction index difference between SZO, ITO films, and PET substrates, Burstein-Moss effect and optical interference of SZO/ITO bilayer films and substrate materials, and furthermore resulting in the decrement of reflection. The lowest electrical resistivity (ρ) of 4.0 wt % SZO films on flexible substrates of PET and ITO/PET are 3.8×10-2 and ρ= 1.2×10-2 Ω.cm, respectively. It is found that electrical and optical properties of the resultant films are greatly dependent on various amount of Sn element doping effect and substrate material characteristics.

  11. Characterization of ZnO:SnO{sub 2} (50:50) thin film deposited by RF magnetron sputtering technique

    Energy Technology Data Exchange (ETDEWEB)

    Cynthia, S. R.; Sanjeeviraja, C.; Ponmudi, S. [Department of Physics, Alagappa Chettiar College of Engineering and Technology, Karaikudi-630004 (India); Sivakumar, R., E-mail: krsivakumar1979@yahoo.com [Directorate of Distance Education, Alagappa University, Karaikudi-630004 (India)

    2016-05-06

    Zinc oxide (ZnO) and tin oxide (SnO{sub 2}) thin films have attracted significant interest recently for use in optoelectronic application such as solar cells, flat panel displays, photonic devices, laser diodes and gas sensors because of their desirable electrical and optical properties and wide band gap. In the present study, thin films of ZnO:SnO{sub 2} (50:50) were deposited on pre-cleaned microscopic glass substrate by RF magnetron sputtering technique. The substrate temperature and RF power induced changes in structural, surface morphological, compositional and optical properties of the films have been studied.

  12. Fabrication of organic-inorganic perovskite thin films for planar solar cells via pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Yangang; Zhang, Xiaohang; Gong, Yunhui; Shin, Jongmoon; Wachsman, Eric D.; Takeuchi, Ichiro, E-mail: takeuchi@umd.edu [Department of Materials Science and Engineering, University of Maryland, College Park, Maryland 20740 (United States); Yao, Yangyi; Hsu, Wei-Lun; Dagenais, Mario [Department of Electrical and Computer Engineering, University of Maryland, College Park, Maryland 20740 (United States)

    2016-01-15

    We report on fabrication of organic-inorganic perovskite thin films using a hybrid method consisting of pulsed laser deposition (PLD) of lead iodide and spin-coating of methylammonium iodide. Smooth and highly crystalline CH{sub 3}NH{sub 3}PbI{sub 3} thin films have been fabricated on silicon and glass coated substrates with fluorine doped tin oxide using this PLD-based hybrid method. Planar perovskite solar cells with an inverted structure have been successfully fabricated using the perovskite films. Because of its versatility, the PLD-based hybrid fabrication method not only provides an easy and precise control of the thickness of the perovskite thin films, but also offers a straightforward platform for studying the potential feasibility in using other metal halides and organic salts for formation of the organic-inorganic perovskite structure.

  13. Fabrication of organic-inorganic perovskite thin films for planar solar cells via pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Yangang Liang

    2016-01-01

    Full Text Available We report on fabrication of organic-inorganic perovskite thin films using a hybrid method consisting of pulsed laser deposition (PLD of lead iodide and spin-coating of methylammonium iodide. Smooth and highly crystalline CH3NH3PbI3 thin films have been fabricated on silicon and glass coated substrates with fluorine doped tin oxide using this PLD-based hybrid method. Planar perovskite solar cells with an inverted structure have been successfully fabricated using the perovskite films. Because of its versatility, the PLD-based hybrid fabrication method not only provides an easy and precise control of the thickness of the perovskite thin films, but also offers a straightforward platform for studying the potential feasibility in using other metal halides and organic salts for formation of the organic-inorganic perovskite structure.

  14. OES control of a low-pressure DC arc at TiN layer deposition

    International Nuclear Information System (INIS)

    Andreev, M.A.; Maksimenko, V.N.; Ershov-Pavlov, E.A.

    1995-01-01

    Results are presented of a low-pressure DC arc study as applied for a deposition of TiN wear-resistant coatings in a commercial plant. Plasma parameters of the arc have been measured by optical emission spectroscopy. The plasma emission spectra have been recorded using a grating spectrometer equipped with an on line computer. Changes in the resulting layers due to a difference in working conditions have been determined by metallography and X-ray analysis giving composition, microstructure and thickness of the resulting layers. Using the data, a correlation between emission spectra of the arc and the TiN layer characteristics has been found. The results allow monitoring parameters of the deposition process to obtain necessary quality of the layer and to increase the process efficiency

  15. Spectroellipsometric study of the sol-gel nanocrystalline ITO multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Stoica, T.F.; Gartner, M.; Losurdo, M.; Teodorescu, V.; Blanchin, M.; Stoica, T.; Zaharescu, M

    2004-05-01

    Tin-doped indium oxide (ITO) thin films have been deposited by sol-gel process using 'sols' of indium and tin isopropoxides. The thickness of one deposited ITO layer is approximately 50 nm. The desired thickness was obtained by 1-5 successive depositions. The XTEM cross-sectional view of an ITO sample with five depositions showed a clear delimitation of the layers with an alternating structure dense/porous ITO layers. The void fraction in porous regions varies between 20 and 25%. Cubic bixbyite In{sub 2}O{sub 3} nanocrystals with size of 10-20 nm and no phases separation of tin oxide were observed. The optical properties of the films have been investigated by optical transmission and spectroscopic ellipsometry. Reliable optical constants and porosity are obtained only with the model of internal structure based on XTEM results.

  16. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  17. An Investigation of Structural and Electrical Properties of Nano Crystalline SnO2:Cu Thin Films Deposited by Spray Pyrolysis

    Directory of Open Access Journals (Sweden)

    J. Podder

    2011-11-01

    Full Text Available Pure tin oxide (SnO2 and Cu doped SnO2 thin films have been deposited onto glass substrates by a simple spray pyrolysis technique under atmospheric pressure at temperature 350 °C. The doping concentration of Cu was varied from 1 to 8 wt. % while all other deposition parameters such as spray rate, carrier air gas pressure, deposition time, and distance between spray nozzle to substrate were kept constant. Surface morphology of the as-deposited thin films has been studied by Scanning Electron Microscopy (SEM. The SEM micrograph of the films shows uniform deposition. The structural properties of the as-deposited and annealed thin films have been studied by XRD and the electrical characterization was performed by Van-der Pauw method. The as-deposited films are found polycrystalline in nature with tetragonal crystal structure. Average grain sizes of pure and Cu doped SnO2 thin film have been obtained in the range of 7.2445 Å to 6.0699 Å, which indicates the nanometric size of SnO2 grains developed in the film. The resistivity of SnO2 films was found to decrease initially from 4.5095×10−4 Ωm to 1.1395× 10−4 Ωm for concentration of Cu up to 4 % but it was increased further with increasing of Cu concentrations. The experimental results depict the suitability of this material for using as transparent and conducting window materials in solar cells and gas sensors.

  18. Effect of oxygen flow rate on ITO thin films deposited by facing targets sputtering

    International Nuclear Information System (INIS)

    Kim, Youn J.; Jin, Su B.; Kim, Sung I.; Choi, Yoon S.; Choi, In S.; Han, Jeon G.

    2010-01-01

    Tin-doped indium oxide (ITO) thin films were deposited on glass substrates at various oxygen flow rates using a planar magnetron sputtering system with facing targets. In this system, the strong internal magnets inside the target holders confine the plasma between the targets. High resolution transmission electron microscopy revealed a combination of amorphous and crystalline phases on the glass substrate. X-ray photoelectron spectroscopy suggested that the decrease in carrier concentration and increase in mobility were caused by a decrease in the concentration of Sn 4+ states. The electrical and optical properties of the ITO films were examined by Hall measurements and UV-visible spectroscopy, which showed a film resistivity and transmittance of 4.26 x l0 -4 Ω cm, and > 80% in the visible region, respectively.

  19. Electrochemical deposition of molybdenum sulfide thin films on conductive plastic substrates as platinum-free flexible counter electrodes for dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Chao-Kuang; Hsieh, Chien-Kuo, E-mail: jack_hsieh@mail.mcut.edu.tw

    2015-06-01

    In this study, pulsed electrochemical deposition (pulsed ECD) was used to deposit molybdenum sulfide (MoS{sub x}) thin films on indium tin oxide/polyethylene naphthalate (ITO/PEN) substrates as flexible counter electrodes (CEs) for dye-sensitized solar cells (DSSCs). The surface morphologies and elemental distributions of the prepared MoS{sub x} thin films were examined using field-emission scanning electron microscope (FE-SEM) equipped with energy-dispersive X-ray spectroscopy. The chemical states and crystallinities of the prepared MoS{sub x} thin films were examined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. The optical transmission (T (%)) properties of the prepared MoS{sub x} samples were determined by ultraviolet–visible spectrophotometry. Cyclic voltammetry (CV) and Tafel-polarization measurements were performed to analyze the electrochemical properties and catalytic activities of the thin films for redox reactions. The FE-SEM results showed that the MoS{sub x} thin films were deposited uniformly on the ITO/PEN flexible substrates via the pulsed ECD method. The CV and Tafel-polarization curve measurements demonstrated that the deposited MoS{sub x} thin films exhibited excellent performances for the reduction of triiodide ions. The photoelectric conversion efficiency (PCE) of the DSSC produced with the pulsed ECD MoS{sub x} thin-film CE was examined by a solar simulator. In combination with a dye-sensitized TiO{sub 2} working electrode and an iodine-based electrolyte, the DSSC with the MoS{sub x} flexible CE showed a PCE of 4.39% under an illumination of AM 1.5 (100 mW cm{sup −2}). Thus, we report that the MoS{sub x} thin films are active catalysts for triiodide reduction. The MoS{sub x} thin films are prepared at room temperature and atmospheric pressure and in a simple and rapid manner. This is an important practical contribution to the production of flexible low-cost thin-film CEs based on plastic substrates. The MoS{sub x

  20. Laser deposition of SnO2 thin films by continuous CO2 laser and their characterizations

    International Nuclear Information System (INIS)

    Kayed, K.; Awad, F.; Saiof, F.

    2003-01-01

    There are wide uses of tin oxide thin films, especially in the field of transparent conductors, solar cells, gas sensors and piezoelectric materials. Laser deposition is considered one of the most important techniques followed to obtain these films. In this research, we developed a technique to obtain homogeneous thin films of tin oxide depending on vaporization of pile targets of this oxide by continuous CO 2 laser in the atmosphere, with a fan which guarantees obtaining homogenous films. Some of these films were annealed in different conditions. The optical microscope images revealed the presence of high degree of homogeneity, while the x-ray study showed different crystallization grain orientations which depend on the preparation conditions. The preferred direction is (110). The optical absorption gives information about the value of the effective band gal for the samples before and after thermal annealing. We have found that some films E g =3.2 eV before annealing, and after long annealing they have E g =1.3 eV. In addition, the hard annealed thin films reveal anisotropy in the optical and electrical characteristics, they have different absorption coefficients in two perpendicular directions, also there is an electrical resistance anisotropy along these two directions especially after hard annealing. The E b was 0.73 eV before annealing, it became 0.37 eV for one direction and 0.32 eV for the other direction. (Authors)

  1. Influence of O2 Flux on Compositions and Properties of ITO Films Deposited at Room Temperature by Direct-Current Pulse Magnetron Sputtering

    International Nuclear Information System (INIS)

    Wang Hua-Lin; Ding Wan-Yu; Liu Chao-Qian; Chai Wei-Ping

    2010-01-01

    Indium tin oxide (ITO) films were deposited on glass substrates at room temperature by dc pulse magnetron sputtering. Varying O 2 flux, ITO films with different properties are obtained. Both x-ray diffractometer and x-ray photoelectron spectrometer are used to study the change of crystalline structures and bonding structures of ITO films, respectively. Electrical properties are measured by four-point probe measurements. The results indicate that the chemical structures and compositions of ITO films strongly depend on the O 2 flux. With increasing O 2 flux, ITO films display better crystallization, which could decrease the resistivity of films. On the contrary, ITO films contain less O vacancies with increasing O 2 flux, which could worsen the conductive properties of films. Without any heat treatment onto the samples, the resistivity of the ITO film could reach 6.0 × 10 −4 Ω ·cm, with the optimal deposition parameter of 0.2 sccm O 2 flux. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  2. Mn-implanted, polycrystalline indium tin oxide and indium oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Vinnichenko, Mykola; Xu Qingyu; Buerger, Danilo; Zhou Shengqiang; Kolitsch, Andreas; Grenzer, Joerg; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Polycrystalline conducting, ca. 250 nm thick indium tin oxide (ITO) and indium oxide (IO) films grown on SiO 2 /Si substrates using reactive magnetron sputtering, have been implanted with 1 and 5 at.% of Mn, followed by annealing in nitrogen or in vacuum. The effect of the post-growth treatment on the structural, electrical, magnetic, and optical properties has been studied. The roughness of implanted films ranges between 3 and 15 nm and XRD measurements revealed a polycrystalline structure. A positive MR has been observed for Mn-implanted and post-annealed ITO and IO films. It has been interpreted by considering s-d exchange. Spectroscopic ellipsometry has been used to prove the existence of midgap electronic states in the Mn-implanted ITO and IO films reducing the transmittance below 80%.

  3. Study of optical characteristics of tin oxide thin film prepared by sol

    Indian Academy of Sciences (India)

    –gel process. The film was synthesized on a glass (Corning 7059) plate by dip coating method. Here, we used tin (II) chloride as precursor and methanol as solvent. Optical characteristics and physical properties like refractive index, absorption ...

  4. Indium tin oxide thin-films prepared by vapor phase pyrolysis for efficient silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Simashkevich, Alexei, E-mail: alexeisimashkevich@hotmail.com [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Serban, Dormidont; Bruc, Leonid; Curmei, Nicolai [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Hinrichs, Volker [Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany); Rusu, Marin [Institute of Applied Physics, 5 Academiei str., Chisinau, MD-2028, Republic of Moldova (Moldova, Republic of); Institut für Heterogene Materialsysteme, Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Lise-Meitner Campus, Hahn-Meitner-Platz 1, 14109 Berlin (Germany)

    2016-07-01

    The vapor phase pyrolysis deposition method was developed for the preparation of indium tin oxide (ITO) thin films with thicknesses ranging between 300 and 400 nm with the sheet resistance of 10–15 Ω/sq. and the transparency in the visible region of the spectrum over 80%. The layers were deposited on the (100) surface of the n-type silicon wafers with the charge carriers concentration of ~ 10{sup 15} cm{sup −3}. The morphology of the ITO layers deposited on Si wafers with different surface morphologies, e.g., smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) was investigated. The as-deposited ITO thin films consist of crystalline columns with the height of 300–400 nm and the width of 50–100 nm. Photovoltaic parameters of mono- and bifacial solar cells of Cu/ITO/SiO{sub 2}/n–n{sup +} Si/Cu prepared on Si (100) wafers with different surface structures were studied and compared. A maximum efficiency of 15.8% was achieved on monofacial solar cell devices with the textured Si surface. Bifacial photovoltaic devices from 100 μm thick Si wafers with the smooth surface have demonstrated efficiencies of 13.0% at frontal illumination and 10% at rear illumination. - Highlights: • ITO thin films prepared by vapor phase pyrolysis on Si (100) wafers with a smooth (polished), rough (irregularly structured) and textured (by inversed pyramids) surface. • Monofacial ITO/SiO2/n-n+Si solar cells with an efficiency of 15.8% prepared and bifacial PV devices with front- and rear-side efficiencies up to 13% demonstrated. • Comparative studies of photovoltaic properties of solar cells with different morphologies of the Si wafer surface presented.

  5. Pulsed Laser Deposition Processing of Improved Titanium Nitride Coatings for Implant Applications

    Science.gov (United States)

    Haywood, Talisha M.

    Recently surface coating technology has attracted considerable attention of researchers to develop novel coatings with enhanced functional properties such as hardness, biocompatibility, wear and corrosion resistance for medical devices and surgical tools. The materials currently being used for surgical implants include predominantly stainless steel (316L), cobalt chromium (Co-Cr), titanium and its alloys. Some of the limitations of these implants include improper mechanical properties, corrosion resistance, cytotoxicity and bonding with bone. One of the ways to improve the performance and biocompatibility of these implants is to coat their surfaces with biocompatible materials. Among the various coating materials, titanium nitride (TiN) shows excellent mechanical properties, corrosion resistance and low cytotoxicity. In the present work, a systematic study of pulsed laser ablation processing of TiN coatings was conducted. TiN thin film coatings were grown on commercially pure titanium (Ti) and stainless steel (316L) substrates at different substrate temperatures and different nitrogen partial pressures using the pulsed laser deposition (PLD) technique. Microstructural, surface, mechanical, chemical, corrosion and biological analysis techniques were applied to characterize the TiN thin film coatings. The PLD processed TiN thin film coatings showed improvements in mechanical strength, corrosion resistance and biocompatibility when compared to the bare substrates. The enhanced performance properties of the TiN thin film coatings were a result of the changing and varying of the deposition parameters.

  6. Corrosion-resistant titanium nitride coatings formed on stainless steel by ion-beam-assisted deposition

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    1994-01-01

    Titanium films 70nm thick were deposited on austenitic type 316L stainless steel substrates, and these specimens were irradiated with titanium ions of energy 70kV at a fluence of 1x10 17 ioncm -2 , using a metal vapor vacuum arc (MEVVA) IV metallic ion source at room temperature. After irradiation, titanium nitride (TiN) films were deposited by titanium evaporation and simultaneous irradiation by a nitrogen ion beam, with transport ratios of Ti to N atoms from 0.5 to 10.0 and an ion acceleration voltage of 2kV. The preferred orientation of the TiN films varied from left angle 200 right angle to left angle 111 right angle normal to the surface when the transport ratio was increased. With the help of Auger electron spectroscopy, interfacial mixing was verified. Nitrogen atoms were present in the state of titanium nitride for all transport ratios from 0.5 up to 10.0. However, the chemical bonding state of titanium changed from titanium nitride to the metallic state with increasing transport ratio Ti/N. The corrosion behavior was evaluated in an aqueous solution of sulfuric acid saturated with oxygen, using multisweep cyclic voltammetry measurements. Thin film deposition of pure titanium and titanium implantation prior to TiN deposition have beneficial effects on the suppression of transpassive chromium dissolution. ((orig.))

  7. Test for the presence of long-ranged Coulomb interactions in thin TiN films near the superconductor-insulator transition

    Energy Technology Data Exchange (ETDEWEB)

    Kronfeldner, Klaus; Strunk, Christoph [Institute for Experimental and Applied Physics, University of Regensburg (Germany); Baturina, Tatyana [A.V. Rzhanov Institute of Semiconductor Physics, SB RAS (Russian Federation)

    2016-07-01

    We have measured the conductance of square shaped TiN films on the superconducting and the insulating side of the superconductor/insulator transition. The conductance shows thermally activated behaviour with an activation energy k{sub B}T{sub 0}(L) ∝ lnL, with L being the lateral size of the squares. Such behavior is consistent with 2D long-ranged Coulomb interactions with a large electrostatic screening length Λ ≅ 200 μm. To independently test whether long ranged Coulomb interactions can be responsible for the observed size dependence we compare R(T,B) of a large TiN film in the critical region with and without a screening Pd layer in a distance t ∼ 60 nm to the TiN film. The screening Pd-layer is expected to reduce the activation energy from ∝ ln [min(L,Λ)] to ∝ ln(t) and the thermally activated resistance in films with L >or similar Λ by the large number Λ/t ≅ 3000. In contrast, our experiment showed no significant reduction of R(T) and T{sub 0}. This suggests that the measured size dependent conductance of our TiN film is not related to long-ranged Coulomb interactions.

  8. Ac conductivity and dielectric spectroscopy studies on tin oxide thin films formed by spray deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barış, Behzad, E-mail: behzadbaris@gmail.com

    2014-04-01

    Au/tin oxide/n-Si (1 0 0) structure has been created by forming a tin oxide (SnO{sub 2}) on n-type Si by using the spray deposition technique. The ac electrical conductivity (σ{sub ac}) and dielectric properties of the structure have been investigated between 30 kHz and 1 MHz at room temperature. The values of ε', ε″, tanδ, σ{sub ac}, M' and M″ were determined as 1.404, 0.357, 0.253, 1.99×10{sup −7} S/cm, 0.665 and 0.168 for 1 MHz and 6.377, 6.411, 1.005, 1.07×10{sup −7} S/cm, 0.077 and 0.078 for 30 kHz at zero bias, respectively. These changes were attributed to variation of the charge carriers from the interface traps located between semiconductor and metal in the band gap. It is concluded that the values of the ε', ε″ and tanδ increase with decreasing frequency while a decrease is seen in σ{sub ac} and the real (M') and imaginary (M″) components of the electrical modulus. The M″ parameter of the structure has a relaxation peak as a function of frequency for each examined voltage. The relaxation time of M″(τ{sub M″}) varies from 0.053 ns to 0.018 ns with increasing voltage. The variation of Cole–Cole plots of the sample shows that there is one relaxation.

  9. Transparent heaters based on solution-processed indium tin oxide nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Im, Kiju [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-dong, Sungbuk-gu, Seoul 136-701 (Korea, Republic of); Research Institute of TNB Nanoelec Co. Ltd., Seoul 136-701 (Korea, Republic of); Cho, Kyoungah [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-dong, Sungbuk-gu, Seoul 136-701 (Korea, Republic of); Kim, Jonghyun [Research Institute of TNB Nanoelec Co. Ltd., Seoul 136-701 (Korea, Republic of); Kim, Sangsig, E-mail: sangsig@korea.ac.k [Department of Electrical Engineering and Institute for Nano Science, Korea University, 5-1, Anam-dong, Sungbuk-gu, Seoul 136-701 (Korea, Republic of)

    2010-05-03

    We demonstrate transparent heaters constructed on glass substrates using solution-processed indium tin oxide (ITO) nanoparticles (NPs) and their heating capability. The heat-generating characteristics of the heaters depended significantly on the sintering temperature at which the ITO NPs deposited on a glass substrate by spin-coating were transformed thermally into a solid film. The steady-state temperature of the ITO NP film sintered at 400 {sup o}C was 163 {sup o}C at a bias voltage of 20 V, and the defrosting capability of the film was confirmed by using dry-ice.

  10. The effects of Ti implantation on corrosion and adhesion of TiN coated stainless steel

    Science.gov (United States)

    Baba, K.; Nagata, S.; Hatada, R.; Daikoku, T.; Hasaka, M.

    1993-06-01

    Thin titanium nitride (TiN) films of 40 and 70 nm in thickness were deposited on austenitic-type 304 stainless steel substrates by a rf ion plating process, and these specimens were irradiated with 70 kV titanium ions at a fluence of 1 × 10 17/cm 2 by use of MEVVA IV metallic ion source at room temperature. After that TiN films of 2 μm were deposited by the same method. The results of X-ray photoelectron spectroscopy and Auger electron spectroscopy revealed that implanted titanium penetrated into the substrate and interfacial mixing was verified. The adhesion strength was estimated by a scratch test. It was found that ion implantation can enhance the adhesion strength between the film and the substrate. The corrosion resistance of the specimens was evaluated in aqueous solutions of sulfuric acid by an electrochemical method. Titanium implantation was extremely effective in suppressing the anodic dissolution of stainless steel.

  11. Electroless deposition of NiCrB diffusion barrier layer film for ULSI-Cu metallization

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yuechun [School of Materials Science and Engineering, Yunnan University, Kunming (China); Chen, Xiuhua, E-mail: chenxh@ynu.edu.cn [School of Materials Science and Engineering, Yunnan University, Kunming (China); Ma, Wenhui [National Engineering Laboratory of Vacuum Metallurgy, Kunming University of Science and Technology, Kunming (China); Shang, Yudong; Lei, Zhengtao; Xiang, Fuwei [School of Materials Science and Engineering, Yunnan University, Kunming (China)

    2017-02-28

    Highlights: • In this paper, the electroless deposited NiCrB thin film was mainly in the form of NiB, CrB{sub 2} compounds and elementary Ni. • The sheet resistance of NiCrB thin film was 3.043 Ω/□, it is smaller than that of the widely used Ta, TaN and TiN diffusion barrier layers. • Annealing experiments showed that the failure temperature of NiCrB thin film regarding Cu diffusion was 900 °C. • NiCrB barrier layer crystallized after 900 °C annealing, Cu grains arrived at Si-substrate through grain boundaries, resulting in the formation of Cu{sub 3}Si. • Eelectroless deposited NiCrB film also had good oxidation resistance, it is expected to become an anti-oxidant layer of copper interconnection. - Abstract: NiCrB films were deposited on Si substrates using electroless deposition as a diffusion barrier layer for Cu interconnections. Samples of the prepared NiCrB/SiO{sub 2}/Si and NiCrB/Cu/NiCrB/SiO{sub 2}/Si were annealed at temperatures ranging from 500 °C to 900 °C. The reaction mechanism of the electroless deposition of the NiCrB film, the failure temperature and the failure mechanism of the NiCrB diffusion barrier layer were investigated. The prepared samples were subjected to XRD, XPS, FPP and AFM to determine the phases, composition, sheet resistance and surface morphology of samples before and after annealing. The results of these analyses indicated that the failure temperature of the NiCrB barrier film was 900 °C and the failure mechanism led to crystallization and grain growth of the NiCrB barrier layer after high temperature annealing. It was found that this process caused Cu grains to reach Si substrate through the grain boundaries, and then the reaction between Cu and Si resulted in the formation of highly resistive Cu{sub 3}Si.

  12. Thermal transport properties of polycrystalline tin-doped indium oxide films

    International Nuclear Information System (INIS)

    Ashida, Toru; Miyamura, Amica; Oka, Nobuto; Sato, Yasushi; Shigesato, Yuzo; Yagi, Takashi; Taketoshi, Naoyuki; Baba, Tetsuya

    2009-01-01

    Thermal diffusivity of polycrystalline tin-doped indium oxide (ITO) films with a thickness of 200 nm has been characterized quantitatively by subnanosecond laser pulse irradiation and thermoreflectance measurement. ITO films sandwiched by molybdenum (Mo) films were prepared on a fused silica substrate by dc magnetron sputtering using an oxide ceramic ITO target (90 wt %In 2 O 3 and 10 wt %SnO 2 ). The resistivity and carrier density of the ITO films ranged from 2.9x10 -4 to 3.2x10 -3 Ω cm and from 1.9x10 20 to 1.2x10 21 cm -3 , respectively. The thermal diffusivity of the ITO films was (1.5-2.2)x10 -6 m 2 /s, depending on the electrical conductivity. The thermal conductivity carried by free electrons was estimated using the Wiedemann-Franz law. The phonon contribution to the heat transfer in ITO films with various resistivities was found to be almost constant (λ ph =3.95 W/m K), which was about twice that for amorphous indium zinc oxide films

  13. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.

    2014-04-14

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications.

  14. Bulk heterojunction formation between indium tin oxide nanorods and CuInS2 nanoparticles for inorganic thin film solar cell applications.

    Science.gov (United States)

    Cho, Jin Woo; Park, Se Jin; Kim, Jaehoon; Kim, Woong; Park, Hoo Keun; Do, Young Rag; Min, Byoung Koun

    2012-02-01

    In this study, we developed a novel inorganic thin film solar cell configuration in which bulk heterojunction was formed between indium tin oxide (ITO) nanorods and CuInS(2) (CIS). Specifically, ITO nanorods were first synthesized by the radio frequency magnetron sputtering deposition method followed by deposition of a dense TiO(2) layer and CdS buffer layer using atomic layer deposition and chemical bath deposition method, respectively. The spatial region between the nanorods was then filled with CIS nanoparticle ink, which was presynthesized using the colloidal synthetic method. We observed that complete gap filling was achieved to form bulk heterojunction between the inorganic phases. As a proof-of-concept, solar cell devices were fabricated by depositing an Au electrode on top of the CIS layer, which exhibited the best photovoltaic response with a V(oc), J(sc), FF, and efficiency of 0.287 V, 9.63 mA/cm(2), 0.364, and 1.01%, respectively.

  15. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  16. Improved optical response and photocatalysis for N-doped titanium oxide (TiO2) films prepared by oxidation of TiN

    International Nuclear Information System (INIS)

    Wan, L.; Li, J.F.; Feng, J.Y.; Sun, W.; Mao, Z.Q.

    2007-01-01

    In order to improve the photocatalytic activity, N-doped titanium oxide (TiO 2 ) films were obtained by thermal oxidation of TiN films, which were prepared on Ti substrates by ion beam assisted deposition (IBAD). The dominating rutile TiO 2 phase was found in films after thermal oxidation. According to the results of X-ray photoelectron spectroscopy (XPS), the residual N atoms occupied O-atom sites in TiO 2 lattice to form Ti-O-N bonds. UV-vis spectra revealed the N-doped TiO 2 film had a red shift of absorption edge. The maximum red shift was assigned to the sample annealed at 750 deg. C, with an onset wavelength at 600 nm. The onset wavelength corresponded to the photon energy of 2.05 eV, which was nearly 1.0 eV below the band gap of pure rutile TiO 2 . The effect of nitrogen was responsible for the enhancement of photoactivity of N-doped TiO 2 films in the range of visible light

  17. Structural and optical properties of zirconia thin films deposited by reactive high-power impulse magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Xiaoli; Jin, Jie [Tianjin University, School of Electronic Information Engineering, Tianjin (China); Cheng, Jui-Ching, E-mail: juiching@ntut.edu.tw [Chang-Gung University, Department of Electronics, Taoyuan, Taiwan (China); Lee, Jyh-Wei [Ming Chi University of Technology, College of Materials Engineering, New Taipei City, Taiwan (China); Wu, Kuo-Hong [Chang-Gung University, Department of Electronics, Taoyuan, Taiwan (China); Lin, Kuo-Cheng; Tsai, Jung-Ruey [Asia University, Department of Photonics and Communication Engineering, Taichung, Taiwan (China); Liu, Kou-Chen, E-mail: jacobliu@mail.cgu.edu.tw [Chang-Gung University, Department of Electronics, Taoyuan, Taiwan (China)

    2014-11-03

    Zirconia films are deposited by reactive high power impulse magnetron sputtering (HiPIMS) technology on glass and indium-tin-oxide (ITO)/glass substrates. Preparation, microstructure and optical characteristics of the films have been studied. During deposition, the influence of the target power and duty cycle on the peak current–voltage and power density has been observed in oxide mode. Transparent thin films under different oxygen proportions are obtained on the two substrates. Atomic force microscopy measurements showed that the surface roughness of the films was lower by reactive HiPIMS than DC sputtering for all oxygen contents. The transmission and reflectance properties of differently grown zirconia films were also investigated using an ultraviolet–visible spectrophotometer. The optical transmittance of films grown on glass substrates by HiPIMS reached maximum values above 90%, which exceeded that by DC sputtering. The band edge near 5.86 eV shifted to a lower wavelength for zirconia films prepared with oxygen flow rates lower than 4.5 sccm. For the films prepared on ITO/glass substrates, the transmittance and the band gap of zirconia films were limited by ITO films; a maximum average transmittance of 84% was obtained at 4.5 sccm O{sub 2} and the energy band gap was in the range of 3.7–3.8 eV for oxygen flow rates ranging from 3.5 to 5.0 sccm. Finally, the electrical properties of zirconia films have also been discussed. - Highlights: • Zirconia films are deposited by reactive high power impulse magnetron sputtering. • Low roughness films are obtained. • Films show a high transmittance (> 90%). • Films prepared on glass have a band gap of 5.9 eV.

  18. Enhancement of the light-scattering ability of Ga-doped ZnO thin films using SiO{sub x} nano-films prepared by atmospheric pressure plasma deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Kow-Ming [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Ho, Po-Ching, E-mail: raymondsam.ee98g@nctu.edu.tw [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Ariyarit, Atthaporn [Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, 1001 Ta Hsueh Road, Hsinchu 30010, Taiwan, ROC (China); Yang, Kuo-Hui; Hsu, Jui-Mei; Wu, Chin-Jyi; Chang, Chia-Chiang [Industrial Technology Research Institute, Mechanical and Systems Research Laboratories, Hsinchu 31040, Taiwan, ROC (China)

    2013-12-02

    To enhance the light-trapping qualities of silicon thin-film solar cells, the use of transparent conductive oxide with high haze and high conductivity is essential. This study investigated an eco-friendly technique that used bilayer Ga-doped zinc oxide/SiO{sub x} films prepared with an atmospheric pressure plasma jet to achieve high haze and low resistivity. A minimum resistivity of 6.00 × 10{sup −4} Ω·cm was achieved at 8 at.% gallium doping. Examination of X-ray diffraction spectra showed that increased film thickness led to increased carrier concentration in GZO bilayers. The optimal bilayer GZO film achieved considerably higher haze values in the visible and NIR regions, compared with Asahi U-type fluorine doped tin oxide. - Highlights: • Ga-doped ZnO (GZO) and SiO{sub x} deposited by atmospheric pressure plasma jet (APPJ) • Deposition uses a water-based precursor and low substrate temperature (< 150 °C). • SiO{sub x} buffer layers deposited by APPJ can control haze value of Ga-doped ZnO films. • GZO/SiO{sub x} achieved the resistivity of 6.00 × 10{sup −4} Ω·cm and haze of 21.5% at 550 nm.

  19. Thin porous indium tin oxide nanoparticle films: effects of annealing in vacuum and air

    International Nuclear Information System (INIS)

    Ederth, J.; Hultaaker, A.; Niklasson, G.A.; Granqvist, C.G.; Heszler, P.; Doorn, A.R. van; Jongerius, M.J.; Burgard, D.

    2005-01-01

    Electrical and optical properties were investigated in porous thin films consisting of In 2 O 3 :Sn (indium tin oxide; ITO) nanoparticles. The temperature-dependent resistivity was successfully described by a fluctuation-induced tunneling model, indicating a sample morphology dominated by clusters of ITO nanoparticles separated by insulating barriers. An effective-medium model, including the effect of ionized impurity scattering, was successfully fitted to measured reflectance and transmittance. Post-deposition treatments were carried out at 773 K for 2 h in both air and vacuum. It is shown that vacuum annealing increases either the barrier width or the area between two conducting clusters in the samples and, furthermore, an extra optical absorption occurs close to the band gap. A subsequent air annealing then reduces the effect of the barriers on the electrical properties and diminishes the absorption close to the band gap. (orig.)

  20. The Preparation and Property of Graphene /Tin Oxide Transparent Conductive Film

    Directory of Open Access Journals (Sweden)

    SUN Tao

    2017-02-01

    Full Text Available Graphene doped tin oxide composites were prepared with SnCIZ·2HZ 0 and graphene oxide as raw materials with sol-gel method and then spincoated on the quartz glass to manufacture a new transparent conductive film. The composite film was characterized with X-ray diffraction(XRDand scanning electron microscopy(SEM analysis. XRD results show that the graphene oxide was successfully prepared with Hummers method. The graphene layers and particulate SnOZ can be clearly observed in SEM photos. The transmittance and conductivity of the thin films were tested with ultraviolet visible spectrophotometer and Hall effect measurement. The results show that the transmittivity of composite film in visible region is more than 90% and surface square resistance is 41 S2/口.The graphene/ SnOZ film exhibits a higher performance in transparence and conductivity than commercial FTO glass.

  1. Investigation of TiN thin film oxidation depending on the substrate temperature at vacuum break

    Energy Technology Data Exchange (ETDEWEB)

    Piallat, Fabien, E-mail: fabien.piallat@gmail.com [STMicroelectronics, 850 rue Jean Monnet, 38920 Crolles (France); CEA, LETI, Campus Minatec, F-38054 Grenoble (France); LTM-CNRS, 17 rue des Martyrs, 38054 Grenoble (France); Gassilloud, Remy [CEA, LETI, Campus Minatec, F-38054 Grenoble (France); Caubet, Pierre [STMicroelectronics, 850 rue Jean Monnet, 38920 Crolles (France); Vallée, Christophe [LTM-CNRS, 17 rue des Martyrs, 38054 Grenoble (France)

    2016-09-15

    Due to the reduction of the thickness of the layers used in the advanced technology nodes, there is a growing importance of the surface phenomena in the definition of the general properties of the materials. One of the least controlled and understood phenomenon is the oxidation of metals after deposition, at the vacuum break. In this study, the influence of the sample temperature at vacuum break on the oxidation level of TiN deposited by metalorganic chemical vapor deposition is investigated. TiN resistivity appears to be lower for samples which underwent vacuum break at high temperature. Using X-ray photoelectron spectrometry analysis, this change is correlated to the higher oxidation of the TiN layer. Moreover, angle resolved XPS analysis reveals that higher is the temperature at the vacuum break, higher is the surface oxidation of the sample. This surface oxidation is in turn limiting the diffusion of oxygen in the volume of the layer. Additionally, evolution of TiN layers resistivity was monitored in time and it shows that resistivity increases until a plateau is reached after about 10 days, with the lowest temperature at vacuum break resulting in the highest increase, i.e., the resistivity of the sample released to atmosphere at high temperature increased by a factor 1.7 whereas the resistivity of the sample cooled down under vacuum temperature increased by a factor 2.7.

  2. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  3. Growth feature of ionic nitrogen doped CN_x bilayer films with Ti and TiN interlayer by pulse cathode arc discharge

    International Nuclear Information System (INIS)

    Zhou, Bing; Liu, Zhubo; Piliptsou, D.G.; Rogachev, A.V.; Yu, Shengwang; Wu, Yanxia; Tang, Bin; Rudenkov, A.S.

    2016-01-01

    Graphical abstract: - Highlights: • Ti/ and TiN/CN_x (N"+) bilayers are prepared at various frequencies by pulse cathode arc. • Ti interlayer facilitates the introduction of N atoms into the CN_x (N"+) films. • The most N-sp"2C bonds (mainly graphite-like N) present in the TiN/CN_x (N"+, 3 Hz) film. • Ti/CN_x (N"+, 3 Hz) bilayer possesses small size and disordering of Csp"2 clusters. • The higher hardness and the lower stress presents in the TiN/CN_x (N"+, 10 Hz) bilayer. - Abstract: Using nano-scaled Ti and TiN as interlayer, ionic nitrogen doped carbon (CN_x (N"+)) bilayer films were prepared at various pulse frequencies by cathode arc technique. Elemental distribution at the interface, bonding compositions, microstructure, and mechanical properties of CN_x (N"+) bilayer films were investigated in dependence of interlayer and pulse frequency by Auger electron spectroscopy, X-ray photoelectron spectroscopy, Raman spectroscopy, nanoindentation, and surface profilometer. The results showed that the diffusion extent of C atoms at the interface of CN_x (N"+) bilayers is higher than for the α-C and CN_x (N_2) bilayers with the same interlayer. Nitrogen atoms could diffuse throughout the pre-deposited Ti and TiN layers into the Si substrate for all CN_x (N"+) bilayers. Ti interlayer facilitates the introduction of N atoms into the CN_x (N"+) films and exhibits a certain catalytic effect on the coordination of N atoms with sp"2- and sp"3-C binding. More nitrogenated and intense CN bonding configurations (mainly graphite-like N) form in the TiN/CN_x (N"+) bilayer. Ti/CN_x (N"+) bilayer prepared at low frequency possesses small size and disordering of Csp"2 clusters but TiN interlayer weakens the formation of Csp"2 bonding and increases the disordering of Csp"2 clusters in the films. The residual stress in the bilayer is lower than for CN_x (N"+) monolayer. The higher hardness and the lower residual stress are present in the TiN/CN_x (N"+, 10 Hz) bilayer.

  4. Large-Grain Tin-Rich Perovskite Films for Efficient Solar Cells via Metal Alloying Technique.

    Science.gov (United States)

    Tavakoli, Mohammad Mahdi; Zakeeruddin, Shaik Mohammed; Grätzel, Michael; Fan, Zhiyong

    2018-03-01

    Fast research progress on lead halide perovskite solar cells has been achieved in the past a few years. However, the presence of lead (Pb) in perovskite composition as a toxic element still remains a major issue for large-scale deployment. In this work, a novel and facile technique is presented to fabricate tin (Sn)-rich perovskite film using metal precursors and an alloying technique. Herein, the perovskite films are formed as a result of the reaction between Sn/Pb binary alloy metal precursors and methylammonium iodide (MAI) vapor in a chemical vapor deposition process carried out at 185 °C. It is found that in this approach the Pb/Sn precursors are first converted to (Pb/Sn)I 2 and further reaction with MAI vapor leads to the formation of perovskite films. By using Pb-Sn eutectic alloy, perovskite films with large grain sizes up to 5 µm can be grown directly from liquid phase metal. Consequently, using an alloying technique and this unique growth mechanism, a less-toxic and efficient perovskite solar cell with a power conversion efficiency (PCE) of 14.04% is demonstrated, while pure Sn and Pb perovskite solar cells prepared in this manner yield PCEs of 4.62% and 14.21%, respectively. It is found that this alloying technique can open up a new direction to further explore different alloy systems (binary or ternary alloys) with even lower melting point. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Pulsed laser deposition of semiconductor-ITO composite films on electric-field-applied substrates

    International Nuclear Information System (INIS)

    Narazaki, Aiko; Sato, Tadatake; Kawaguchi, Yoshizo; Niino, Hiroyuki; Yabe, Akira; Sasaki, Takeshi; Koshizaki, Naoto

    2002-01-01

    The DC electric-field effect on the crystallinity of II-VI semiconductor in composite systems has been investigated for CdS-ITO films fabricated via alternative pulsed laser deposition (PLD) of CdS and indium tin oxide (ITO) on electric-field-applied substrates. The alternative laser ablation was performed under irradiation of ArF excimer laser in mixture gas of helium and oxygen. The application of electric-field facilitated the preferential crystal-growth of CdS in nanometer scale at low pressure, whereas all the films grown without the field were amorphous. There is a large difference in the crystallization between the films grown on field-applied and heated substrates; the latter showed the crystal-growth with random orientations. This difference indicates that the existence of electric-field has an influence on the transformation from amorphous to crystalline phase of CdS. The driving force for the field-induced crystallization is also discussed in the light of the Joule heat

  6. Record mobility in transparent p-type tin monoxide films and devices by phase engineering

    KAUST Repository

    Caraveo-Frescas, Jesus Alfonso

    2013-06-25

    Here, we report the fabrication of nanoscale (15 nm) fully transparent p-type SnO thin film transistors (TFT) at temperatures as low as 180 C with record device performance. Specifically, by carefully controlling the process conditions, we have developed SnO thin films with a Hall mobility of 18.71 cm2 V-1 s-1 and fabricated TFT devices with a linear field-effect mobility of 6.75 cm2 V-1 s -1 and 5.87 cm2 V-1 s-1 on transparent rigid and translucent flexible substrates, respectively. These values of mobility are the highest reported to date for any p-type oxide processed at this low temperature. We further demonstrate that this high mobility is realized by careful phase engineering. Specifically, we show that phase-pure SnO is not necessarily the highest mobility phase; instead, well-controlled amounts of residual metallic tin are shown to substantially increase the hole mobility. A detailed phase stability map for physical vapor deposition of nanoscale SnO is constructed for the first time for this p-type oxide. © 2013 American Chemical Society.

  7. Low-temperature growth and electronic structures of ambipolar Yb-doped zinc tin oxide transparent thin films

    Science.gov (United States)

    Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William

    2018-05-01

    The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.

  8. Large ferroelectric polarization of TiN/Hf0.5Zr0.5O2/TiN capacitors due to stress-induced crystallization at low thermal budget

    Science.gov (United States)

    Kim, Si Joon; Narayan, Dushyant; Lee, Jae-Gil; Mohan, Jaidah; Lee, Joy S.; Lee, Jaebeom; Kim, Harrison S.; Byun, Young-Chul; Lucero, Antonio T.; Young, Chadwin D.; Summerfelt, Scott R.; San, Tamer; Colombo, Luigi; Kim, Jiyoung

    2017-12-01

    We report on atomic layer deposited Hf0.5Zr0.5O2 (HZO)-based capacitors which exhibit excellent ferroelectric (FE) characteristics featuring a large switching polarization (45 μC/cm2) and a low FE saturation voltage (˜1.5 V) as extracted from pulse write/read measurements. The large FE polarization in HZO is achieved by the formation of a non-centrosymmetric orthorhombic phase, which is enabled by the TiN top electrode (TE) having a thickness of at least 90 nm. The TiN films are deposited at room temperature and annealed at 400 °C in an inert environment for at least 1 min in a rapid thermal annealing system. The room-temperature deposited TiN TE acts as a tensile stressor on the HZO film during the annealing process. The stress-inducing TiN TE is shown to inhibit the formation of the monoclinic phase during HZO crystallization, forming an orthorhombic phase that generates a large FE polarization, even at low process temperatures.

  9. International strategic minerals inventory summary report; tin

    Science.gov (United States)

    Sutphin, D.M.; Sabin, A.E.; Reed, B.L.

    1990-01-01

    The International Strategic Minerals Inventory tin inventory contains records for 56 major tin deposits and districts in 21 countries. These countries accounted for 98 percent of the 10 million metric tons of tin produced in the period 1934-87. Tin is a good alloying metal and is generally nontoxic, and its chief uses are as tinplate for tin cans and as solder in electronics. The 56 locations consist of 39 lode deposits and 17 placers and contain almost 7.5 million metric tons of tin in identified economic resources (R1E) and another 1.5 million metric tons of tin in other resource categories. Most of these resources are in major deposits that have been known for over a hundred years. Lode deposits account for 44 percent of the R1E and 87 percent of the resources in other categories. Placer deposits make up the remainder. Low-income and middle-income countries, including Bolivia and Brazil and countries along the Southeast Asian Tin Belt such as Malaysia, Thailand, and Indonesia account for 91 percent of the R1E resources of tin and for 61 percent of resources in other categories. The United States has less than 0.05 percent of the world's tin R1E in major deposits. Available data suggest that the Soviet Union may have about 4 percent of resources in this category. The industrial market economy countries of the United States, Japan, Federal Republic of Germany, and the United Kingdom are major consumers of tin, whereas the major tin-producing countries generally consume little tin. The Soviet Union and China are both major producers and consumers of tin. At the end of World War II, the four largest tin-producing countries (Bolivia, the Belgian Congo (Zaire), Nigeria, and Malaysia) produced over 80 percent of the world's tin. In 1986, the portion of production from the four largest producers (Malaysia, Brazil, Soviet Union, Indonesia) declined to about 55 percent, while the price of tin rose from about $1,500 to $18,000 per metric ton. In response to tin shortages

  10. Indium sulfide buffer layers deposited by dry and wet methods

    International Nuclear Information System (INIS)

    Asenjo, B.; Sanz, C.; Guillen, C.; Chaparro, A.M.; Gutierrez, M.T.; Herrero, J.

    2007-01-01

    Indium sulfide (In 2 S 3 ) thin films have been deposited on amorphous glass, glass coated by tin oxide (TCO) and crystalline silicon substrates by two different methods: modulated flux deposition (MFD) and chemical bath deposition (CBD). Composition, morphology and optical characterization have been carried out with Scanning Electron Microscopy (SEM), IR-visible-UV Spectrophotometry, X-ray diffraction (XRD) and Fourier transform infrared (FTIR) spectrometer. Different properties of the films have been obtained depending on the preparation techniques. With MFD, In 2 S 3 films present more compact and homogeneous surface than with CBD. Films deposited by CBD present also indium oxide in their composition and higher absorption edge values when deposited on glass

  11. Pulsed 1064 nm Nd-YAG Laser Deposition of Titanium on Silicon in a Nitrogen Environment

    Directory of Open Access Journals (Sweden)

    Wilson Garcia

    1999-12-01

    Full Text Available Pulsed laser deposition (PLD technique was demonstrated for the deposition of titanium nitride (TiN thin films on Si (100 substrates. A 1064 nm pulsed Nd-YAG laser is focused on a titanium (99.5% target in a nitrogen environment to generate the atomic flux needed for the film deposition. Spectroscopic analysis of the plasma emission indicates the presence of atomic titanium and nitrogen, which are the precursors of TiN. Images of the films grown at different laser pulse energies show an increase in the number and size of deposited droplets and clusters with increasing laser pulse energy. A decrease in cluster and droplet size is also observed, with an increase in substrate temperature. EDS data show an increase in the titanium peak relative to the silicon as the ambient nitrogen pressure is decreased. An increase in deposition time was found to result in large clusters and irregularly shaped structures on the substrate. Post-deposition annealing of the samples enhanced the crystallinity of the film.

  12. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    International Nuclear Information System (INIS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-01-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ∝ 4.1 Aa), and low electrical resistivity (4.2 x 10 -4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained ''on/off'' current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 x 10 7 , 0.43 V/decade, 0.7 V, and 2.1 cm 2 /V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs. (orig.)

  13. Preparation and characterization of nanocrystalline ITO thin films on glass and clay substrates by ion-beam sputter deposition method

    International Nuclear Information System (INIS)

    Venkatachalam, S.; Nanjo, H.; Kawasaki, K.; Wakui, Y.; Hayashi, H.; Ebina, T.

    2011-01-01

    Nanocrystalline indium tin oxide (ITO) thin films were prepared on clay-1 (Clay-TPP-LP-SA), clay-2 (Clay-TPP-SA) and glass substrates using ion-beam sputter deposition method. X-ray diffraction (XRD) patterns showed that the as-deposited ITO films on both clay-1 and clay-2 substrates were a mixture of amorphous and polycrystalline. But the as-deposited ITO films on glass substrates were polycrystalline. The surface morphologies of as-deposited ITO/glass has smooth surface; in contrast, ITO/clay-1 has rough surface. The surface roughnesses of ITO thin films on glass and clay-1 substrate were calculated as 4.3 and 83 nm, respectively. From the AFM and SEM analyses, the particle sizes of nanocrystalline ITO for a film thickness of 712 nm were calculated as 19.5 and 20 nm, respectively. Optical study showed that the optical transmittance of ITO/clay-2 was higher than that of ITO/clay-1. The sheet resistances of as-deposited ITO/clay-1 and ITO/clay-2 were calculated as 76.0 and 63.0 Ω/□, respectively. The figure of merit value for as-deposited ITO/clay-2 (12.70 x 10 -3 /Ω) was also higher than that of ITO/clay-1 (9.6 x 10 -3 /Ω), respectively. The flexibilities of ITO/clay-1 and ITO/clay-2 were evaluated as 13 and 12 mm, respectively. However, the ITO-coated clay-2 substrate showed much better optical and electrical properties as well as flexibility as compared to clay-1.

  14. Enhancement of the optical and electrical properties of ITO thin films deposited by electron beam evaporation technique

    Science.gov (United States)

    Ali, H. M.; Mohamed, H. A.; Mohamed, S. H.

    2005-08-01

    Indium tin oxide (ITO) is widely utilized in numerous industrial applications due to its unique combined properties of transparency to visible light and electrical conductivity. ITO films were deposited on glass substrates by an electron beam evaporation technique at room temperature from bulk samples, with different thicknesses. The film with 1500 Å thick was selected to perform annealing in the temperature range of 200 400 °C and annealing for varying times from 15 to 120 min at 400 °C. The X-ray diffraction of the films was analyzed in order to investigate its dependence on thickness, and annealing. Electrical and optical measurements were also carried out. Transmittance, optical energy gap, refractive index, carrier concentration, thermal emissivity and resistivity were investigated. It was found that the as-deposited films with different thicknesses were highly absorbing and have relatively poor electrical properties. The films become opaque with increasing the film thickness. After thermal annealing, the resistance decreases and a simultaneous variation in the optical transmission occurs. A transmittance value of 85.5% in the IR region and 82% in the visible region of the spectrum and a resistivity of 2.8 × 10-4 Ω Cm were obtained at annealing temperature of 400 °C for 120 min.

  15. Physical properties of SnS thin films grown by hot wall deposition

    International Nuclear Information System (INIS)

    Gremenok, V.; Ivanov, V.; Bashkirov, S.; Unuchak, D.; Lazenka, V.; Bente, K.; Tashlykov, I.; Turovets, A.

    2010-01-01

    Full text : Recently, considerable effort has been invested to gain a better and deeper knowledge of structural and physical properties of metal chalcogenide semiconductors because of their potential application in electrical and photonic devices. Among them, tin sulphide (SnS) has attracted attention because of band gap of 1.3 eV and an absorption coefficient greater than 10 4 cm - 1. Additionally, by using tin sulfide compounds for photovoltaic devices, the production costs are decreased, because these materials are cheap and abundant in nature. For the sythesis of SnS thin films by hot wall deposition, SnS ingots were used as the source materials synthesized from high purity elements (99.999 percent). The thin films were grown onto glass at substrate temperatures between 220 and 380 degrees Celsium. The thickness of the films was in the range of 1.0 - 2.5 μm. The crystal structure and crystalline phases of the materials were studied by XRD using a Siemens D-5000 diffractometer with CuK α (λ = 1.5418 A) radiation. In order to consider instrumental error, the samples were coated by Si powder suspended in acetone. The composition and surface morphology of thin films were investigated by electron probe microanalysis (EPMA) using a CAMECA SX-100, a scanning electron microscope JEOL 6400 and an atomic force microscope (AFM, Model: NT 206), respectively. Depth profiling was performed by Auger electron spectroscopy (AES) using a Perkin Elmer Physical Electronics 590. The electrical resistivity was studied by van der Pauw four-probe technique using silver paste contact. The optical transmittance was carried out using a Varian Cary 50 UV - VIS spectrophotometer in the range 500 - 2000 nm. The as-grown films exhibited a composition with a Sn/S at. percent ratio of 1.06. The AES depth profiles revealed relatively uniform composition through the film thickness. The XRD analysis of the SnS films showed that they were monophase (JCPDS 39-0354), polycrystalline with

  16. Fabricate heterojunction diode by using the modified spray pyrolysis method to deposit nickel-lithium oxide on indium tin oxide substrate.

    Science.gov (United States)

    Wu, Chia-Ching; Yang, Cheng-Fu

    2013-06-12

    P-type lithium-doped nickel oxide (p-LNiO) thin films were deposited on an n-type indium tin oxide (ITO) glass substrate using the modified spray pyrolysis method (SPM), to fabricate a transparent p-n heterojunction diode. The structural, optical, and electrical properties of the p-LNiO and ITO thin films and the p-LNiO/n-ITO heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), UV-visible spectroscopy, Hall effect measurement, and current-voltage (I-V) measurements. The nonlinear and rectifying I-V properties confirmed that a heterojunction diode characteristic was successfully formed in the p-LNiO/n-ITO (p-n) structure. The I-V characteristic was dominated by space-charge-limited current (SCLC), and the Anderson model demonstrated that band alignment existed in the p-LNiO/n-ITO heterojunction diode.

  17. Effects of different needles and substrates on CuInS{sub 2} deposited by electrostatic spray deposition

    Energy Technology Data Exchange (ETDEWEB)

    Roncallo, S. [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Painter, J.D., E-mail: j.d.painter@cranfield.ac.u [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Healy, M.J.F. [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Ritchie, S.A.; Finnis, M.V. [Department of Engineering Systems and Management, Cranfield University, Shrivenham, Swindon SN6 8LA (United Kingdom); Rogers, K.D. [Cranfield Health, Cranfield University, Cranfield, Bedfordshire, MK43 0AL (United Kingdom); Scragg, J.J. [University of Bath, Claverton Down, Bath, BA2 7AY (United Kingdom); Dale, P.J. [Laboratoire Photovoltaique, University of Luxembourg, 41 Rue du Brill, L-4422, Belvaux (Luxembourg); Zoppi, G. [Northumbria Photovoltaics Applications Centre, Northumbria, University, Newcastle upon Tyne NE1 8ST (United Kingdom)

    2011-03-31

    Copper indium disulphide (CuInS{sub 2}) thin films were deposited using the electrostatic spray deposition method. The effects of applied voltage and solution flow rate on the aerosol cone shape, film composition, surface morphology and current conversion were investigated. The effect of aluminium substrates and transparent fluorine doped tin oxide (SnO{sub 2}:F) coated glass substrates on the properties of as-deposited CuInS{sub 2} films were analysed. An oxidation process occurs during the deposition onto the metallic substrates which forms an insulating layer between the photoactive film and substrate. The effects of two different spray needles on the properties of the as-deposited films were also studied. The results reveal that the use of a stainless steel needle results in contamination of the film due to the transfer of metal impurities through the spray whilst this is not seen for the glass needle. The films were characterised using a number of different analytical techniques such as X-ray diffraction, scanning electron microscopy, Rutherford back-scattering and secondary ion mass spectroscopy and opto-electronic measurements.

  18. Effect of Deposition Time on the Photoelectrochemical Properties of Cupric Oxide Thin Films Synthesized via Electrodeposition Method

    Directory of Open Access Journals (Sweden)

    Yaw Chong Siang

    2016-01-01

    Full Text Available The main aim of this study was to investigate the effect of deposition time on the physicochemical and photoelectrochemical properties of cupric oxide (CuO thin films synthesized via electrodeposition method. Firstly, the electrodeposition of amorphous CuO films on fluorine-doped tin oxide (FTO working electrodes with varying deposition time between 5 and 30 min was carried out, followed by annealing treatment at 500 °C. Resultant nanocrystalline CuO thin films were characterised using field emission-scanning electron microscopy (FE-SEM, photocurrent density, and photoluminescence measurements. Through FE-SEM analysis, it was observed that the surface of thin films was composed of irregular-sized CuO nanocrystals. A smaller CuO nanocrystals size will lead to a higher photoactivity due to the increase in overall catalytic surface area. In addition, the smaller CuO nanocrystals size will prolongs the electron-hole recombination rate due to the increase in copious amount of surface defects. From this study, it was revealed that the relationship between deposition time and CuO film thickness was non-linear. This could be due to the detachment of CuO thin films from the FTO surface at an increasing amount of CuO mass being deposited. It was observed that the amount of light absorbed by CuO thin films increased with film thickness until a certain extent whereby, any further increase in the film thickness will result in a reduction of light photon penetration. Therefore, the CuO nanocrystals size and film thickness have to be compromised in order to yield a higher catalytic surface area and a lower rate of surface charge recombination. Finally, it was found that the deposition time of 15 min resulted in an average CuO nanocrystals size of 73.7 nm, optimum film thickness of 0.73 μm, and corresponding photocurrent density of 0.23 mA/cm2 at the potential bias of - 0.3 V (versus Ag/AgCl. The PL spectra for the deposition time of 15 min has the lowest

  19. Composition and crystal structure of N doped TiO2 film deposited at different O2 flow rate by direct current sputtering.

    Science.gov (United States)

    Ding, Wanyu; Ju, Dongying; Chai, Weiping

    2011-06-01

    N doped Ti02 films were deposited by direct current pulse magnetron sputtering system at room temperature. The influence of 02 flow rate on the crystal structure of deposited films was studied by Stylus profilometer, X-ray photoelectron spectroscopy, and X-ray diffractometer. The results indicate that the 02 flow rate strongly controls the growth behavior and crystal structure of N doped Ti02 film. It is found that N element mainly exists as substitutional doped state and the chemical stiochiometry is near to TiO1.68±0.06N0.11±0.01 for all film samples. N doped Ti02 film deposited with 2 sccm (standard-state cubic centimeter per minute) 02 flow rate is amorphous structure with high growth rate, which contains both anatase phase and rutile phase crystal nucleuses. In this case, the film displays the mix-phase of anatase and rutile after annealing treatment. While N doped Ti02 film deposited with 12 cm(3)/min 02 flow rate displays anatase phase before and after annealing treatment. And it should be noticed that no TiN phase appears for all samples before and after annealing treatment. Copyright © 2011 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  20. Electrochemical pulsed deposition of platinum nanoparticles on indium tin oxide/polyethylene terephthalate as a flexible counter electrode for dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Wei, Yu-Hsuan; Chen, Chih-Sheng; Ma, Chen-Chi M.; Tsai, Chuen-Horng; Hsieh, Chien-Kuo

    2014-01-01

    In this study, a pulsed-mode electrochemical deposition (Pulse-ECD) technique was employed to deposit platinum nanoparticles (PtNPs) on the indium tin oxide/polyethylene terephthalate (ITO/PET) substrate as a flexible counter electrode for dye-sensitized solar cells (DSSCs). The characteristic properties of the Pulse-ECD PtNPs were prepared and compared to the traditional (electron beam) Pt film. The surface morphologies of the PtNPs were examined by field emission scanning electron microscopy (FE-SEM) and the atomic force microscope (AFM). The FE-SEM results showed that our PtNPs were deposited uniformly on the ITO/PET flexible substrates via the Pulse-ECD technique. The AFM results indicated that the surface roughness of the pulsed PtNPs influenced the power conversion efficiency (PCE) of DSSCs, due to the high specific surface area of PtNPs which enhanced the catalytic activities for the reduction (I 3 − to I − ) of redox electrolyte. In combination with a N719 dye-sensitized TiO 2 working electrode and an iodine-based electrolyte, the DSSCs with the PtNPs flexible counter electrode showed a PCE of 4.3% under the illumination of AM 1.5 (100 mW cm −2 ). The results demonstrated that the Pulse-ECD PtNPs are good candidate for flexible DSSCs. - Highlights: • We used indium tin oxide/polyethylene terephthalate as a flexible substrate. • We utilized pulse electrochemical deposition to deposit platinum nanoparticles. • We synthesized a flexible counter electrode for dye-sensitized solar cell (DSSC). • The power conversion efficiency of DSSC was measured to be 4.3%

  1. Vis-Near-Infrared Photodetectors Based on Methyl Ammonium Lead Iodide Thin Films by Pulsed Laser Deposition

    Science.gov (United States)

    Patel, Nagabhushan; Dias, Sandra; Krupanidhi, S. B.

    2018-04-01

    Organic-inorganic hybrid perovskite materials are considered as promising candidates for emerging thin-film photodetectors. In this work, we discuss the application of the CH3NH3PbI3 thin films by pulsed laser deposition for photodetection applications. With this method, we obtained good perovskite film coverage on fluorine-doped tin oxide-coated substrates and observed wel- developed grains. The films showed no sign of degradation over several months of testing. We investigated the surface morphology and surface roughness of the films by field emission scanning electron microscopy and atomic force microscopy. The optical response of the films was studied using ultraviolet-visible and photoluminescence spectroscopy. We carried out a study on the solar and infrared photodetection of CH3NH3PbI3 thin films. The values of the responsivity, sensitivity, external quantum efficiency and specific detectivity under 1 sun illumination and 0.7 V bias were 105.4 A/W, 1.9, 2.38 × 104% and 1.5 × 1012 Jones, respectively.

  2. Effect of Cr doping on the structural, morphological, optical and electrical properties of indium tin oxide films

    Science.gov (United States)

    Mirzaee, Majid; Dolati, Abolghasem

    2015-03-01

    We report on the preparation and characterization of high-purity chromium (0.5-2.5 at.%)-doped indium tin oxide (ITO, In:Sn = 90:10) films deposited by sol-gel-mediated dip coating. The effects of different Cr-doping contents on structural, morphological, optical and electrical properties of the films were characterized by means of X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), field emission scanning electron microscopy (FESEM), UV-Vis spectroscopy and four-point probe methods. XRD showed high phase purity cubic In2O3 and indicated a contraction of the lattice with Cr doping. FESEM micrographs show that grain size decreased with increasing the Cr-doping content. A method to determine chromium species in the sample was developed through the decomposition of the Cr 2 p XPS spectrum in Cr6+ and Cr3+ standard spectra. Optical and electrical studies revealed that optimum opto-electronic properties, including minimum sheet resistance of 4,300 Ω/Sq and an average optical transmittance of 85 % in the visible region with a band gap of 3.421 eV, were achieved for the films doped with Cr-doping content of 2 at.%.

  3. Differences observed in the surface morphology and microstructure of Ni-Fe-Cu ternary thin films electrochemically deposited at low and high applied current densities

    International Nuclear Information System (INIS)

    Sarac, U; Kaya, M; Baykul, M C

    2016-01-01

    In this research, nanocrystalline Ni-Fe-Cu ternary thin films using electrochemical deposition technique were produced at low and high applied current densities onto Indium Tin Oxide (ITO) coated conducting glass substrates. Change of surface morphology and microstructural properties of the films were investigated. Energy dispersive X-ray spectroscopy (EDX) measurements showed that the Ni-Fe-Cu ternary thin films exhibit anomalous codeposition behaviour during the electrochemical deposition process. From the X-ray diffraction (XRD) analyses, it was revealed that there are two segregated phases such as Cu- rich and Ni-rich within the films. The crystallographic structure of the films was face-centered cubic (FCC). It was also observed that the film has lower lattice micro-strain and higher texture degree at high applied current density. Scanning electron microscopy (SEM) studies revealed that the films have rounded shape particles on the base part and cauliflower-like structures on the upper part. The film electrodeposited at high current density had considerably smaller rounded shape particles and cauliflower-like structures. From the atomic force microscopy (AFM) analyses, it was shown that the film deposited at high current density has smaller particle size and surface roughness than the film grown at low current density. (paper)

  4. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  5. Modification of the morphology and optical properties of SnS films using glancing angle deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Sazideh, M.R., E-mail: Mohammadrezasazideh@gmail.com [Thin Film Lab., Faculty of Physics, Semnan University, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Dizaji, H. Rezagholipour, E-mail: hrgholipour@semnan.ac.ir [Faculty of Physics, Semnan University, P.O. Box: 35195-363, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Ehsani, M.H., E-mail: mhe_ehsani@yahoo.com [Faculty of Physics, Semnan University, P.O. Box: 35195-363, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of); Moghadam, R. Zarei, E-mail: r.zarei1991@gmail.com [Thin Film Lab., Faculty of Physics, Semnan University, Semnan, Islamic Republic of Iran (Iran, Islamic Republic of)

    2017-05-31

    Highlights: • SnS thin films produced by thermal evaporation method using glancing angle deposition technique. • At α = 85° the layers show a considerable change in effective refractive index (Δn = 1.7) at near-IR spectral range. • FESEM images showed drastic changes in the structure and morphology of individual nano-plates as a function of incident angle deposition. - Abstract: Tin sulfide (SnS) films were prepared by thermal evaporation method using Glancing Angle Deposition (GLAD) technique at zero and different oblique incident flux angles (α = 45°, 55°, 65°, 75° and 85°). The physical properties of prepared films were systematically investigated. The X-ray diffraction analysis indicated that the film deposited at α = 0° formed as single phase with an orthorhombic structure. However, the layers became amorphous at α = 45°, 55°, 65°, 75° and 85°. Beside the appearance of amorphous feature in the film prepared at α higher than zero, Sn{sub 2}S{sub 3} phase was also observed. The top and cross-sectional field emission scanning electron microscope (FESEM) images of the samples showed noticeable changes in the structure and morphology of individual nano-plates as a function of incident angle. The band gap and refractive index values of the films were calculated by optical transmission measurements. The optical band-gap values were observed to increase with increasing the incident flux angle. This can be due to presence of Sn{sub 2}S{sub 3} phase observed in the samples produced at α values other than zero. The effective refractive index and porosity exhibit an opposite evolution as the incident angle α rises. At α = 85° the layers show a considerable change in effective refractive index (Δn = 1.7) at near-IR spectral range.

  6. Effect of Cu Content on TiN-Cu Nanocomposite Film Properties: Structural and Hardness Studies

    Directory of Open Access Journals (Sweden)

    M. M. Larijani

    2013-06-01

    Full Text Available Titanium nitride-Copper (TiN-Cu nanocomposite films were deposited onto stainless steel substrate using hollow cathode discharge ion plating technique. The influence of Cu content in the range of 2-7 at.% on the microstructure, morphology and mechanical properties of deposited films were investigated. Structural properties of the films were studied by X-ray diffraction pattern. Topography of the deposited films was studied using atomic force microscopy. Film hardness was estimated by a triboscope nanoindentation system. However, X-ray photoelectron spectroscopy analysis was performed to study the surface chemical bonding states. It was found that addition of soft Cu phase above 2 at.% to TiN film drastically decreased the film hardness from 30 to 2.8 Gpa due to lubricant effect of segregated copper particles. X-ray photoelectron spectroscopy results showed that Cu and TiN phases grew separately. In our case,the formation of a solid solution or chemical bonding between Cu and Ti was rejected.

  7. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  8. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  9. Hybrid composite thin films composed of tin oxide nanoparticles and cellulose

    International Nuclear Information System (INIS)

    Mahadeva, Suresha K; Nayak, Jyoti; Kim, Jaehwan

    2013-01-01

    This paper reports the preparation and characterization of hybrid thin films consisting of tin oxide (SnO 2 ) nanoparticles and cellulose. SnO 2 nanoparticle loaded cellulose hybrid thin films were fabricated by a solution blending technique, using sodium dodecyl sulfate as a dispersion agent. Scanning and transmission electron microscopy studies revealed uniform dispersion of the SnO 2 nanoparticles in the cellulose matrix. Reduction in the crystalline melting transition temperature and tensile properties of cellulose was observed due to the SnO 2 nanoparticle loading. Potential application of these hybrid thin films as low cost, flexible and biodegradable humidity sensors is examined in terms of the change in electrical resistivity of the material exposed to a wide range of humidity as well as its response–recovery behavior. (paper)

  10. LPG sensing characteristics of electrospray deposited SnO2 nanoparticles

    International Nuclear Information System (INIS)

    Gürbüz, Mevlüt; Günkaya, Göktuğ; Doğan, Aydın

    2014-01-01

    Highlights: • SnO 2 nanopowder was deposited on conductive substrates using ESD technique. • Solution flow rate, coating time, substrate–nozzle distance and solid/alcohol ratio were studied to optimize SnO 2 film structure. • The gas sensing properties of tin oxide films were investigated using LPG. • The sensitivity of the films was increased with operating temperature. • The best sensitivity was observed for 20 LEL LPG at 450 °C operating temperature. - Abstract: In this study, SnO 2 films were fabricated on conductive substrate such as aluminum and platinum coated alumina using electro-spray deposition (ESD) method for gas sensor applications. Solution flow rate, coating time, substrate–nozzle distance and solid/alcohol ratio were studied to optimize SnO 2 film structure. The morphology of the deposited films was characterized by stereo and scanning electron microscopy (SEM). The gas sensing properties of tin oxide films were investigated using liquid petroleum gas (LPG) for various lower explosive limit (LEL). The results obtained from microscopic analyses show that optimum SnO 2 films were evaluated at flow rate of 0.05 ml/min, at distance of 6 cm, for 10 min deposition time, for 20 gSnO 2 /L ethanol ratio and at 7 kV DC electric field. By the results obtained from the gas sensing behavior, the sensitivity of the films was increased with operating temperature. The films showed better sensitivity for 20 LEL LPG concentration at 450 °C operating temperature

  11. Low-temperature Synthesis of Tin(II) Oxide From Tin(II) ketoacidoximate Precursor

    KAUST Repository

    Alshankiti, Buthainah

    2015-01-01

    Sn (II) oxide finds numerous applications in different fields such as thin film transistors1, solar cells2 and sensors.3 In this study we present the fabrication of tin monoxide SnO by using Sn (II) ketoacid oximate complexes as precursors. Tin (II

  12. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  13. Hardness enhancement and oxidation resistance of nanocrystalline TiN/Mo xC multilayer films

    International Nuclear Information System (INIS)

    Liu, Q.; Wang, X.P.; Liang, F.J.; Wang, J.X.; Fang, Q.F.

    2006-01-01

    In this paper the influence of the layer's microstructure on the hardness enhancement in multilayer nanocrystalline films and the oxidation resistance are studied. The TiN/Mo x C multilayer films at different modulation period, and Mo x C and TiN monolayer films were deposited on the (0 0 1) silicon wafers and molybdenum sheets by rf and dc magnetron sputtering. The monolayer TiN films with a thickness of about 2 μm are of pure face-center cubic TiN phase, while the monolayer Mo x C films consist of two phases, one of which is body-center cubic Mo and the other is hexagonal Mo 2 C as determined by XRD. The coarse columnar grains of about 200 nm in the monolayer TiN films become much smaller or disappear in the multilayer films. The hardness enhancement of the multilayer films takes place at the modulation period of 320 nm, which can reach to 26 GPa and is much higher than the values of Mo x C and TiN monolayer films. This enhancement in hardness can be explained as the decrease in the size and/or disappearance of columnar grains in the TiN layer. The Young's modulus in the temperature range from 100 to 400 deg. C increases with decreasing modulation period. It is found that about 100 nm thick TiN films can increase largely the oxidation resistance of Mo x C films

  14. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  15. Bacterial adhesion studies on titanium, titanium nitride and modified hydroxyapatite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeyachandran, Y L [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Venkatachalam, S [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Karunagaran, B [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Narayandass, Sa K [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Mangalaraj, D [Department of Physics, Bharathiar University, Coimbatore 641 046, Tamil Nadu (India); Bao, C Y [West China College of Stomatology, Sichuan University, Chengdu 610041 (China); Zhang, C L [West China College of Stomatology, Sichuan University, Chengdu 610041 (China)

    2007-01-15

    A qualitative study on adhesion of the oral bacteria Porphyromonas gingivalis on titanium (Ti), titanium nitride (TiN), fluorine modified hydroxyapatite (FHA) and zinc modified FHA (Zn-FHA) thin films is investigated. Ti and TiN thin films were deposited by DC magnetron sputtering and hydroxyapatite-based films were prepared by solgel method. The crystalline structure, optical characteristics, chemical composition and surface topography of the films were studied by XRD, optical transmission, XPS, EDAX and AFM measurements. The predominant crystallite orientation in the Ti and TiN films was along (002) and (111) of hcp and cubic structures, respectively. The Ti : O : N composition ratio in the surface of the Ti and TiN films was found to be 7 : 21 : 1 and 3 : 8 : 2, respectively. The atomic concentration ratio (Zn + Ca) / P in Zn-FHA film was found to be 1.74 whereby the Zn replaced 3.2% of Ca. The rough surface feature in modified HA films was clearly observed in the SEM images and the surface roughness (rms) of Ti and TiN films was 2.49 and 3.5 nm, respectively, as observed using AFM. The film samples were sterilized, treated in the bacteria culture medium, processed and analyzed using SEM. Surface roughness of the films was found to have least influence on the bacterial adhesion. More bacteria were observed on the TiN film with oxide nitride surface layer and less number of adhered bacteria was noticed on the Ti film with native surface oxide layer and on Zn-FHA film.

  16. Bacterial adhesion studies on titanium, titanium nitride and modified hydroxyapatite thin films

    International Nuclear Information System (INIS)

    Jeyachandran, Y.L.; Venkatachalam, S.; Karunagaran, B.; Narayandass, Sa.K.; Mangalaraj, D.; Bao, C.Y.; Zhang, C.L.

    2007-01-01

    A qualitative study on adhesion of the oral bacteria Porphyromonas gingivalis on titanium (Ti), titanium nitride (TiN), fluorine modified hydroxyapatite (FHA) and zinc modified FHA (Zn-FHA) thin films is investigated. Ti and TiN thin films were deposited by DC magnetron sputtering and hydroxyapatite-based films were prepared by solgel method. The crystalline structure, optical characteristics, chemical composition and surface topography of the films were studied by XRD, optical transmission, XPS, EDAX and AFM measurements. The predominant crystallite orientation in the Ti and TiN films was along (002) and (111) of hcp and cubic structures, respectively. The Ti : O : N composition ratio in the surface of the Ti and TiN films was found to be 7 : 21 : 1 and 3 : 8 : 2, respectively. The atomic concentration ratio (Zn + Ca) / P in Zn-FHA film was found to be 1.74 whereby the Zn replaced 3.2% of Ca. The rough surface feature in modified HA films was clearly observed in the SEM images and the surface roughness (rms) of Ti and TiN films was 2.49 and 3.5 nm, respectively, as observed using AFM. The film samples were sterilized, treated in the bacteria culture medium, processed and analyzed using SEM. Surface roughness of the films was found to have least influence on the bacterial adhesion. More bacteria were observed on the TiN film with oxide nitride surface layer and less number of adhered bacteria was noticed on the Ti film with native surface oxide layer and on Zn-FHA film

  17. An economic CVD technique for pure SnO 2 thin films deposition

    Indian Academy of Sciences (India)

    A modified new method of CVD for formation of pure layers of tin oxide films was developed. This method is very simple and inexpensive and produces films with good electrical properties. The effect of substrate temperature on the sheet resistance, resistivity, mobility, carrier concentration and transparency of the films has ...

  18. Interfacial fatigue stress in PVD TiN coated tool steels under rolling contact fatigue conditions

    NARCIS (Netherlands)

    Carvalho, N.J.M.; Huis in 't Veld, A.J.; Hosson, J.T. de

    1998-01-01

    Titanium-nitrogen (TiN) films were Physical Vapour Deposited (PVD) on tool steel substrates with different hardness and surface roughness, in a Bai 640R unit using a triode ion plating (e-gun) with a high plasma density. The coated substrates were submitted to a rolling contact fatigue test

  19. Interfacial fatigue stress in PVD TiN coated tool steels under rolling contact fatigue conditions

    NARCIS (Netherlands)

    Carvalho, N.J.M.; Huis in ’t Veld, A.J.; Hosson, J.Th. De

    1998-01-01

    Titanium–nitrogen (TiN) films were Physical Vapour Deposited (PVD) on tool steel substrates with different hardness and surface roughness, in a Bai 640R unit using a triode ion plating (e-gun) with a high plasma density. The coated substrates were submitted to a rolling contact fatigue test

  20. Dopamine/TiO{sub 2} hybrid thin films prepared by the liquid phase deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez-Tauste, David [Departament de Quimica, Universitat Autonoma de Barcelona, Campus UAB, Edifici Cn, 08290 Cerdanyola del Valles, Barcelona (Spain)], E-mail: davidg@qf.uab.es; Domenech, Xavier [Departament de Quimica, Universitat Autonoma de Barcelona, Campus UAB, Edifici Cn, 08290 Cerdanyola del Valles, Barcelona (Spain); Domingo, Concepcion [Instituto de Ciencia de Materiales (CSIC), Campus UAB, 08290 Cerdanyola del Valles, Barcelona (Spain); Ayllon, Jose A. [Departament de Quimica, Universitat Autonoma de Barcelona, Campus UAB, Edifici Cn, 08290 Cerdanyola del Valles, Barcelona (Spain)

    2008-04-30

    Liquid phase deposition method is applied to one-step production of a hybrid material composed by dopamine(DA) and TiO{sub 2} anatase. An optimized amount of the enediol derivative is added to a fluoride titania precursor aqueous solution in order to entrap this modifier within the growing TiO{sub 2}, yielding a DA/TiO{sub 2} nanocomposite material. Uniform, well-adhered and brown-colored thin films are deposited on indium tin oxide covered glass substrate. The DA/TiO{sub 2} hybrid material has been characterized by infrared spectroscopy, electronic microscopy, X-ray diffraction and UV-vis spectroscopy. The formation of the hybrid material seems to be reasonably explained by linkage of different TiO{sub 2} nanocrystallites taking advantage of both enediol and amine groups of DA.

  1. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  2. Amorphous Tin Oxide as a Low-Temperature-Processed Electron-Transport Layer for Organic and Hybrid Perovskite Solar Cells

    KAUST Repository

    Barbe, Jeremy; Tietze, Max Lutz; Neophytou, Marios; Banavoth, Murali; Alarousu, Erkki; El Labban, Abdulrahman; Abulikemu, Mutalifu; Yue, Wan; Mohammed, Omar F.; McCulloch, Iain; Amassian, Aram; Del Gobbo, Silvano

    2017-01-01

    Chemical bath deposition (CBD) of tin oxide (SnO) thin films as an electron-transport layer (ETL) in a planar-heterojunction n-i-p organohalide lead perovskite and organic bulk-heterojunction (BHJ) solar cells is reported. The amorphous SnO (a

  3. Highly flexible transparent and conductive ZnS/Ag/ZnS multilayer films prepared by ion beam assisted deposition

    Science.gov (United States)

    Yu, Zhinong; Leng, Jian; Xue, Wei; Zhang, Ting; Jiang, Yurong; Zhang, Jie; Zhang, Dongpu

    2012-01-01

    ZnS/Ag/ZnS (ZAZ) multilayer films were prepared on polyethene terephthalate (PET) by ion beam assisted deposition at room temperature. The structural, optical and electrical characteristics of ZAZ multilayers dependent on the thickness of silver layer were investigated. The ZAZ multilayers exhibit a low sheet resistance of about 10 Ω/sq., a high transmittance of 92.1%, and the improved resistance stabilities when subjected to bending. When the inserted Ag thickness is over 12 nm, the ZAZ multilayers show good resistance stabilities due to the existence of a ductile Ag metal layer. The results suggest that ZAZ film has better optoelectrical and anti-deflection characteristics than conventional indium tin oxide (ITO) single layer.

  4. Ti-O/TiN films synthesized by plasma immersion ion implantation and deposition on 316L: Study of deformation behavior and mechanical properties

    International Nuclear Information System (INIS)

    Wan, G.J.; Huang, N.; Yang, P.; Leng, Y.X.; Sun, H.; Chen, J.Y.; Wang, J.

    2005-01-01

    Ti-O/TiN gradient films have been synthesized on 316L stainless steel using plasma immersion ion implantation and deposition (PIII and D). The coated samples were subjected to tensile testing and observed in situ by scanning electron microscopy. No delamination, peeling or cracking was found on the film after plastic deformation of 0.16 mm residual displacement. Nanoindentation and nanoscratch tests revealed that the prepared films possess high nanohardness and good adhesion strength to the metal substrate. The mechanical properties of the synthesized Ti-O/TiN films are thought to be attributed to the good nanostructure, high density, smooth surface, slow transition from Ti-O to TiN and broad film/matrix interface achieved by the PIII-D process

  5. Synthesis of layered birnessite-type manganese oxide thin films on plastic substrates by chemical bath deposition for flexible transparent supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Hu Yu; Zhu Hongwei; Wang Jun [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Chen Zhenxing, E-mail: chenzx65@mail.sysu.edu.cn [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2011-10-20

    Highlights: > Layered birnessite-type MnO{sub 2} thin films are fabricated on ITO/PET substrates through a facile chemical bath deposition at room temperature. > The transmittance of the MnO{sub 2} thin films at 550 nm is up to 77.4%. > MnO{sub 2} thin films exhibit a special capacitance of 229.2 F g{sup -1} and 9.2 mF cm{sup -2}. > MnO{sub 2} thin films show a capacitance retention ratio of 83% after 1000 CV cycles. > MnO{sub 2} thin film electrodes show great mechanical flexibility and electrochemical stability even after 200 tensile and compressive bending cycles. - Abstract: Layered birnessite-type manganese oxide thin films are successfully fabricated on indium tin oxide coated polyethylene terephthalate substrates for flexible transparent supercapacitors by a facile, effective and inexpensive chemical bath deposition technology from an alkaline KMnO{sub 4} aqueous solution at room temperature. The effects of deposition conditions, including KMnO{sub 4} concentration, initial molar ratio of NH{sub 3}.H{sub 2}O and KMnO{sub 4}, bath temperature, and reaction time, on the electrochemical properties of MnO{sub 2} thin films are investigated. Layered birnessite-type MnO{sub 2} thin films deposited under optimum conditions display three-dimensional porous morphology, high hydrophilicity, and a transmittance of 77.4% at 550 nm. A special capacitance of 229.2 F g{sup -1} and a capacitance retention ratio of 83% are obtained from the films after 1000 cycles at 10 mV s{sup -1} in 1 M Na{sub 2}SO{sub 4}. Compressive and tensile bending tests show that as-prepared MnO{sub 2} thin film electrodes possess excellent mechanical flexibility and electrochemical stability.

  6. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  7. Fluorine-doped tin oxide surfaces modified by self-assembled alkanethiols for thin-film devices

    Energy Technology Data Exchange (ETDEWEB)

    Alves, A.C.T.; Gomes, D.J.C.; Silva, J.R.; Silva, G.B., E-mail: george@cpd.ufmt.br

    2013-08-15

    In this work, we have investigated self-assembled monolayers (SAMs) from alkanethiols on fluorine-doped tin oxide (FTO) surfaces, which were used as an anode for thin-film devices prepared from the conductive copolymer so-called sulfonated poly(thiophene-3-[2-(2-methoxyethoxy) ethoxy]-2,5-diyl) (S-P3MEET). The assembled monolayers were characterized by using wetting contact angle, atomic force microscopy, and electrical measurements. The results indicated that dodecanethiol molecules, CH{sub 3}(CH{sub 2}){sub 11}SH, were well assembled on the FTO surfaces. In addition, it was found similar values of wetting contact angle for dodecanethiol assembled on both FTO and Au surfaces. Concerning the thin-film device, current–voltage analysis revealed a hysteresis. This behavior was associated to a charge-trapping effect and also to structural changes of the SAMs. Finally, charge injection capability of tin oxide electrodes can be improved by using SAMs and then this approach can plays an important role in molecular-scale electronic devices.

  8. Nanostructured tin oxide films: Physical synthesis, characterization, and gas sensing properties.

    Science.gov (United States)

    Ingole, S M; Navale, S T; Navale, Y H; Bandgar, D K; Stadler, F J; Mane, R S; Ramgir, N S; Gupta, S K; Aswal, D K; Patil, V B

    2017-05-01

    Nanostructured tin oxide (SnO 2 ) films are synthesized using physical method i.e. thermal evaporation and are further characterized with X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, and atomic force microscopy measurement techniques for confirming its structure and morphology. The chemiresistive properties of SnO 2 films are studied towards different oxidizing and reducing gases where these films have demonstrated considerable selectivity towards oxidizing nitrogen dioxide (NO 2 ) gas with a maximum response of 403% to 100ppm @200°C, and fast response and recovery times of 4s and 210s, respectively, than other test gases. In addition, SnO 2 films are enabling to detect as low as 1ppm NO 2 gas concentration @200°C with 23% response enhancement. Chemiresistive performances of SnO 2 films are carried out in the range of 1-100ppm and reported. Finally, plausible adsorption and desorption reaction mechanism of NO 2 gas molecules with SnO 2 film surface has been thoroughly discussed by means of an impedance spectroscopy analysis. Copyright © 2017 Elsevier Inc. All rights reserved.

  9. Electron transfer across anodic films formed on tin in carbonate-bicarbonate buffer solution

    International Nuclear Information System (INIS)

    Gervasi, C.A.; Folquer, M.E.; Vallejo, A.E.; Alvarez, P.E.

    2005-01-01

    Impedance and steady-state data were recorded in order to study the kinetics of electron transfer between passive tin electrodes and an electrolytic solution containing the K 3 Fe(CN) 6 -K 4 Fe(CN) 6 redox couple. Film thickness plays a key role in determining the type of electronic conduction of these oxide covered electrodes. Electron exchange with the oxide takes place with participation of the conduction band in the semiconducting film. A mechanism involving direct electron tunneling through the space charge barrier is the most suitable to interpret the experimental evidence

  10. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  11. Laser micromachining of indium tin oxide films on polymer substrates by laser-induced delamination

    International Nuclear Information System (INIS)

    Willis, David A; Dreier, Adam L

    2009-01-01

    A Q-switched neodymium : yttrium-aluminium-garnet (Nd : YAG) laser was used to ablate indium tin oxide (ITO) thin films from polyethylene terephthalate substrates. Film damage and partial removal with no evidence of a melt zone was observed above 1.7 J cm -2 . Above the film removal threshold (3.3 J cm -2 ) the entire film thickness was removed without substrate damage, suggesting that ablation was a result of delamination of the film in the solid phase. Measurements of ablated fragment velocities near the ablation threshold were consistent with calculations of velocities caused by stress-induced delamination of the ITO film, except for a high velocity component at higher fluences. Nanosecond time-resolved shadowgraph photography revealed that the high velocity component was a shock wave induced by the rapid compression of ambient air when the film delaminated.

  12. Adhesion Strength of TiN Coatings at Various Ion Etching Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    Science.gov (United States)

    Ali, Mubarak; Hamzah, Esah; Ali, Nouman

    Titanium nitride (TiN) widely used as hard coating material was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The goal of this study was to examine the effect of ion etching with and without titanium (Ti) and chromium (Cr) on the adhesion strength of TiN coatings deposited on tool steels. From the scratch tester, it was observed that by increasing Ti ion etching showed an increase in adhesion strength of the deposited coatings. The coatings deposited with Cr ion etching showed poor adhesion compared with the coatings deposited with Ti ion etching. Scratch test measurements showed that the coating deposited with titanium ion etching for 16 min is the most stable coating and maintained even at the critical load of 66 N. The curve obtained via penetration depth along the scratch trace is linear in the case of HSS, whereas is slightly flexible in the case of D2 tool steel. The coatings deposited on HSS exhibit better adhesion compared with those on D2 tool steel.

  13. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  14. The effect of the film thickness and doping content of SnO2:F thin films prepared by the ultrasonic spray method

    International Nuclear Information System (INIS)

    Rahal Achour; Benramache Said; Benhaoua Boubaker

    2013-01-01

    This paper reports on the effects of film thickness and doping content on the optical and electrical properties of fluorine-doped tin oxide. Tin (II) chloride dehydrate, ammonium fluoride dehydrate, ethanol and HCl were used as the starting materials, dopant source, solvent and stabilizer, respectively. The doped films were deposited on a glass substrate at different concentrations varying between 0 and 5 wt% using an ultrasonic spray technique. The SnO 2 :F thin films were deposited at a 350 °C pending time (5, 15, 60 and 90 s). The average transmission was about 80%, and the films were thus transparent in the visible region. The optical energy gap of the doped films with 2.5 wt% F was found to increase from 3.47 to 3.89 eV with increasing film thickness, and increased after doping at 5 wt%. The decrease in the Urbach energy of the SnO 2 :F thin films indicated a decrease in the defects. The increase in the electrical conductivity of the films reached maximum values of 278.9 and 281.9 (Ω·cm) −1 for 2.5 and 5 wt% F, respectively, indicating that the films exhibited an n-type semiconducting nature. A systematic study on the influence of film thickness and doping content on the properties of SnO 2 :F thin films deposited by ultrasonic spray was reported. (semiconductor materials)

  15. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    International Nuclear Information System (INIS)

    Ong, Hui-Yng; Shrestha, Milan; Lau, Gih-Keong

    2015-01-01

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window

  16. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    Energy Technology Data Exchange (ETDEWEB)

    Ong, Hui-Yng [School of Mechanical and Aerospace Engineering, Nanyang Technological University, Singapore 639798 (Singapore); School of Engineering, Nanyang Polytechnic, Singapore 569830 (Singapore); Shrestha, Milan; Lau, Gih-Keong, E-mail: mgklau@ntu.edu.sg [School of Mechanical and Aerospace Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2015-09-28

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window.

  17. OPTIMISATION OF SPRAY DEPOSITED Sno2 THIN FILM FOR ...

    African Journals Online (AJOL)

    Dr Obe

    1987-09-01

    Sep 1, 1987 ... The use of conducting tin-oxide (SnO2 ) films for fabrication of solar cell is becoming ... Attempts have also been made to fabricate Sn2/Si solar cell with the present set up, and .... Photovoltaic Specialists' Conf. Washington ...

  18. Gold island films on indium tin oxide for localized surface plasmon sensing

    International Nuclear Information System (INIS)

    Szunerits, Sabine; Praig, Vera G; Manesse, Mael; Boukherroub, Rabah

    2008-01-01

    Mechanically, chemically and optically stable gold island films were prepared on indium tin oxide (ITO) substrates by direct thermal evaporation of thin gold films (2-6 nm) without the need for pre- or post-coating. The effect of mild thermal annealing (150 deg. C, 12 h) or short high temperature annealing (500 deg. C, 1 min) on the morphology of the gold nanostructures was investigated. ITO covered with 2 nm gold nanoislands and annealed at 500 deg. C for 1 min was investigated for its ability to detect the adsorption of biotinylated bovine serum albumin using local surface plasmon resonance (LSPR), and its subsequent molecular recognition of avidin

  19. Inkjet-printing of indium tin oxide (ITO) films for transparent conducting electrodes

    International Nuclear Information System (INIS)

    Hwang, Myun-sung; Jeong, Bong-yong; Moon, Jooho; Chun, Sang-Ki; Kim, Jihoon

    2011-01-01

    Highlights: → Inkjet printing of ITO films. → Ag-grid was inkjet-printed in between two ITO layers in order to improve the electrical property. → Ag-grid inserted ITO films with 2 mm Ag-grid pitch showed the sheet resistance less than 3.4 Ω/sq and the transmittance higher than 82%. - Abstract: Indium-tin-oxide (ITO) films have been prepared by inkjet-printing using ITO nanoparticle inks. The electrical and optical properties of the ITO films were investigated in order to understand the effects of annealing temperatures under microwave. The decrease in the sheet resistance and resistivity of the inkjet-printed ITO films was observed as the annealing temperature increases. The film annealed at 400 deg. C showed the sheet resistance of 517 Ω/sq with the film thickness of ∼580 nm. The optical transmittance of the films remained constant regardless of their annealing temperatures. In order to further reduce the sheet resistance of the films, Ag-grid was printed in between two layers of inkjet-printed ITO. With 3 mm Ag-grid line-to-line pitch, the Ag-grid inserted ITO film has the sheet resistance of 3.4 Ω/sq and the transmittance of 84% after annealing at 200 deg. C under microwave.

  20. Modifying the Casimir force between indium tin oxide film and Au sphere

    Science.gov (United States)

    Banishev, A. A.; Chang, C.-C.; Castillo-Garza, R.; Klimchitskaya, G. L.; Mostepanenko, V. M.; Mohideen, U.

    2012-01-01

    We present complete results of the experiment on measuring the Casimir force between an Au-coated sphere and an untreated or, alternatively, UV-treated indium tin oxide (ITO) film deposited on a quartz substrate. Measurements were performed using an atomic force microscope in a high vacuum chamber. The measurement system was calibrated electrostatically. Special analysis of the systematic deviations is performed, and respective corrections in the calibration parameters are introduced. The corrected parameters are free from anomalies discussed in the literature. The experimental data for the Casimir force from two measurement sets for both untreated and UV-treated samples are presented. The random, systematic, and total experimental errors are determined at a 95% confidence level. It is demonstrated that the UV treatment of an ITO plate results in a significant decrease in the magnitude of the Casimir force (from 21% to 35% depending on separation). However, ellipsometry measurements of the imaginary parts of dielectric permittivities of the untreated and UV-treated samples did not reveal any significant differences. The experimental data are compared with computations in the framework of the Lifshitz theory. It is found that the data for the untreated sample are in a very good agreement with theoretical results taking into account the free charge carriers in an ITO film. For the UV-treated sample the data exclude the theoretical results obtained with account of free charge carriers. These data are in very good agreement with computations disregarding the contribution of free carriers in the dielectric permittivity. According to the hypothetical explanation provided, this is caused by the phase transition of the ITO film from metallic to dielectric state caused by the UV treatment. Possible applications of the discovered phenomenon in nanotechnology are discussed.

  1. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  2. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    Science.gov (United States)

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  3. Deposition of conductive TiN shells on SiO2 nanoparticles with a fluidized bed ALD reactor

    NARCIS (Netherlands)

    Didden, A.; Hillebrand, P.; Wollgarten, M.; Dam, B.; Van de Krol, R.

    2016-01-01

    Conductive TiN shells have been deposited on SiO2 nanoparticles (10–20 nm primary particle size) with fluidized bed atomic layer deposition using TDMAT and NH3 as precursors. Analysis of the powders confirms that shell growth saturates at approximately 0.4 nm/cycle at TDMAT doses of >1.2 mmol/g of

  4. Pilot-scale electron cyclotron resonance-metal organic chemical vapor deposition system for the preparation of large-area fluorine-doped SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Bup Ju [Department of Energy and Environmental Engineering, Shinhan University, 233-1, Sangpae-dong, Dongducheon, Gyeonggi-do 483-777 (Korea, Republic of); Hudaya, Chairul [Department of Electrical Engineering, Faculty of Engineering, Universitas Indonesia, Kampus Baru UI, Depok 16424 (Indonesia); Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of); Lee, Joong Kee, E-mail: leejk@kist.re.kr [Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791 (Korea, Republic of); Department of Energy and Environmental Engineering, Korea University of Science and Technology, 176 Gajungro Yuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2016-05-15

    The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, including a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.

  5. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  6. Synthesis of tin oxide nanoparticle film by cathodic electrodeposition.

    Science.gov (United States)

    Kim, Seok; Lee, Hochun; Park, Chang Min; Jung, Yongju

    2012-02-01

    Three-dimensional SnO2 nanoparticle films were deposited onto a copper substrate by cathodic electrodeposition in a nitric acid solution. A new formation mechanism for SnO2 films is proposed based on the oxidation of Sn2+ ion to Sn4+ ion by NO+ ion and the hydrolysis of Sn4+. The particle size of SnO2 was controlled by deposition potential. The SnO2 showed excellent charge capacity (729 mAh/g) at a 0.2 C rate and high rate capability (460 mAh/g) at a 5 C rate.

  7. Thin Film Technology of High-Critical-Temperature Superconducting Electronics.

    Science.gov (United States)

    1985-12-11

    ANALISIS OF THIN-FILM SUPERCONDUCTORS J. Talvacchio, M. A. Janocko, J. R. Gavaler, and A...in the areas of substrate preparation, niobum nitride, nlobium-tin, and molybdenum-rhenium. AN INTEGRATED DEPOSITION AND ANALISI - FACILITT The four...mobility low (64). The voids are separating 1-3 nm clusters of dense deposit. At low deposition temperatures this microstructure will persist near

  8. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  9. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  10. Electron transfer across anodic films formed on tin in carbonate-bicarbonate buffer solution

    Energy Technology Data Exchange (ETDEWEB)

    Gervasi, C.A. [Universidad Nacional de La Plata (Argentina). Facultad de Ciencias Exactas; Universidad Nacional de La Plata (Argentina). Facultad de Ingenieria; Folquer, M.E. [Universidad Nacional de Tucaman (Argentina). Inst. de Quimica Fisica; Vallejo, A.E. [Universidad Nacional de La Plata (Argentina). Facultad de Ingenieria; Alvarez, P.E. [Universidad Nacional de Tucaman (Argentina). Inst. de Fisica

    2005-01-15

    Impedance and steady-state data were recorded in order to study the kinetics of electron transfer between passive tin electrodes and an electrolytic solution containing the K{sub 3}Fe(CN){sub 6}-K{sub 4}Fe(CN){sub 6} redox couple. Film thickness plays a key role in determining the type of electronic conduction of these oxide covered electrodes. Electron exchange with the oxide takes place with participation of the conduction band in the semiconducting film. A mechanism involving direct electron tunneling through the space charge barrier is the most suitable to interpret the experimental evidence. (Author)

  11. Multilayer TiC/TiN diffusion barrier films for copper

    International Nuclear Information System (INIS)

    Yoganand, S.N.; Raghuveer, M.S.; Jagannadham, K.; Wu, L.; Karoui, A.; Rozgonyi, G.

    2002-01-01

    TiC/TiN thin films deposited by reactive magnetron sputtering on Si (100) substrates were investigated by transmission electron microscopy for microstructure and by deep level transient spectroscopy (DLTS) for diffusion barrier against copper. TiN thin films deposited on Si substrates at a substrate temperature of 600 deg. C were textured, and TiC thin films deposited at the same temperature were polycrystalline. TiC/TiN multilayer films also showed the same characteristics with the formation of an additional interaction layer. The diffusion barrier characteristics of the TiC/TiN/Si were determined by DLTS and the results showed that the films completely prevented diffusion of copper into Si

  12. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  13. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  14. Thermally evaporated thin films of SnS for application in solar cell devices

    International Nuclear Information System (INIS)

    Miles, Robert W.; Ogah, Ogah E.; Zoppi, Guillaume; Forbes, Ian

    2009-01-01

    SnS (tin sulphide) is of interest for use as an absorber layer and the wider energy bandgap phases e.g. SnS 2 , Sn 2 S 3 and Sn/S/O alloys of interest as Cd-free buffer layers for use in thin film solar cells. In this work thin films of tin sulphide have been thermally evaporated onto glass and SnO 2 :coated glass substrates with the aim of optimising the properties of the material for use in photovoltaic solar cell device structures. In particular the effects of source temperature, substrate temperature, deposition rate and film thickness on the chemical and physical properties of the layers were investigated. Energy dispersive X-ray analysis was used to determine the film composition, X-ray diffraction to determine the phases present and structure of each phase, transmittance and reflectance versus wavelength measurements to determine the energy bandgap and scanning electron microscopy to observe the surface topology and topography and the properties correlated to the deposition parameters. Using the optimised conditions it is possible to produce thin films of tin sulphide that are pinhole free, conformal to the substrate and that consist of densely packed columnar grains. The composition, phases present and the optical properties of the layers deposited were found to be highly sensitive to the deposition conditions. Energy bandgaps in the range 1.55 eV-1.7 eV were obtained for a film thickness of 0.8 μm, and increasing the film thickness to > 1 μm resulted in a reduction of the energy bandgap to less than 1.55 eV. The applicability of using these films in photovoltaic solar cell device structures is also discussed.

  15. Screen-printed Tin-doped indium oxide (ITO) films for NH3 gas sensing

    International Nuclear Information System (INIS)

    Mbarek, Hedia; Saadoun, Moncef; Bessais, Brahim

    2006-01-01

    Gas sensors using metal oxides have several advantageous features such as simplicity in device structure and low cost fabrication. In this work, Tin-doped indium oxide (ITO) films were prepared by the screen printing technique onto glass substrates. The granular and porous structure of screen-printed ITO are suitable for its use in gas sensing devices. The resistance of the ITO films was found to be strongly dependent on working temperatures and the nature and concentration of the ambient gases. We show that screen-printed ITO films have good sensing properties toward NH 3 vapours. The observed behaviors are explained basing on the oxidizing or the reducer nature of the gaseous species that react on the surface of the heated semi-conducting oxide

  16. Excimer laser sintering of indium tin oxide nanoparticles for fabricating thin films of variable thickness on flexible substrates

    International Nuclear Information System (INIS)

    Park, Taesoon; Kim, Dongsik

    2015-01-01

    Technology to fabricate electrically-conducting, transparent thin-film patterns on flexible substrates has possible applications in flexible electronics. In this work, a pulsed-laser sintering process applicable to indium tin oxide (ITO) thin-film fabrication on a substrate without thermal damage to the substrate was developed. A nanosecond pulsed laser was used to minimize thermal penetration into the substrate and to control the thickness of the sintered layer. ITO nanoparticles (NPs) of ~ 20 nm diameter were used to lower the process temperature by exploiting their low melting point. ITO thin film patterns were fabricated by first spin coating the NPs onto a surface, then sintering them using a KrF excimer laser. The sintered films were characterized using field emission scanning electron microscopy. The electrical resistivity and transparency of the film were measured by varying the process parameters. A single laser pulse could generate the polycrystalline structure (average grain size ~ 200 nm), reducing the electrical resistivity of the film by a factor of ~ 1000. The sintering process led to a minimum resistivity of 1.1 × 10 −4 Ω·m without losing the transparency of the film. The thickness of the sintered layer could be varied up to 150 nm by adjusting the laser fluence. Because the estimated thermal penetration depth in the ITO film was less than 200 nm, no thermal damage was observed in the substrate. This work suggests that the proposed process, combined with various particle deposition methods, can be an effective tool to form thin-film ITO patterns on flexible substrates. - Highlights: • Excimer laser sintering can fabricate ITO thin films on flexible substrates. • The laser pulse can form a polycrystalline structure without thermal damage. • The laser sintering process can reduce the electrical resistivity substantially. • The thickness of the sintered layer can be varied effectively

  17. Excimer laser sintering of indium tin oxide nanoparticles for fabricating thin films of variable thickness on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Taesoon; Kim, Dongsik, E-mail: dskim87@postech.ac.kr

    2015-03-02

    Technology to fabricate electrically-conducting, transparent thin-film patterns on flexible substrates has possible applications in flexible electronics. In this work, a pulsed-laser sintering process applicable to indium tin oxide (ITO) thin-film fabrication on a substrate without thermal damage to the substrate was developed. A nanosecond pulsed laser was used to minimize thermal penetration into the substrate and to control the thickness of the sintered layer. ITO nanoparticles (NPs) of ~ 20 nm diameter were used to lower the process temperature by exploiting their low melting point. ITO thin film patterns were fabricated by first spin coating the NPs onto a surface, then sintering them using a KrF excimer laser. The sintered films were characterized using field emission scanning electron microscopy. The electrical resistivity and transparency of the film were measured by varying the process parameters. A single laser pulse could generate the polycrystalline structure (average grain size ~ 200 nm), reducing the electrical resistivity of the film by a factor of ~ 1000. The sintering process led to a minimum resistivity of 1.1 × 10{sup −4} Ω·m without losing the transparency of the film. The thickness of the sintered layer could be varied up to 150 nm by adjusting the laser fluence. Because the estimated thermal penetration depth in the ITO film was less than 200 nm, no thermal damage was observed in the substrate. This work suggests that the proposed process, combined with various particle deposition methods, can be an effective tool to form thin-film ITO patterns on flexible substrates. - Highlights: • Excimer laser sintering can fabricate ITO thin films on flexible substrates. • The laser pulse can form a polycrystalline structure without thermal damage. • The laser sintering process can reduce the electrical resistivity substantially. • The thickness of the sintered layer can be varied effectively.

  18. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  19. Corrosion resistance of siloxane–poly(methyl methacrylate) hybrid films modified with acetic acid on tin plate substrates: Influence of tetraethoxysilane addition

    Energy Technology Data Exchange (ETDEWEB)

    Kunst, S.R.; Cardoso, H.R.P. [LAPEC, Federal University of Rio Grande do Sul – UFRGS, Avenida Bento Gonçalves, 9500 Porto Alegre, RS (Brazil); Oliveira, C.T. [ICET, University Feevale, RS-239, 2755 Novo Hamburgo, RS (Brazil); Santana, J.A.; Sarmento, V.H.V. [Department of Chemistry, Federal University of Sergipe – UFS, Av. Vereador Olímpio Grande s/n, Centro, Itabaiana, SE (Brazil); Muller, I.L. [LAPEC, Federal University of Rio Grande do Sul – UFRGS, Avenida Bento Gonçalves, 9500 Porto Alegre, RS (Brazil); Malfatti, C.F., E-mail: celia.malfatti@ufrgs.br [LAPEC, Federal University of Rio Grande do Sul – UFRGS, Avenida Bento Gonçalves, 9500 Porto Alegre, RS (Brazil)

    2014-04-01

    Highlights: • Siloxane–PMMA film was produced by dip-coating on tin plate substrate. • It was evaluated the influence of (TEOS) addition on siloxane–PMMA hybrid films. • Siloxane–PMMA films without TEOS presented a regular coverage and lowest roughness. • The TEOS addition decrease the corrosion resistance of siloxane–PMMA films. • Siloxane–PMMA without TEOS presented is higher durability in the film wear test. - Abstract: The aim of this paper is to study the corrosion resistance of hybrid films. Tin plate was coated with a siloxane–poly (methyl methacrylate) (PMMA) hybrid film prepared by sol–gel route with covalent bonds between the organic (PMMA) and inorganic (siloxane) phases obtained by hydrolysis and polycondensation of 3-(trimethoxysilylpropyl) methacrylate (TMSM) and polymerization of methyl methacrylate (MMA) using benzoyl peroxide (BPO) as a thermic initiator. Hydrolysis reactions were catalyzed by acetic acid solution avoiding the use of chlorine or stronger acids in the film preparation. The effect of the addition of tetraethoxysilane (TEOS) on the protective properties of the film was evaluated. The hydrophobicity of the film was determined by contact angle measurements, and the morphology was evaluated by scanning electron microscopy (SEM) and profilometry. The local nanostructure was investigated by Fourier transform infrared spectroscopy (FT-IR). The electrochemical behavior of the films was assessed by open circuit potential monitoring, potentiodynamic polarization and electrochemical impedance spectroscopy (EIS) measurements in a 0.05 M NaCl solution. The mechanical behavior was evaluated by tribology. The results highlighted that the siloxane–PMMA hybrid films modified with acetic acid are promising anti-corrosive coatings that acts as an efficient diffusion barrier, protecting tin plates against corrosion. However, the coating properties were affected by the TEOS addition, which contributed for the thickness increase

  20. Preparation and characterization of indium tin oxide thin films for their application as gas sensors

    International Nuclear Information System (INIS)

    Vaishnav, V.S.; Patel, P.D.; Patel, N.G.

    2005-01-01

    The structural and electrical properties of indium tin oxide (In 2 O 3 /SnO 2 ) thin films grown using direct evaporation technique on various substrates at different temperatures were studied. The effect of annealing, of films with different weight percent concentration of SnO 2 in In 2 O 3 and of different thickness on the structural and electrical properties were studied and optimized for use as gas sensor. The stability of the films against time and temperature variations was studied. The effect of the catalytic layers on the sensor microstructure and its performance towards the gas sensing application was observed

  1. Swift heavy ion induced modification in morphological and physico-chemical properties of tin oxide nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Jaiswal, Manoj Kumar [University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, New Delhi 110 078 (India); Kanjilal, D. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Kumar, Rajesh, E-mail: rajeshkumaripu@gmail.com [University School of Basic and Applied Sciences, Guru Gobind Singh Indraprastha University, New Delhi 110 078 (India)

    2013-11-15

    Nanocomposite thin films of tin oxide (SnO{sub 2})/titanium oxide (TiO{sub 2}) were grown on silicon (1 0 0) substrates by electron beam evaporation deposition technique using sintered nanocomposite pellet of SnO{sub 2}/TiO{sub 2} in the percentage ratio of 95:5. Sintering of the nanocomposite pellet was done at 1300 °C for 24 h. The thicknesses of these films were measured to be 100 nm during deposition using piezo-sensor attached to the deposition chamber. TiO{sub 2} doped SnO{sub 2} nanocomposite films were irradiated by 100 MeV Au{sup 8+} ion beam at fluence range varying from 1 × 10{sup 11} ions/cm{sup 2} to 5 × 10{sup 13} ions/cm{sup 2} at Inter University Accelerator Center (IUAC), New Delhi, India. Chemical properties of pristine and ion irradiation modified thin films were characterized by Fourier Transform Infrared (FTIR) spectroscopy. FTIR peak at 610 cm{sup −1} confirms the presence of O–Sn–O bridge of tin (IV) oxide signifying the composite nature of pristine and irradiated thin films. Atomic Force Microscope (AFM) in tapping mode was used to study the surface morphology and grain growth due to swift heavy ion irradiation at different fluencies. Grain size calculations obtained from sectional analysis of AFM images were compared with results obtained from Glancing Angle X-ray Diffraction (GAXRD) measurements using Scherrer’s formulae. Phase transformation due to irradiation was observed from Glancing Angle X-ray Diffraction (GAXRD) results. The prominent 2θ peaks observed in GAXRD spectrum are at 30.67°, 32.08°, 43.91°, 44.91° and 52.35° in the irradiated films.

  2. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  3. Electrophoretic deposition (EPD) of multi-walled carbon nano tubes (MWCNT) onto indium-tin-oxide (ITO) glass substrates

    International Nuclear Information System (INIS)

    Mohd Roslie Ali; Shahrul Nizam Mohd Salleh

    2009-01-01

    Full text: Multi-Walled Carbon Nano tubes (MWCNT) were deposited onto Indium-Tin-Oxide (ITO)-coated glass substrates by introducing the use of Electrophoretic Deposition (EPD) as the method. The Multi-Walled Carbon Nano tubes (MWCNT) were dispersed ultrasonically in ethanol and sodium hydroxide (NaOH) to form stable suspension. The addition of Sodium Hydroxide in ethanol can stabilize the suspension, which was very important step before the deposition take place. Two substrates of Indium-Tin-Oxide(ITO)-coated glass placed in parallel facing each other (conductive side) into the suspension. The deposition occurs at room temperature, which the distance fixed at 1 cm between both electrodes and the voltage level applied was fixed at 400 V, respectively. The deposition time also was fixed at 30 minutes. The deposited ITO-Glass with Multi-Walled Carbon Nano tubes (MWCNT) will be characterized using Scanning Electron Microscope (SEM), Atomic Force Microscope (AFM), and Raman Microscope. The images of SEM shows that the Multi -Walled Carbon Nano tubes (MWCNT) were distributed uniformly onto the surface of ITO-Glass. The deposited ITO-Glass with Multi-Walled Carbon Nano tubes (MWCNT) could be the potential material in various practical applications such as field emission devices, fuel cells, and super capacitors. Electrophoretic deposition (EPD) technique was found to be an efficient technique in forming well distribution of Multi-Walled Carbon Nano tubes (MWCNT) onto ITO-Glass substrates, as proved in characterization methods, in which the optimum conditions will play the major role. (author)

  4. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  5. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  6. Structural, optical and electrical peculiarities of r.f. plasma sputtered indium tin oxide films

    International Nuclear Information System (INIS)

    Boycheva, Sylvia; Sytchkova, Anna Krasilnikova; Grilli, Maria Luisa; Piegari, Angela

    2007-01-01

    In this work the influence of the deposition conditions on the structural, electrical and optical properties of the ITO films was studied. Films were deposited by r.f. plasma sputtering technique in Ar and varying Ar + O 2 gas mixtures, with and without substrate heating. Transmittance and reflectance of the films were measured in the range 350-2500 nm; the refractive index (n) and the extinction coefficient (k) were calculated by the spectral data simulation. The sheet resistance of the films was measured by four-point probe method. X-ray diffraction analysis was performed to study the texture of the films. Threshold behaviour was observed in the optical and electrical properties of ITO films deposited in Ar + O 2 atmosphere at a certain oxygen concentration determined by a fix combination of all other deposition conditions. A schematic diagram for the change of the film properties versus composition was suggested, which explains the obtained results

  7. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    Energy Technology Data Exchange (ETDEWEB)

    Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)

    2014-02-15

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.

  8. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    International Nuclear Information System (INIS)

    Deen, I.; Zhitomirsky, I.

    2014-01-01

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties

  9. Ti{sub 2}Al(O,N) formation by solid-state reaction between substoichiometric TiN thin films and Al{sub 2}O{sub 3} (0001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Persson, P.O.A., E-mail: perpe@ifm.liu.se; Hoeglund, C.; Birch, J.; Hultman, L.

    2011-02-01

    Titanium nitride TiN{sub x} (0.1 {<=} x {<=} 1) thin films were deposited onto Al{sub 2}O{sub 3}(0001) substrates using reactive magnetron sputtering at substrate temperatures (T{sub s}) ranging from 800 to 1000 {sup o}C and N{sub 2} partial pressures (pN{sub 2}) between 13.3 and 133 mPa. It is found that Al and O from the substrates diffuse into the substoichiometric TiN{sub x} films during deposition. Solid-state reactions between the film and substrate result in the formation of Ti{sub 2}O and Ti{sub 3}Al domains at low N{sub 2} partial pressures, while for increasing pN{sub 2}, the Ti{sub 2}AlN MAX phase nucleates and grows together with TiN{sub x}. Depositions at increasingly stoichiometric conditions result in a decreasing incorporation of substrate species into the growing film. Eventually, a stoichiometric deposition gives a stable TiN(111) || Al{sub 2}O{sub 3}(0001) structure without the incorporation of substrate species. Growth at T{sub s} 1000 {sup o}C yields Ti{sub 2}AlN(0001), leading to a reduced incorporation of substrate species compared to films grown at 900 {sup o}C, which contain also Ti{sub 2}AlN(101-bar3) grains. Finally, the Ti{sub 2}AlN domains incorporate O, likely on the N site, such that a MAX phase oxynitride Ti{sub 2}Al(O,N) is formed. The results were obtained by a combination of structural methods, including X-ray diffraction and (scanning) transmission electron microscopy, together with spectroscopy methods, which comprise elastic recoil detection analysis, energy dispersive X-ray spectroscopy, and electron energy loss spectroscopy.

  10. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  11. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  12. Low field critical currents and ac losses of thin film niobium--tin superconductors

    International Nuclear Information System (INIS)

    Howard, R.E.

    1977-01-01

    The results of a study of the low field critical current and ac loss properties of niobium-tin thin films and layered composites fabricated by electron-beam coevaporation are presented. Particular emphasis is placed upon determining the suitability of this material for use as a conductor in a superconducting power transmission line. Chapter I contains a summary of this work and its major results together with an introduction to the scientific and engineering concepts associated with a superconducting power transmission line. Chapter II is a discussion of the physics of current transport and the associated loss mechanisms in a type-II superconductor. Chapter III gives the details of the electron-beam coevaporation technique developed to fabricate the samples for this study. Also discussed in this chapter are the effects of the evaporation conditions on the growth morphology of the niobium-tin films. Chapter IV presents the details of the experimental techniques developed to measure the ac loss and critical current in these samples as a function of temperature. Chapter V shows the dependence of the critical current of these films and composites on temperature, magnetic field, and on the number of artificially introduced pinning centers in the layered composites. Experimental results are also presented concerning the stability of these conductors against flux jumps. Chapter VI is a discussion of the ac losses in these samples. Detailed comparisons are made between the measured loss and the predictions of the critical state model

  13. Optical behaviour of sprayed tin sulphide thin films

    International Nuclear Information System (INIS)

    Reddy, N. Koteeswara; Reddy, K.T. Ramakrishna

    2006-01-01

    SnS films have been grown by spray pyrolysis technique on Corning 7059 glass substrates at different substrate temperatures that vary in the range of 100-450deg. C, keeping the other deposition parameters constant. The optical properties of the films were systematically studied using the optical transmittance and reflectance data. The optical absorption coefficient and optical energy band gap of the films were evaluated. The variation of refractive index and extinction coefficient with photon energy for the films grown at different temperatures were studied. The SnS films grown at the substrate temperature range 300-375deg. C, were showed an absorption coefficient >10 4 cm -1 with the energy band gap 1.32eV, measured at room temperature. For these films, the material properties such as the dielectric constants (n, n 0 , k, ε 0 and ε ∞ ), plasma frequency (ω p ), hole effective mass (m h *) and carrier density (N opt ) were also evaluated

  14. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  15. Structural studies on Langmuir-Blodgett ultra-thin films on tin (IV) stearate using X-ray diffraction technique

    International Nuclear Information System (INIS)

    Mohamad Deraman; Muhamad Mat Salleh; Mohd Ali Sulaiman; Mohd Ali Sufi

    1991-01-01

    X-ray diffraction measurements were carried out on Langmuir-Blodgett (LB) ultra-thin films of tin (IV) stearate for different numbers of layers. The structural information such as interplanar spacing, unit cells spacing, molecular length and orientation of molecular chains were obtained from the diffraction data. This information is discussed and compared with that previously published for LB ultra-thin films of manganese stearate and cadmium stearate

  16. Electrochemical dissolution of tin in methanesulphonic acid solutions

    NARCIS (Netherlands)

    de Greef, R.A.T.; Janssen, L.J.J.

    2001-01-01

    High-rate electroplating of tin on a moving steel strip is generally carried out in cells with dimensionally stable anodes. To obtain a matt tin deposit a concentrated acidic tin methanesulphonate solution containing a small concentration of sulphuric acid is used. The concentrated tin

  17. Impact of soft annealing on the performance of solution-processed amorphous zinc tin oxide thin-film transistors

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    It is demonstrated that soft annealing duration strongly affects the performance of solution-processed amorphous zinc tin oxide thin-film transistors. Prolonged soft annealing times are found to induce two important changes in the device: (i) a

  18. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  19. Characterization of lead zirconate titanate (PZT)--indium tin oxide (ITO) thin film interface

    International Nuclear Information System (INIS)

    Sreenivas, K.; Sayer, M.; Laursen, T.; Whitton, J.L.; Pascual, R.; Johnson, D.J.; Amm, D.T.

    1990-01-01

    In this paper the interface between ultrathin sputtered lead zirconate titanate (PZT) films and a conductive electrode (indium tin oxide-ITO) is investigated. Structural and compositional changes at the PZT-ITO interface have been examined by surface analysis and depth profiling techniques of glancing angle x-ray diffraction, Rutherford backscattering (RBS), SIMS, Auger electron spectroscopy (AES), and elastic recoil detection analysis (ERDA). Studies indicate significant interdiffusion of lead into the underlying ITP layer and glass substrate with a large amount of residual stress at the interface. Influence of such compositional deviations at the interface is correlated to an observed thickness dependence in the dielectric properties of PZT films

  20. Raman scattering, electrical and optical properties of fluorine-doped tin oxide thin films with (200) and (301) preferred orientation

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Chang-Yeoul, E-mail: cykim15@kicet.re.kr [Nano-Convergence Intelligence Material Team, Korea Institute of Ceramic Eng. and Tech., Gasan-digtial-ro 10 Gil 77 Geumcheon-gu, 153-801 Seoul (Korea, Republic of); Riu, Doh-Hyung [Dept. of New Material Sci. and Eng., Seoul National University of Technology, Seoul (Korea, Republic of)

    2014-12-15

    (200) and (301) preferred oriented fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added and water-based FTO precursor solutions, respectively. (200) oriented FTO thin film from ethanol-added solution shows the lower electrical resistivity and visible light transmission than (301) preferred thin film from water-based solution. It is due to the higher carrier concentration and electron mobility in (200) oriented crystals, that is, the lower ionized impurity scattering. The higher electron concentration is related to the higher optical band gap energy, the lower visible light transmission, and the higher IR reflection. For (301) preferred FTO thin films from water-based solution, the lower carrier concentration and electron mobility make the higher electrical resistivity and visible light transmission. Raman scattering analysis shows that IR active modes prominent in (200) oriented FTO thin film are related with the lower electrical resistivity. - Highlights: • We coated fluorine-doped tin oxide thin films with preferred orientation of (200) and (301). • We examine changes in the level of electrical and optical properties with the orientation. • (200) preferred orientation showed lower electrical resistivity and optical transmittance. • (200) oriented thin films have higher electron concentrations that are related with IR active modes.

  1. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  2. Lithium intercalation in sputter deposited antimony-doped tin oxide thin films: Evidence from electrochemical and optical measurements

    Energy Technology Data Exchange (ETDEWEB)

    Montero, J., E-mail: jose.montero@angstrom.uu.se; Granqvist, C. G.; Niklasson, G. A. [Department of Engineering Sciences, The A°ngström Laboratory, Uppsala University, P.O. Box 534, SE-751 21 Uppsala (Sweden); Guillén, C.; Herrero, J. [Department of Energy, Ciemat, Avda. Complutense 40, Ed. 42, E-28040 Madrid (Spain)

    2014-04-21

    Transparent conducting oxides are used as transparent electrical contacts in a variety of applications, including in electrochromic smart windows. In the present work, we performed a study of transparent conducting antimony-doped tin oxide (ATO) thin films by chronopotentiometry in a Li{sup +}-containing electrolyte. The open circuit potential vs. Li was used to investigate ATO band lineups, such as those of the Fermi level and the ionization potential, as well as the dependence of these lineups on the preparation conditions for ATO. Evidence was found for Li{sup +} intercalation when a current pulse was set in a way so as to drive ions from the electrolyte into the ATO lattice. Galvanostatic intermittent titration was then applied to determine the lithium diffusion coefficient within the ATO lattice. The electrochemical density of states of the conducting oxide was studied by means of the transient voltage recorded during the chronopotentiometry experiments. These measurements were possible because, as Li{sup +} intercalation took place, charge compensating electrons filled the lowest part of the conduction band in ATO. Furthermore, the charge insertion modified the optical properties of ATO according to the Drude model.

  3. Effect of thermal annealing on resistance switching characteristics of Pt/ZrO2/TiN stacks

    International Nuclear Information System (INIS)

    Kim, Jonggi; Lee, Kyumin; Kim, Yonjae; Na, Heedo; Ko, Dae-Hong; Sohn, Hyunchul; Lee, Sunghoon

    2013-01-01

    In this study, the effect of thermal annealing on both the physical properties and the resistive switching properties of ZrO 2 films deposited by atomic layer deposition (ALD) method were investigated for its potential application to non-volatile memory devices. The ZrO 2 films in the Pt/ZrO 2 /TiN structure exhibited unipolar and bipolar resistance switching behaviors depending on the nature of the bias applied to Pt top electrodes for the electro-forming process. For unipolar switching, the resistance of the high resistance state (HRS) was reduced with increasing annealing temperature, accompanied with the increase of metallic Zr in the annealed ZrO 2 films. In contrast, the HRS resistance in the bipolar switching was increased while the low resistance state (LRS) resistance was decreased with increasing annealing temperature, producing a greater change in resistance. SIMS and EDX showed that the thickness of interfacial TiO x N y layer between the ZrO 2 and the TiN bottom electrode was enlarged with annealing. The enlarged TiO x N y layer was expected to produce the reduction of LRS resistance with the increase of HRS resistance in the bipolar resistance switching. - Highlights: • Effect of thermal annealing on resistive switching of ZrO 2 was investigated. • Both unipolar and bipolar switching were shown in the Pt/ZrO 2 /TiN stack. • TiO x N y interface layer was enlarged with increasing annealing temperature. • TiO x N y interface plays an important role in resistive switching properties

  4. Positron beam study of indium tin oxide films on GaN

    International Nuclear Information System (INIS)

    Cheung, C K; Wang, R X; Beling, C D; Djurisic, A B; Fung, S

    2007-01-01

    Variable energy Doppler broadening spectroscopy has been used to study open-volume defects formed during the fabrication of indium tin oxide (ITO) thin films grown by electron-beam evaporation on n-GaN. The films were prepared at room temperature, 200 and 300 deg. C without oxygen and at 200 deg. C under different oxygen partial pressures. The results show that at elevated growth temperatures the ITO has fewer open volume sites and grows with a more crystalline structure. High temperature growth, however, is not sufficient in itself to remove open volume defects at the ITO/GaN interface. Growth under elevated temperature and under partial pressure of oxygen is found to further reduce the vacancy type defects associated with the ITO film, thus improving the quality of the film. Oxygen partial pressures of 6 x 10 -3 mbar and above are found to remove open volume defects associated with the ITO/GaN interface. The study suggests that, irrespective of growth temperature and oxygen partial pressure, there is only one type of defect in the ITO responsible for trapping positrons, which we tentatively attribute to the oxygen vacancy

  5. Electrophoretic deposition of Cu2ZnSn(S0.5Se0.5)4 films using solvothermal synthesized nanoparticles

    Science.gov (United States)

    Badkoobehhezaveh, Amir Masoud; Abdizadeh, Hossein; Golobostanfard, Mohammad Reza

    2018-01-01

    In this paper, a simple, practical, and fast solvothermal route is presented for synthesizing the Cu2ZnSn(S0.5Se0.5)4 nanoparticles (CZTSSe). In this method, the precursors were dissolved in triethylenetetramine and placed in an autoclave at 240 °C for 1 h under controlled pressure and constant stirring. After washing the samples for several times with absolute ethanol, the obtained CZTSSe nanoparticles were successfully deposited on fluorine doped tin oxide substrates by convenient electrophoretic deposition (EPD) using colloidal nanoparticles. The most appropriate parameters for EPD of pre-synthesized CZTSSe nanoparticles which result in proper surface properties, controlled thickness, and high film quality are investigated by adjusting applied voltage, pH, and deposition time. X-ray diffraction pattern and Raman spectroscopy of the pre-synthesized nanoparticles show kesterite structure formation. The particle size of the CZTSSe nanoparticles is in the range of 100 to 400 nm and for some agglomerates, it is about 2 µm confirmed by scanning electron microscope. The deposited film with optimized parameter has acceptable quality without any crack in it with the thickness of about 4-5 µm. Energy-dispersive X-ray spectroscopy confirms that the chemical composition of the samples is in near stoichiometric Cu-poor and Zn-rich region, which guarantees the p-type character of the film. The diffuse reflectance spectroscopy also demonstrates that the optical band gap of the sample is about 1.2 eV.

  6. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  7. Remote plasma sputtering of indium tin oxide thin films for large area flexible electronics

    International Nuclear Information System (INIS)

    Yeadon, A.D.; Wakeham, S.J.; Brown, H.L.; Thwaites, M.J.; Whiting, M.J.; Baker, M.A.

    2011-01-01

    Indium tin oxide (ITO) thin films with a specific resistivity of 3.5 × 10 −4 Ω cm and average visible light transmission (VLT) of 90% have been reactively sputtered onto A4 Polyethylene terephthalate (PET), glass and silicon substrates using a remote plasma sputtering system. This system offers independent control of the plasma density and the target power enabling the effect of the plasma on ITO properties to be studied. Characterization of ITO on glass and silicon has shown that increasing the plasma density gives rise to a decrease in the specific resistivity and an increase in the optical band gap of the ITO films. Samples deposited at plasma powers of 1.5 kW, 2.0 kW and 2.5 kW and optimized oxygen flow rates exhibited specific resistivity values of 3.8 × 10 −4 Ω cm, 3.7 × 10 −4 Ω cm and 3.5 × 10 −4 Ω cm and optical gaps of 3.48 eV, 3.51 eV and 3.78 eV respectively. The increase in plasma density also influenced the crystalline texture and the VLT increased from 70 to 95%, indicating that more oxygen is being incorporated into the growing film. It has been shown that the remote plasma sputter technique can be used in an in-line process to produce uniform ITO coatings on PET with specific resistivities of between 3.5 × 10 −4 and 4.5 × 10 −4 Ω cm and optical transmission of greater than 85% over substrate widths of up to 30 cm.

  8. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  9. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    OpenAIRE

    Deepak Kumar Kaushik; K. Uday Kumar; A. Subrahmanyam

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl∼1; kF is the Fermi wave vector and l ...

  10. Spray Pyrolyzed Polycrystalline Tin Oxide Thin Film as Hydrogen Sensor

    Directory of Open Access Journals (Sweden)

    Ganesh E. Patil

    2010-09-01

    Full Text Available Polycrystalline tin oxide (SnO2 thin film was prepared by using simple and inexpensive spray pyrolysis technique (SPT. The film was characterized for their phase and morphology by X-ray diffraction (XRD and scanning electron microscopy (SEM, respectively. The crystallite size calculated from the XRD pattern is 84 nm. Conductance responses of the polycrystalline SnO2 were measured towards gases like hydrogen (H2, liquefied petroleum gas (LPG, ethanol vapors (C2H5OH, NH3, CO, CO2, Cl2 and O2. The gas sensing characteristics were obtained by measuring the sensor response as a function of various controlling factors like operating temperature, operating voltages (1 V, 5 V, 10 V 15 V, 20 V and 25 V and concentration of gases. The sensor response measurement showed that the SnO2 has maximum response to hydrogen. Furthermore; the SnO2 based sensor exhibited fast response and good recovery towards hydrogen at temperature 150 oC. The result of response towards H2 reveals that SnO2 thin film prepared by SPT would be a suitable material for the fabrication of the hydrogen sensor.

  11. Physicochemical characterization of point defects in fluorine doped tin oxide films

    Science.gov (United States)

    Akkad, Fikry El; Joseph, Sudeep

    2012-07-01

    The physical and chemical properties of spray deposited FTO films are studied using FESEM, x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), electrical and optical measurements. The results of XRD measurements showed that the films are polycrystalline (grain size 20-50 nm) with Rutile structure and mixed preferred orientation along the (200) and (110) planes. An angular shift of the XRD peaks after F-doping is observed and interpreted as being due to the formation of substitutional fluorine defects (FO) in presence of high concentration of oxygen vacancies (VO) that are electrically neutral. The electrical neutrality of oxygen vacancies is supported by the observation that the electron concentration n is two orders of magnitude lower than the VO concentration calculated from chemical analyses using XPS measurements. It is shown that an agreement between XPS, XRD, and Hall effect results is possible provided that the degree of deviation from stoichiometry is calculated with the assumption that the major part of the bulk carbon content is involved in O-C bonds. High temperature thermal annealing is found to cause an increase in the FO concentration and a decrease in both n and VO concentrations with the increase of the annealing temperature. These results could be interpreted in terms of a high temperature chemical exchange reaction between the SnO2 matrix and a precipitated fluoride phase. In this reaction, fluorine is released to the matrix and Sn is trapped by the fluoride phase, thus creating substitutional fluorine FO and tin vacancy VSn defects. The enthalpy of this reaction is determined to be approximately 2.4 eV while the energy of formation of a VSn through the migration of SnSn host atom to the fluoride phase is approximately 0.45 eV.

  12. Effect of thermal treatment on solid–solid interface of hematite thin film synthesized by spin-coating deposition solution

    International Nuclear Information System (INIS)

    Bellido-Aguilar, Daniel Angel; Tofanello, Aryane; Souza, Flavio L.; Furini, Leonardo Negri; Constantino, Carlos José Leopoldo

    2016-01-01

    This work describes hematite films prepared by a spin-coating deposition solution (SCDS) method that is a sol–gel method derived technique. Hematite films were prepared at two heat treatment temperatures (500 °C and 800 °C) and the influence of thermal treatment on the photoelectrochemical performance was studied. In addition, since the SCDS method allows an optimal control of stoichiometry and impurity incorporation, hematite films modified with Zn 2+ and Sn 4+ were also prepared. The 800 °C-treated hematite films had a higher wettability and roughness that enabled them to have a better photocatalytic response in comparison with that of 500 °C-treated hematite films. Moreover, modified hematite films demonstrated to have a performance slightly better than that of undoped hematite film as shown in linear sweep voltammetry and chronoamperometry results. Although an improvement in the performance of hematite films was achieved by annealing at higher temperatures and incorporating Zn 2+ or Sn 4+ , the general photocatalytic response of the films was poor. Two plausible hypotheses were discussed related to the (i) dopant segregation at grain boundary, and (ii) poor contact between the hematite and fluorine doped tin oxide layer (from the glass substrate), which was experimentally confirmed by a cross-sectional analysis conducted using scanning electron microscopy (SEM). In fact, additional experiments need to be done in order to improve the hematite deposition and make the SCDS a promise method for industrial application. - Highlights: • High temperature of annealing decreases the hematite adherence and performance. • Zn 2+ and Sn 4+ dopants affected differently the photocurrent onset potentials. • Dopants affected the grain size due to their segregation at grain boundaries.

  13. Magnetic properties and microstructure investigation of electrodeposited FeNi/ITO films with different thickness

    International Nuclear Information System (INIS)

    Cao, Derang; Wang, Zhenkun; Feng, Erxi; Wei, Jinwu; Wang, Jianbo; Liu, Qingfang

    2013-01-01

    Highlights: •FeNi alloy thin films with different thickness deposited on Indium Tin Oxides (ITOs) conductive glass substrates by electrodeposition method. •A columnar crystalline microstructure and domain structure were obtained in FeNi thin films. •Particular FMR spectra of FeNi alloy with different thickness were studied. -- Abstract: FeNi alloy thin films with different thickness deposited on Indium Tin Oxides (ITOs) conductive glass substrates from the electrolytes by electrodeposition method have been studied by magnetic force microscopy (MFM), scanning electron microscopy (SEM) and ferromagnetic resonance (FMR) technique. For these films possessing an in-plane isotropy, the remanence decreases with the increasing of film thickness and the critical thickness that a stripe domain structure emerges is about 116 nm. Characteristic differences of the FMR spectra of different thickness are also observed. The results show that the resonance field at high measured angle increases firstly then decreases with increasing thickness, which may be related to the striped domain structure

  14. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  15. Cesium-incorporated indium-tin-oxide films for use as a cathode with low work function for a transparent organic light-emitting device

    International Nuclear Information System (INIS)

    Uchida, Takayuki; Mimura, Toshifumi; Ohtsuka, Masao; Otomo, Toshio; Ide, Mieko; Shida, Azusa; Sawada, Yutaka

    2006-01-01

    Transparent organic light-emitting devices (TOLEDs) were successfully fabricated utilizing a novel transparent conducting cathode with low work function. Cesium-incorporated indium-tin-oxide film was deposited on the organic layers with negligible damage by simultaneous operation of RF magnetron sputtering using an ITO target and vacuum evaporation of metallic cesium. Incorporation of cesium in the ITO film was confirmed by XPS analysis. The work function (4.3 eV) determined by photoelectron spectroscopy in air (PESA) was lower than that of 0.3-0.4-eV without cesium-incorporation and stable under the atmospheric environment. The electron injection efficiency of cesium-incorporated ITO cathode in the present transparent OLED fabricated was comparable to that of the previous double-layered structure comprising of ITO cathode and an organic buffer layer (BCP) doped by evaporation of cesium [T. Uchida, S. Kaneta, M. Ichihara, M. Ohtsuka, T. Otomo, D.R. Marx, Jpn. J. Appl. Phys., 44, No. 9 (2005) L282

  16. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  17. Titanium nitride deposition in titanium implant alloys produced by powder metallurgy

    International Nuclear Information System (INIS)

    Henriques, V.A.R.; Cairo, C.A.A.; Faria, J.; Lemos, T.G.; Galvani, E.T.

    2009-01-01

    Titanium nitride (TiN) is an extremely hard material, often used as a coating on titanium alloy, steel, carbide, and aluminum components to improve wear resistance. Electron Beam Physical Vapor Deposition (EB-PVD) is a form of deposition in which a target anode is bombarded with an electron beam given off by a charged tungsten filament under high vacuum, producing a thin film in a substrate. In this work are presented results of TiN deposition in targets and substrates of Ti (C.P.) and Ti- 13 Nb- 13 Zr obtained by powder metallurgy. Samples were produced by mixing of hydride metallic powders followed by uniaxial and cold isostatic pressing with subsequent densification by sintering between 900°C up to 1400 °C, in vacuum. The deposition was carried out under nitrogen atmosphere. Sintered samples were characterized for phase composition, microstructure and microhardness by X-ray diffraction, scanning electron microscopy and Vickers indentation, respectively. It was shown that the samples were sintered to high densities and presented homogeneous microstructure, with ideal characteristics for an adequate deposition and adherence. The film layer presented a continuous structure with 15μm. (author)

  18. Spray pyrolysis deposition and photoelectrochemical properties of n-type BiOI nanoplatelet thin films.

    Science.gov (United States)

    Hahn, Nathan T; Hoang, Son; Self, Jeffrey L; Mullins, C Buddie

    2012-09-25

    Bismuth oxy-iodide is a potentially interesting visible-light-active photocatalyst; yet there is little research regarding its photoelectrochemical properties. Herein we report the synthesis of BiOI nanoplatelet photoelectrodes by spray pyrolysis on fluorine-doped tin oxide substrates at various temperatures. The films exhibited n-type conductivity, most likely due to the presence of anion vacancies, and optimized films possessed incident photon conversion efficiencies of over 20% in the visible range for the oxidation of I(-) to I(3)(-) at 0.4 V vs Ag/AgCl in acetonitrile. Visible-light photons (λ > 420 nm) contributed approximately 75% of the overall photocurrent under AM1.5G illumination, illustrating their usefulness under solar light illumination. A deposition temperature of 260 °C was found to result in the best performance due to the balance of morphology, crystallinity, impurity levels, and optical absorption, leading to photocurrents of roughly 0.9 mA/cm(2) at 0.4 V vs Ag/AgCl. Although the films performed stably in acetonitrile, their performance decreased significantly upon extended exposure to water, which was apparently caused by a loss of surface iodine and subsequent formation of an insulating bismuth hydroxide layer.

  19. Chemical Vapor Identification by Plasma Treated Thick Film Tin Oxide Gas Sensor Array and Pattern Recognition

    Directory of Open Access Journals (Sweden)

    J. K. Srivastava

    2011-02-01

    Full Text Available Present study deals the class recognition potential of a four element plasma treated thick film tin oxide gas sensor array exposed with volatile organic compounds (VOCs. Methanol, Ethanol and Acetone are selected as target VOCs and exposed on sensor array at different concentration in range from 100-1000 ppm. Sensor array consist of four tin oxide sensors doped with 1-4 % PbO concentrations were fabricated by thick film technology and then treated with oxygen plasma for 5-10 minute durations. Sensor signal is analyzed by principal component analysis (PCA for visual classification of VOCs. Further output of PCA is used as input for classification of VOCs by four pattern classification techniques as: linear discriminant analysis (LDA, k-nearest neighbor (KNN, back propagation neural network (BPNN and support vector machine (SVM. All the four classifier results 100 % correct classification rate of VOCs by response analysis of sensor array treated with plasma for 5 minute.

  20. Hydrogen ion sensors based on indium tin oxide thin film using radio frequency sputtering system

    International Nuclear Information System (INIS)

    Chiang, Jung-Lung; Jhan, Syun-Sheng; Hsieh, Shu-Chen; Huang, An-Li

    2009-01-01

    Indium tin oxide (ITO) thin films were deposited onto Si and SiO 2 /Si substrates using a radio frequency sputtering system with a grain size of 30-50 nm and thickness of 270-280 nm. ITO/Si and ITO/SiO 2 /Si sensing structures were achieved and connected to a standard metal-oxide-semiconductor field-effect transistor (MOSFET) as an ITO pH extended-gate field-effect transistor (ITO pH-EGFET). The semiconductor parameter analysis measurement (Keithley 4200) was utilized to measure the current-voltage (I-V) characteristics curves and study the sensing properties of the ITO pH-EGFET. The linear pH voltage sensitivities were about 41.43 and 43.04 mV/pH for the ITO/Si and ITO/SiO 2 /Si sensing structures, respectively. At the same time, both pH current sensitivities were about 49.86 and 51.73 μA/pH, respectively. Consequently, both sensing structures can be applied as extended-gate sensing heads. The separative structure is suitable for application as a disposable pH sensor.

  1. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  2. Electrical properties of sputtered-indium tin oxide film contacts on n-type GaN

    International Nuclear Information System (INIS)

    Hwang, J. D.; Lin, C. C.; Chen, W. L.

    2006-01-01

    A transparent indium tin oxide (ITO) Ohmic contact on n-type gallium nitride (GaN) (dopant concentration of 2x10 17 cm -3 ) having a specific contact resistance of 4.2x10 -6 Ω cm 2 was obtained. In this study, ITO film deposition method was implemented by sputtering. We found that the barrier height, 0.68 eV, between ITO and n-type GaN is the same for both evaporated- and sputtered-ITO films. However, the 0.68 eV in barrier height renders the evaporated-ITO/n-GaN Schottky contact. This behavior is different from that of our sputtered-ITO/n-GaN, i.e., Ohmic contact. During sputtering, oxygen atoms on the GaN surface were significantly removed, thereby resulting in an improvement in contact resistance. Moreover, a large number of nitrogen (N) vacancies, caused by sputtering, were produced near the GaN surface. These N vacancies acted as donors for electrons, thus affecting a heavily doped n-type formed at the subsurface below the sputtered ITO/n-GaN. Both oxygen removal and heavy doping near the GaN surface, caused by N vacancies, in turn led to a reduction in contact resistivity as a result of electrons tunneling across the depletion layer from the ITO to the n-type GaN. All explanations are given by Auger analysis and x-ray photoelectron spectroscopy

  3. Fabrication of high crystalline SnS and SnS2 thin films, and their switching device characteristics

    Science.gov (United States)

    Choi, Hyeongsu; Lee, Jeongsu; Shin, Seokyoon; Lee, Juhyun; Lee, Seungjin; Park, Hyunwoo; Kwon, Sejin; Lee, Namgue; Bang, Minwook; Lee, Seung-Beck; Jeon, Hyeongtag

    2018-05-01

    Representative tin sulfide compounds, tin monosulfide (SnS) and tin disulfide (SnS2) are strong candidates for future nanoelectronic devices, based on non-toxicity, low cost, unique structures and optoelectronic properties. However, it is insufficient for synthesizing of tin sulfide thin films using vapor phase deposition method which is capable of fabricating reproducible device and securing high quality films, and their device characteristics. In this study, we obtained highly crystalline SnS thin films by atomic layer deposition and obtained highly crystalline SnS2 thin films by phase transition of the SnS thin films. The SnS thin film was transformed into SnS2 thin film by annealing at 450 °C for 1 h in H2S atmosphere. This phase transition was confirmed by x-ray diffractometer and x-ray photoelectron spectroscopy, and we studied the cause of the phase transition. We then compared the film characteristics of these two tin sulfide thin films and their switching device characteristics. SnS and SnS2 thin films had optical bandgaps of 1.35 and 2.70 eV, and absorption coefficients of about 105 and 104 cm‑1 in the visible region, respectively. In addition, SnS and SnS2 thin films exhibited p-type and n-type semiconductor characteristics. In the images of high resolution-transmission electron microscopy, SnS and SnS2 directly showed a highly crystalline orthorhombic and hexagonal layered structure. The field effect transistors of SnS and SnS2 thin films exhibited on–off drain current ratios of 8.8 and 2.1 × 103 and mobilities of 0.21 and 0.014 cm2 V‑1 s‑1, respectively. This difference in switching device characteristics mainly depends on the carrier concentration because it contributes to off-state conductance and mobility. The major carrier concentrations of the SnS and SnS2 thin films were 6.0 × 1016 and 8.7 × 1013 cm‑3, respectively, in this experiment.

  4. Investigation of Influence of Gas Ratio on the Electron Temperature in TiN Magnetron Sputtering Deposition System

    Science.gov (United States)

    2013-07-01

    this work, a TiN nanolayer was deposited on the stainless steel substrate. The effect of the different experimental conditions on the electron...service life of substrate materials and improving the tribological performance of tools and machine parts in industrial applications. The progress

  5. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  6. Structural and photoluminescence characterization of SnO{sub 2}: F thin films deposited by advanced spray pyrolysis technique at low substrate temperature

    Energy Technology Data Exchange (ETDEWEB)

    Shewale, P.S. [Thin Film Physics Laboratory, Department of Electronics, Shivaji University, Kolhapur 416004 (India); Ung Sim, Kyu; Kim, Ye-bin; Kim, J.H. [Department of Materials Science and Engineering, Chonnam National University, 300 Yongbong-Dong, Buk-Gu, Gwangju 500757 (Korea, Republic of); Moholkar, A.V. [Department of Physics, Shivaji University, Kolhapur 416004 (India); Uplane, M.D., E-mail: mdu_eln@unishivaji.ac.in [Thin Film Physics Laboratory, Department of Electronics, Shivaji University, Kolhapur 416004 (India)

    2013-07-15

    Fluorine doped tin oxide (FTO) thin films were deposited on glass substrates, at different substrate temperatures using advanced spray pyrolysis technique. X-ray diffraction studies showed that the crystallinity of the thin films increased with increasing substrate temperature. FESEM and AFM studies support the conclusions drawn from X-ray diffraction studies. X-ray photoelectron studies confirm oxygen deficiency in formation of the FTO nanocrystallites. The photoluminescence of the FTO films were investigated. It was found that, room temperature photoluminescence spectra are dominated by oxygen vacancies and exhibit a rich violet photoluminescence band about ∼404 nm with an extensively feeble red emission about 700 nm. The Photoluminescence intensity varies with the substrate temperature. The photoemission position is observed to be independent of substrate temperature. -- Highlights: ► Photoluminescent FTO thin films were deposited at low substrate temperatures. ► Influence of substrate temperature on the PL characteristics was studied. ► The samples are polycrystalline with a cassiterite tetragonal crystal structure. ► The room temperature UV/violet PL emission was dominated by the oxygen vacancies. ► PL efficiency is optimum at 613 K substrate temperature.

  7. Electrochemical impedance spectroscopy investigation on indium tin oxide films under cathodic polarization in NaOH solution

    International Nuclear Information System (INIS)

    Gao, Wenjiao; Cao, Si; Yang, Yanze; Wang, Hao; Li, Jin; Jiang, Yiming

    2012-01-01

    The electrochemical corrosion behaviors of indium tin oxide (ITO) films under the cathodic polarization in 0.1 M NaOH solution were investigated by electrochemical impedance spectroscopy. The as-received and the cathodically polarized ITO films were characterized by scanning electron microscopy, energy dispersive X-ray spectroscopy and X-ray diffraction for morphological, compositional and structural studies. The results showed that ITO films underwent a corrosion process during the cathodic polarization and the main component of the corrosion products was body-centered cubic indium. The electrochemical impedance parameters were related to the effect of the cathodic polarization on the ITO specimens. The capacitance of ITO specimens increased, while the charge transfer resistance and the inductance decreased with the increase of the polarization time. The proposed mechanism indicated that the corrosion products (metallic indium) were firstly formed during the cathodic polarization and then absorbed on the surface of the ITO film. As the surface was gradually covered by indium particles, the corrosion process was suppressed. - Highlights: ► Cathodic polarization of indium tin oxide (ITO) in 0.1 M NaOH. ► Cathodic polarization studied with electrochemical impedance spectroscopy. ► ITO underwent a corrosion attack during cathodic polarization, indium was observed. ► Electrochemical parameters of ITO were obtained using equivalent electrical circuit. ► A corrosion mechanism is proposed.

  8. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    International Nuclear Information System (INIS)

    Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming

    2008-01-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA

  9. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  10. The Influence of Electrophoretic Deposition for Fabricating Dye-Sensitized Solar Cell

    Directory of Open Access Journals (Sweden)

    Jung-Chuan Chou

    2014-01-01

    Full Text Available Titanium dioxide (TiO2 film was deposited on fluorine-doped tin oxide (FTO glass substrate by electrophoretic deposition method (EPD. TiO2 films were prepared with different I2 dosages, electric field intensities and deposition time (D.T., electrophotic deposition times. By different I2 dosages, electric field intensities, deposition time, electrophotic deposition times fabricated TiO2 films and compared photoelectric characteristics of TiO2 films to find optimal parameters which were the highest photovoltaic conversion efficiency. And use electrochemical impedance spectroscopy (EIS to measure the Nyquist plots under different conditions and analyze the impendence of dye-sensitized solar cells at the internal heterojunction. According to the experimental results, the I2 dosage was 0.025 g which obtained the optimal characteristic parameters. Thickness of TiO2 film was 10.6 μm, the open-circuit voltage (Voc was 0.77 V, the short-circuit current density (Jsc was 7.20 mA/cm2, the fill factor (F.F. was 53.41%, and photovoltaic conversion efficiency (η was 2.96%.

  11. Sputter deposition and characterisation of hard wear-resistant Ti/TiN multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Simmonds, M.C.; Swygenhoven, H. van [Paul Scherrer Inst. (PSI), Villigen (Switzerland)

    1997-09-01

    Multilayered Ti/TiN thin films have been synthesized by magnetron sputter deposition. Alternating layers of Ti and TiN with layer thickness in the 5-50 nm range are sequentially deposited. The structure of the films have been characterised by atomic force microscopy (AFM), X-ray diffraction and reflection and Auger depth profiling. The mechanical properties have been investigated using pin-on-disc wear rate testing, nanoindentation determination of hardness and micro scratch testing. (author) 1 fig., 3 refs.

  12. RF sputtering deposited a-IGZO films for LCD alignment layer application

    International Nuclear Information System (INIS)

    Wu, G.M.; Liu, C.Y.; Sahoo, A.K.

    2015-01-01

    Highlights: • a-IGZO nanolayer has been presented for alignment of liquid crystals in LCD. • RF sputtering deposition at an oblique angle has been performed to grow the films. • High transparency over 90% was obtained in the visible wavelength range. • The OCB cells exhibited fast on-off and short response time of 5.04 ms. • V–T characteristics proved high contrast ratio for LCD display applications. - Abstract: In this paper, amorphous indium gallium zinc oxide (a-IGZO) inorganic films were deposited at a fixed oblique angle using radio-frequency sputtering on indium tin oxide (ITO) glass as alternative alignment layer for liquid crystal displays. A series of experiments have been carried out to reveal the physical characteristics of the a-IGZO films, such as optical transmittance, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM). The special treatment a-IGZO films were used to fabricate liquid crystal (LC) cells and investigate the performances of these cells. Pretilt angles were measured with anti-parallel LC cells and voltage–transmittance (V–T) curve, contrast ratio, and response time were evaluated with optically compensated bend (OCB) LC cells. The electro-optical characteristics of the aligned homogenous LCs, and OCB mode cells based on the a-IGZO alignment layer were compared to those based on rubbing processed polyimide (PI). The results showed that the average transmittance in the visible wavelength range was higher than 90% for the a-IGZO alignment layer. The LC pretilt angle has been determined at about 6°. The evaluted cell critical voltage at maximum transmittance was 1.8 V, lower than the control cell using PI alignment layer. The OCB cell rise time and fall time were 1.55 ms and 3.49 ms, respectivly. A very quick response time of 5.04 ms has thus been achived. In addition, the study of V–T characteristics suggested higher contrast ratio for LCD display applications.

  13. RF sputtering deposited a-IGZO films for LCD alignment layer application

    Energy Technology Data Exchange (ETDEWEB)

    Wu, G.M., E-mail: wu@mail.cgu.edu.tw; Liu, C.Y.; Sahoo, A.K.

    2015-11-01

    Highlights: • a-IGZO nanolayer has been presented for alignment of liquid crystals in LCD. • RF sputtering deposition at an oblique angle has been performed to grow the films. • High transparency over 90% was obtained in the visible wavelength range. • The OCB cells exhibited fast on-off and short response time of 5.04 ms. • V–T characteristics proved high contrast ratio for LCD display applications. - Abstract: In this paper, amorphous indium gallium zinc oxide (a-IGZO) inorganic films were deposited at a fixed oblique angle using radio-frequency sputtering on indium tin oxide (ITO) glass as alternative alignment layer for liquid crystal displays. A series of experiments have been carried out to reveal the physical characteristics of the a-IGZO films, such as optical transmittance, X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and atomic force microscopy (AFM). The special treatment a-IGZO films were used to fabricate liquid crystal (LC) cells and investigate the performances of these cells. Pretilt angles were measured with anti-parallel LC cells and voltage–transmittance (V–T) curve, contrast ratio, and response time were evaluated with optically compensated bend (OCB) LC cells. The electro-optical characteristics of the aligned homogenous LCs, and OCB mode cells based on the a-IGZO alignment layer were compared to those based on rubbing processed polyimide (PI). The results showed that the average transmittance in the visible wavelength range was higher than 90% for the a-IGZO alignment layer. The LC pretilt angle has been determined at about 6°. The evaluted cell critical voltage at maximum transmittance was 1.8 V, lower than the control cell using PI alignment layer. The OCB cell rise time and fall time were 1.55 ms and 3.49 ms, respectivly. A very quick response time of 5.04 ms has thus been achived. In addition, the study of V–T characteristics suggested higher contrast ratio for LCD display applications.

  14. Preparation of flexible and heat-resisting conductive transparent film by the pyrosol process

    International Nuclear Information System (INIS)

    Usami, Hisanao; Nakasa, Akihiko; Adachi, Mami; Suzuki, Eiji; Fujimatsu, Hitoshi; Ohashi, Tatsuya; Yamada, Shigeo; Tsugita, Kouhei; Taniguchi, Yoshio

    2006-01-01

    A pyrosol process was successfully applied for the preparation of a flexible, conductive, and transparent inorganic film, a tin-doped indium oxide (ITO) film lined with a thin mica layer. This flexible heat-resistant ITO-mica film exhibited high conductivity and transparency, comparable to ITO deposited on glass substrate. The minimum radius of bending for the film, without any recognizable change in the conductivity and appearance, was 8 mm. The ITO deposited on mica showed a large (222) diffraction peak with a smaller (400) peak, in contrast to ITO deposited with (400) orientation on an ordinary glass substrate. Using the ITO-mica film, a prototype model of a flexible organic light emitting diode was fabricated

  15. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  16. Electrical properties of tin-doped zinc oxide nanostructures doped at different dopant concentrations

    International Nuclear Information System (INIS)

    Nasir, M. F.; Zainol, M. N.; Hannas, M.; Mamat, M. H.; Rusop, Mohamad; Rahman, S. A.

    2016-01-01

    This project has been focused on the electrical and optical properties respectively on the effect of Tin doped zinc oxide (ZnO) thin films at different dopant concentrations. These thin films were doped with different Sn dopant concentrations at 1 at%, 2 at%, 3 at%, 4 at% and 5 at% was selected as the parameter to optimize the thin films quality while the annealing temperature is fixed 500 °C. Sn doped ZnO solutions were deposited onto the glass substrates using sol-gel spin coating method. This project was involved with three phases, which are thin films preparation, deposition and characterization. The thin films were characterized using Current Voltage (I-V) measurement and ultraviolet-visible-near-infrared (UV-vis-NIR) spectrophotometer (Perkin Elmer Lambda 750) for electrical properties and optical properties. The electrical properties show that the resistivity is the lowest at 4 at% Sn doping concentration with the value 3.08 × 10"3 Ωcm"−"1. The absorption coefficient spectrum obtained shows all films exhibit very low absorption in the visible (400-800 nm) and near infrared (NIR) (>800 nm) range but exhibit high absorption in the UV range.

  17. Electrical properties of tin-doped zinc oxide nanostructures doped at different dopant concentrations

    Energy Technology Data Exchange (ETDEWEB)

    Nasir, M. F., E-mail: babaibaik2002@yahoo.com; Zainol, M. N., E-mail: nizarzainol@yahoo.com; Hannas, M., E-mail: mhannas@gmail.com [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my; Rusop, Mohamad, E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia); Rahman, S. A., E-mail: saadah@um.edu.my [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Low Dimensional Materials Research Centre, Physics Department, Faculty of Science, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2016-07-06

    This project has been focused on the electrical and optical properties respectively on the effect of Tin doped zinc oxide (ZnO) thin films at different dopant concentrations. These thin films were doped with different Sn dopant concentrations at 1 at%, 2 at%, 3 at%, 4 at% and 5 at% was selected as the parameter to optimize the thin films quality while the annealing temperature is fixed 500 °C. Sn doped ZnO solutions were deposited onto the glass substrates using sol-gel spin coating method. This project was involved with three phases, which are thin films preparation, deposition and characterization. The thin films were characterized using Current Voltage (I-V) measurement and ultraviolet-visible-near-infrared (UV-vis-NIR) spectrophotometer (Perkin Elmer Lambda 750) for electrical properties and optical properties. The electrical properties show that the resistivity is the lowest at 4 at% Sn doping concentration with the value 3.08 × 10{sup 3} Ωcm{sup −1}. The absorption coefficient spectrum obtained shows all films exhibit very low absorption in the visible (400-800 nm) and near infrared (NIR) (>800 nm) range but exhibit high absorption in the UV range.

  18. Characterization of Sn Doped ZnS thin films synthesized by CBD

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Ayan; Mitra, Partha, E-mail: mitrapartha1@rediffmail.com [Department of Physics, The University of Burdwan, Burdwan (India)

    2017-03-15

    Zinc sulphide (ZnS) thin film were prepared using chemical bath deposition (CBD) process and tin (Sn) doping was successfully carried out in ZnS. Structural, morphological and microstructural characterization was carried out using XRD, TEM, FESEM and EDX. XRD and SAED pattern confirms presence of hexagonal phase. Rietveld analysis using MAUD software was used for particle size estimation. A constantly decreasing trend in particle size was observed with increasing tin incorporation in ZnS film which was due to enhanced microstrain resulting for tin incorporation. The particle size of prepared hexagonal wurtzite ZnS was around 14-18 nm with average size of ~16.5 nm. The bandgap of the film increases from ~ 3.69 eV for ZnS to ~ 3.90 eV for 5% Sn doped ZnS film which might be due to more ordered hexagonal structure as a result of tin incorporation. Band gap tenability property makes Sn doped ZnS suitable for application in different optoelectronics devices. PL study shows variation of intensity with excitation wavelength and a red shift is noticed for increasing excitation wavelength. (author)

  19. The role of Ar plasma treatment in generating oxygen vacancies in indium tin oxide thin films prepared by the sol-gel process

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Deuk-Kyu [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Misra, Mirnmoy; Lee, Ye-Eun [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of); Baek, Sung-Doo [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Myoung, Jae-Min, E-mail: jmmyoung@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, 50 Yonsei-ro, Seoul, 03722 (Korea, Republic of); Lee, Tae Il, E-mail: t2.lee77@gachon.ac.kr [Department of BioNano Technology, Gachon University, 1342 Seong-nam dae-ro, Seong-nam si, Gyeonggi-do, 13120 (Korea, Republic of)

    2017-05-31

    Highlights: • Indium tin oxide thin film with about 41 nm thickness was obtained by the sol-gel process. • Thin film exhibited low resistivity. • Sheet resistance of thin film decreases with Ar plasma treatment time. • Ar plasma treatment on thin film does not alter the crystal structure and optical properties of the ITO thin-film. • There is no significant change in oxygen vacancies after 20 min of plasma treatment. - Abstract: Argon (Ar) plasma treatment was carried out to reduce the sheet resistance of indium tin oxide (ITO) thin films. The Ar plasma treatment did not cause any significant changes to the crystal structure, surface morphology, or optical properties of the ITO thin films. However, an X-ray photoelectron spectroscopy study confirmed that the concentration of oxygen vacancies in the film dramatically increased with the plasma treatment time. Thus, we concluded that the decrease in the sheet resistance was caused by the increase in the oxygen vacancy concentration in the film. Furthermore, to verify how the concentration of oxygen vacancies in the film increased with the Ar plasma treatment time, cumulative and continuous plasma treatments were conducted. The oxygen vacancies were found to be created by surface heating via the outward thermal diffusion of oxygen atoms from inside the film.

  20. Prediction of crack density and electrical resistance changes in indium tin oxide/polymer thin films under tensile loading

    KAUST Repository

    Mora Cordova, Angel; Khan, Kamran; El Sayed, Tamer

    2014-01-01

    We present unified predictions for the crack onset strain, evolution of crack density, and changes in electrical resistance in indium tin oxide/polymer thin films under tensile loading. We propose a damage mechanics model to quantify and predict

  1. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  2. Plasma analysis of different TiN PVD processes at various process parameters

    International Nuclear Information System (INIS)

    Strauss, G.N.; Schlichtherle, S.; Pulker, H.K.; Meyer, M.; Jehn, H.; Balzer, M.; Misiano, C.; Silipo, V.

    2002-01-01

    TiN coatings of some microns in thickness were deposited by different reactive plasma deposition technologies (Magnetron Sputtering Magnetically Assisted, Arc Source Ion Plating, Sputter Ion Plating Plasma Assisted) on various metal parts. The experiments were carried out in specially designed plants under variable vacuum and plasma conditions. The plasma properties of the different processes were investigated by mass spectrometry and the energy distribution of process relevant particles was additionally determined. The aim of this work was to find proper processes and conditions for a reliable low cost deposition of hard coatings at relatively high gas pressures. It was found that the magnetically forced and medium frequency pulsed biased dc magnetron sputter deposition variants, operating in the 10 -3 mbar gas pressure range, showed a relatively large amount of single and double charged positive ions with kinetic energies up to 55 and 95 eV, as consequence of the applied modifications. Cathodic arc deposition, in the same gas pressure range of 10 - 3 mbar, showed a very high number of such ions with energies up to more than 100 eV, depending on the value of the applied arc current. However, at constant distance between source and substrate the higher gas pressure increases also the number of energy reducing collisions of the coating-material vapour-species with the gas molecules. The arc source process, even when performed at high gas pressures of about 10 -1 mbar, showed a remarkable amount of ions with energies up to 75 eV resulting in high performance TiN films of quite proper 3D homogeneity. The arc source technique is able to increase film thickness uniformity up to 3 times with respect to the traditional coatings if the samples are mounted in a way that they do not influence each other. (nevyjel)

  3. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  4. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  5. Synthesis And Characterization of Copper Zinc Tin Sulfide Nanoparticles And Thin Films

    Science.gov (United States)

    Khare, Ankur

    Copper zinc tin sulfide (Cu2ZnSnS4, or CZTS) is emerging as an alternative material to the present thin film solar cell technologies such as Cu(In,Ga)Se2 and CdTe. All the elements in CZTS are abundant, environmentally benign, and inexpensive. In addition, CZTS has a band gap of ˜1.5 eV, the ideal value for converting the maximum amount of energy from the solar spectrum into electricity. CZTS has a high absorption coefficient (>104 cm-1 in the visible region of the electromagnetic spectrum) and only a few micron thick layer of CZTS can absorb all the photons with energies above its band gap. CZT(S,Se) solar cells have already reached power conversion efficiencies >10%. One of the ways to improve upon the CZTS power conversion efficiency is by using CZTS quantum dots as the photoactive material, which can potentially achieve efficiencies greater than the present thin film technologies at a fraction of the cost. However, two requirements for quantum-dot solar cells have yet to be demonstrated. First, no report has shown quantum confinement in CZTS nanocrystals. Second, the syntheses to date have not provided a range of nanocrystal sizes, which is necessary not only for fundamental studies but also for multijunction photovoltaic architectures. We resolved these two issues by demonstrating a simple synthesis of CZTS, Cu2SnS3, and alloyed (Cu2SnS3) x(ZnS)y nanocrystals with diameters ranging from 2 to 7 nm from diethyldithiocarbamate complexes. As-synthesized nanocrystals were characterized using high resolution transmission electron microscopy, X-ray diffraction, Raman spectroscopy, and energy dispersive spectroscopy to confirm their phase purity. Nanocrystals of diameter less than 5 nm were found to exhibit a shift in their optical absorption spectra towards higher energy consistent with quantum confinement and previous theoretical predictions. Thin films from CZTS nanocrystals deposited on Mo-coated quartz substrates using drop casting were found to be continuous

  6. Effect of cationic/anionic organic surfactants on evaporation induced self assembled tin oxide nanostructured films

    International Nuclear Information System (INIS)

    Khun Khun, Kamalpreet; Mahajan, Aman; Bedi, R.K.

    2011-01-01

    Tin oxide nanostructures with well defined morphologies have been obtained through an evaporation induced self assembly process. The technique has been employed using an ultrasonic nebulizer for production of aersol and its subsequent deposition onto a heated glass substrate. The precursor used for aersol production was modified by introducing cationic and anionic surfactants namely cetyl trimethyl ammonium bromide and sodium dodecyl sulphate respectively. The effect of surfactants on the structural, electrical and optical properties of self assembled tin oxide nanostructures were investigated by using X-ray diffraction, field emission scanning electroscope microscopy, two probe technique and photoluminiscence studies. The results reveal that high concentration of surfactants in the precursor solution leads to reduction in crystallite size with significant changes in the morphology of tin oxide nanostructures. Photoluminiscence studies of the nanostructures show emissions in the visible region which exhibit marked changes in the intensities upon variation of surfactants in the precursor solutions.

  7. Effect of cationic/anionic organic surfactants on evaporation induced self assembled tin oxide nanostructured films

    Energy Technology Data Exchange (ETDEWEB)

    Khun Khun, Kamalpreet [Material Science Laboratory, Department of Physics, Guru Nanak Dev University, Amritsar 143005 (India); Mahajan, Aman, E-mail: dramanmahajan@yahoo.co.in [Material Science Laboratory, Department of Physics, Guru Nanak Dev University, Amritsar 143005 (India); Bedi, R.K. [Material Science Laboratory, Department of Physics, Guru Nanak Dev University, Amritsar 143005 (India)

    2011-01-15

    Tin oxide nanostructures with well defined morphologies have been obtained through an evaporation induced self assembly process. The technique has been employed using an ultrasonic nebulizer for production of aersol and its subsequent deposition onto a heated glass substrate. The precursor used for aersol production was modified by introducing cationic and anionic surfactants namely cetyl trimethyl ammonium bromide and sodium dodecyl sulphate respectively. The effect of surfactants on the structural, electrical and optical properties of self assembled tin oxide nanostructures were investigated by using X-ray diffraction, field emission scanning electroscope microscopy, two probe technique and photoluminiscence studies. The results reveal that high concentration of surfactants in the precursor solution leads to reduction in crystallite size with significant changes in the morphology of tin oxide nanostructures. Photoluminiscence studies of the nanostructures show emissions in the visible region which exhibit marked changes in the intensities upon variation of surfactants in the precursor solutions.

  8. Effect of thermal treatment on solid–solid interface of hematite thin film synthesized by spin-coating deposition solution

    Energy Technology Data Exchange (ETDEWEB)

    Bellido-Aguilar, Daniel Angel; Tofanello, Aryane [Centro de Ciências Naturais e Humanas (CCNH), Universidade Federal do ABC, Av. dos Estados N°5001, Bangu, Santo André, São Paulo CEP 09210-580 (Brazil); Souza, Flavio L., E-mail: flavio.souza@ufabc.edu.br [Centro de Ciências Naturais e Humanas (CCNH), Universidade Federal do ABC, Av. dos Estados N°5001, Bangu, Santo André, São Paulo CEP 09210-580 (Brazil); Furini, Leonardo Negri; Constantino, Carlos José Leopoldo [Faculdade de Ciências e Tecnologia (FCT), UNESP Univ Estadual Paulista, Presidente Prudente, São Paulo, 19060-900 (Brazil)

    2016-04-01

    This work describes hematite films prepared by a spin-coating deposition solution (SCDS) method that is a sol–gel method derived technique. Hematite films were prepared at two heat treatment temperatures (500 °C and 800 °C) and the influence of thermal treatment on the photoelectrochemical performance was studied. In addition, since the SCDS method allows an optimal control of stoichiometry and impurity incorporation, hematite films modified with Zn{sup 2+} and Sn{sup 4+} were also prepared. The 800 °C-treated hematite films had a higher wettability and roughness that enabled them to have a better photocatalytic response in comparison with that of 500 °C-treated hematite films. Moreover, modified hematite films demonstrated to have a performance slightly better than that of undoped hematite film as shown in linear sweep voltammetry and chronoamperometry results. Although an improvement in the performance of hematite films was achieved by annealing at higher temperatures and incorporating Zn{sup 2+} or Sn{sup 4+}, the general photocatalytic response of the films was poor. Two plausible hypotheses were discussed related to the (i) dopant segregation at grain boundary, and (ii) poor contact between the hematite and fluorine doped tin oxide layer (from the glass substrate), which was experimentally confirmed by a cross-sectional analysis conducted using scanning electron microscopy (SEM). In fact, additional experiments need to be done in order to improve the hematite deposition and make the SCDS a promise method for industrial application. - Highlights: • High temperature of annealing decreases the hematite adherence and performance. • Zn{sup 2+} and Sn{sup 4+} dopants affected differently the photocurrent onset potentials. • Dopants affected the grain size due to their segregation at grain boundaries.

  9. ZnO film deposition by DC magnetron sputtering: Effect of target configuration on the film properties

    Energy Technology Data Exchange (ETDEWEB)

    Arakelova, E.; Khachatryan, A.; Kteyan, A.; Avjyan, K.; Grigoryan, S.

    2016-08-01

    Ballistic transport model for target-to-substrate atom transfer during magnetron sputter deposition was used to develop zinc target (cathode) configuration that enabled growth of uniform zinc oxide films on extensive surfaces and provided reproducibility of films characteristics irrespective of the cathode wear-out. The advantage of the developed target configuration for high-quality ZnO film deposition was observed in the sputtering pressure range of 5− 50 mTorr, and in the range of cathode-to-substrate distances 7–20 cm. Characteristics of the deposited films were demonstrated by using X-ray diffraction analysis, as well as optical and electrical measurements. - Highlights: • Change of target configuration for optimization of magnetron sputtering deposition is proposed. • Improvement of ZnO film properties due to use of this target is demonstrated. • This configuration provided reproducibility of the deposited films properties.

  10. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  11. Effects of bias voltage on the corrosion resistance of titanium nitride thin films fabricated by dynamic plasma immersion ion implantation-deposition

    International Nuclear Information System (INIS)

    Tian Xiubo; Fu, Ricky K. Y.; Chu, Paul K.

    2002-01-01

    Dynamic plasma-based thin-film deposition incorporating ion mixing and plasma immersion is an effective technique to synthesize nitride-based hard films. We have fabricated TiN films using a filtered titanium vacuum arc in a nitrogen plasma environment. A pulsed high voltage is applied to the target for a short time when the metallic arc is fired to attain simultaneous plasma deposition and ion mixing. We investigate the dependence of the corrosion resistance and interfacial structure of the treated samples on the applied voltage. Our Auger results reveal an oxygen-rich surface film due to the non-ultra-high-vacuum conditions and high affinity of oxygen to titanium. The corrosion current is reduced by two orders of magnitude comparing the sample processed at 8 kV to the untreated sample, but the 23 kV sample unexpectedly shows worse results. The pitting potential diminishes substantially although the corrosion current is similar to that observed in the 8 kV sample. The polarization test data are consistent with our scanning electron microscopy observation, corroborating the difference in the pitting distribution and appearance. This anomalous behavior is believed to be due to the change in the chemical composition as a result of high-energy ion bombardment

  12. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  13. Improvement of transistor characteristics and stability for solution-processed ultra-thin high-valence niobium doped zinc-tin oxide thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Jeng, Jiann-Shing, E-mail: jsjeng@mail.nutn.edu.tw

    2016-08-15

    Nb-doped Zinc tin oxide (NZTO) channel materials have been prepared by solution process in combination with the spin-coating method. All NZTO thin film transistors (TFTs) are n-type enhancement-mode devices, either without or with Nb additives. High-valence niobium ion (ionic charge = +5) has a larger ionic potential and similar ionic radius to Zn{sup 2+} and Sn{sup 4+} ions. As compared with the pure ZTO device, introducing Nb{sup 5+} ions into the ZTO channel layers can improve the electrical properties and bias stability of TFTs because of the reduction of the oxygen vacancies. This study discusses the connection among the material properties of the NZTO films and the electrical performance and bias stability of NZTO TFTs and how they are influenced by the Nb/(Nb + Sn) molar ratios of NZTO films. - Highlights: • Ultra-thin high-valence niobium doped zinc-tin oxide (NZTO) thin films are prepared using a solution process. • Nb dopants in ZTO films reduce the oxygen vacancy and subgap adsorption of the ZTO films. • The Nb-doping concentration of the NZTO channel layer has a strong influence on the TFT performance.

  14. Synthesis and properties of ternary mixture of nickel/cobalt/tin oxides for supercapacitors

    Science.gov (United States)

    Ferreira, C. S.; Passos, R. R.; Pocrifka, L. A.

    2014-12-01

    The present study reports the synthesis and morphological, structural and electrochemical characterization of ternary oxides mixture containing nickel, cobalt and tin. The ternary oxide is synthesized by Pechini method with subsequent deposition onto a titanium substrate in a thin-film form. XRD and EDS analysis confirm the formation of ternary film with amorphous nature. SEM analysis show that cracks on the film favor the gain of the surface area that is an interesting feature for electrochemical capacitors. The ternary film is investigated in KOH electrolyte solution using cyclic voltammetry and charge-discharge study with a specific capacitance of 328 F g-1, and a capacitance retention of 86% over 600 cycles. The values of specific power and specific energy was 345.7 W kg-1 and 18.92 Wh kg-1, respectively.

  15. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  16. Indium oxide deposition on glass by aerosol pyrolysis (Pyrosol (R) process)

    International Nuclear Information System (INIS)

    Blandenet, G.; Lagarde, Y.; Spitz, J.

    1975-01-01

    The pyrosol (R) process involves the pyrolysis of an aerosol generated by ultrasonic nebulisation from a solution of organic or inorganic compounds. This technique was used to deposit transparent n-conducting indium oxide films on glass. The electrical and optical properties of these films were studied as a function of the deposition temperature and doping (using tin or fluorine). A deposition temperature of 480 deg C and a Sn/In ratio of about 5% gave the best results. In this case, the transmission in the visible range was 92%, the infrared reflection 84% and the electrical resistivity 1.7x10 -4 ohm.cm [fr

  17. Corrosion Behavior of Titanium Based Ceramic Coatings Deposited on Steels

    OpenAIRE

    Ali, Rania

    2016-01-01

    Titanium based ceramic films are increasingly used as coating materials because of their high hardness, excellent wear resistance and superior corrosion resistance. Using electrochemical and spectroscopic techniques, the electrochemical properties of different coatings deposited on different steels under different conditions were examined in this study. Thin films of titanium nitride (TiN), titanium diboride (TiB2), and titanium boronitride with different boron concentrations (TiBN-1&2) w...

  18. The Effects of Zr Doping on the Optical, Electrical and Microstructural Properties of Thin ZnO Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Stephania Herodotou

    2015-10-01

    Full Text Available Transparent conducting oxides (TCOs, with high optical transparency (≥85% and low electrical resistivity (10−4 Ω·cm are used in a wide variety of commercial devices. There is growing interest in replacing conventional TCOs such as indium tin oxide with lower cost, earth abundant materials. In the current study, we dope Zr into thin ZnO films grown by atomic layer deposition (ALD to target properties of an efficient TCO. The effects of doping (0–10 at.% Zr were investigated for ~100 nm thick films and the effect of thickness on the properties was investigated for 50–250 nm thick films. The addition of Zr4+ ions acting as electron donors showed reduced resistivity (1.44 × 10−3 Ω·cm, increased carrier density (3.81 × 1020 cm−3, and increased optical gap (3.5 eV with 4.8 at.% doping. The increase of film thickness to 250 nm reduced the electron carrier/photon scattering leading to a further reduction of resistivity to 7.5 × 10−4 Ω·cm and an average optical transparency in the visible/near infrared (IR range up to 91%. The improved n-type properties of ZnO: Zr films are promising for TCO applications after reaching the targets for high carrier density (>1020 cm−3, low resistivity in the order of 10−4 Ω·cm and high optical transparency (≥85%.

  19. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  20. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.