WorldWideScience

Sample records for thin-film transistors fabricated

  1. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  2. Laser-Printed Organic Thin-Film Transistors

    KAUST Repository

    Diemer, Peter J.; Harper, Angela F.; Niazi, Muhammad Rizwan; Petty, Anthony J.; Anthony, John E.; Amassian, Aram; Jurchescu, Oana D.

    2017-01-01

    their incorporation in large-scale manufacturing processes. Here, the first ever organic thin-film transistor fabricated with an electrophotographic laser printing process using a standard office laser printer is reported. This completely solvent-free additive

  3. Low temperature high-mobility InZnO thin-film transistors fabricated by excimer laser annealing

    NARCIS (Netherlands)

    Fujii, M.; Ishikawa, Y.; Ishihara, R.; Van der Cingel, J.; Mofrad, M.R.T.; Horita, M.; Uraoka, Y.

    2013-01-01

    In this study, we successfully achieved a relatively high field-effect mobility of 37.7?cm2/Vs in an InZnO thin-film transistor (TFT) fabricated by excimer layer annealing (ELA). The ELA process allowed us to fabricate such a high-performance InZnO TFT at the substrate temperature less than 50?°C

  4. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  5. Method for double-sided processing of thin film transistors

    Science.gov (United States)

    Yuan, Hao-Chih; Wang, Guogong; Eriksson, Mark A.; Evans, Paul G.; Lagally, Max G.; Ma, Zhenqiang

    2008-04-08

    This invention provides methods for fabricating thin film electronic devices with both front- and backside processing capabilities. Using these methods, high temperature processing steps may be carried out during both frontside and backside processing. The methods are well-suited for fabricating back-gate and double-gate field effect transistors, double-sided bipolar transistors and 3D integrated circuits.

  6. The importance of spinning speed in fabrication of spin-coated organic thin film transistors: Film morphology and field effect mobility

    International Nuclear Information System (INIS)

    Kotsuki, Kenji; Tanaka, Hiroshige; Obata, Seiji; Stauss, Sven; Terashima, Kazuo; Saiki, Koichiro

    2014-01-01

    We have investigated the film morphology and the field effect mobility of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) thin films which were formed by spin coating on the SiO 2 substrate with solution-processed graphene electrodes. The domain size and the density of aggregates in the C8-BTBT film showed the same dependence on the spinning speed. These competitive two factors (domain size and density of aggregates) give an optimum spinning speed, at which the field effect mobility of C8-BTBT transistor showed a maximum (2.6 cm 2 /V s). This result indicates the importance of spinning speed in the fabrication of solution processed organic thin film transistors by spin coating.

  7. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    International Nuclear Information System (INIS)

    Li Chensha; Loutfy, Rafik O; Li Yuning; Wu Yiliang; Ong, Beng S

    2008-01-01

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process

  8. Performance improvement for solution-processed high-mobility ZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Li Chensha; Loutfy, Rafik O [Department of Chemical Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario L8S 4L7 (Canada); Li Yuning; Wu Yiliang; Ong, Beng S [Materials Design and Integration Laboratory, Xerox Research Centre of Canada, 2660 Speakman Drive, Mississauga, Ontario L5K 2L1 (Canada)], E-mail: lichnsa@163.com

    2008-06-21

    The fabrication technology of stable, non-toxic, transparent, high performance zinc oxide (ZnO) thin-film semiconductors via the solution process was investigated. Two methods, which were, respectively, annealing a spin-coated precursor solution and annealing a drop-coated precursor solution, were compared. The prepared ZnO thin-film semiconductor transistors have well-controlled, preferential crystal orientation and exhibit superior field-effect performance characteristics. But the ZnO thin-film transistor (TFT) fabricated by annealing a drop-coated precursor solution has a distinctly elevated linear mobility, which further approaches the saturated mobility, compared with that fabricated by annealing a spin-coated precursor solution. The performance of the solution-processed ZnO TFT was further improved when substituting the spin-coating process by the drop-coating process.

  9. Junctionless Thin-Film Transistors Gated by an H₃PO₄-Incorporated Chitosan Proton Conductor.

    Science.gov (United States)

    Liu, Huixuan; Xun, Damao

    2018-04-01

    We fabricated an H3PO4-incorporated chitosan proton conductor film that exhibited the electric double layer effect and showed a high specific capacitance of 4.42 μF/cm2. Transparent indium tin oxide thin-film transistors gated by H3PO4-incorporated chitosan films were fabricated by sputtering through a shadow mask. The operating voltage was as low as 1.2 V because of the high specific capacitance of the H3PO4-incorporated chitosan dielectrics. The junctionless transparent indium tin oxide thin film transistors exhibited good performance, including an estimated current on/off ratio and field-effect mobility of 1.2 × 106 and 6.63 cm2V-1s-1, respectively. These low-voltage thin-film electric-double-layer transistors gated by H3PO4-incorporated chitosan are promising for next generation battery-powered "see-through" portable sensors.

  10. Self-standing chitosan films as dielectrics in organic thin-film transistors

    Directory of Open Access Journals (Sweden)

    J. Morgado

    2013-12-01

    Full Text Available Organic thin film transistors, using self-standing 50 µm thick chitosan films as dielectric, are fabricated using sublimed pentacene or two conjugated polymers deposited by spin coating as semiconductors. Field-effect mobilities are found to be similar to values obtained with other dielectrics and, in the case of pentacene, a value (0.13 cm2/(V•s comparable to high performing transistors was determined. In spite of the low On/Off ratios (a maximum value of 600 was obtained for the pentacene-based transistors, these are promising results for the area of sustainable organic electronics in general and for biocompatible electronics in particular.

  11. High-mobility pyrene-based semiconductor for organic thin-film transistors.

    Science.gov (United States)

    Cho, Hyunduck; Lee, Sunyoung; Cho, Nam Sung; Jabbour, Ghassan E; Kwak, Jeonghun; Hwang, Do-Hoon; Lee, Changhee

    2013-05-01

    Numerous conjugated oligoacenes and polythiophenes are being heavily studied in the search for high-mobility organic semiconductors. Although many researchers have designed fused aromatic compounds as organic semiconductors for organic thin-film transistors (OTFTs), pyrene-based organic semiconductors with high mobilities and on-off current ratios have not yet been reported. Here, we introduce a new pyrene-based p-type organic semiconductor showing liquid crystal behavior. The thin film characteristics of this material are investigated by varying the substrate temperature during the deposition and the gate dielectric condition using the surface modification with a self-assembled monolayer, and systematically studied in correlation with the performances of transistor devices with this compound. OTFT fabricated under the optimum deposition conditions of this compound, namely, 1,6-bis(5'-octyl-2,2'-bithiophen-5-yl)pyrene (BOBTP) shows a high-performance transistor behavior with a field-effect mobility of 2.1 cm(2) V(-1) s(-1) and an on-off current ratio of 7.6 × 10(6) and enhanced long-term stability compared to the pentacene thin-film transistor.

  12. Fabrication and characteristics of magnetic field sensors based on nano-polysilicon thin-film transistors

    International Nuclear Information System (INIS)

    Zhao Xiaofeng; Wen Dianzhong; Zhuang Cuicui; Cao Jingya; Wang Zhiqiang

    2013-01-01

    A magnetic field sensor based on nano-polysilicon thin films transistors (TFTs) with Hall probes is proposed. The magnetic field sensors are fabricated on 〈100〉 orientation high resistivity (ρ > 500 Ω·cm) silicon substrates by using CMOS technology, which adopt nano-polysilicon thin films with thicknesses of 90 nm and heterojunction interfaces between the nano-polysilicon thin films and the high resistivity silicon substrates as the sensing layers. The experimental results show that when V DS = 5.0 V, the magnetic sensitivities of magnetic field sensors based on nano-polysilicon TFTs with length—width ratios of 160 μm/80 μm, 320 μm/80 μm and 480 μm/80 μm are 78 mV/T, 55 mV/T and 34 mV/T, respectively. Under the same conditions, the magnetic sensitivity of the obtained magnetic field sensor is significantly improved in comparison with a Hall magnetic field sensor adopting silicon as the sensing layers. (semiconductor technology)

  13. Graphene-based flexible and stretchable thin film transistors.

    Science.gov (United States)

    Yan, Chao; Cho, Jeong Ho; Ahn, Jong-Hyun

    2012-08-21

    Graphene has been attracting wide attention owing to its superb electronic, thermal and mechanical properties. These properties allow great applications in the next generation of optoelectronics, where flexibility and stretchability are essential. In this context, the recent development of graphene growth/transfer and its applications in field-effect transistors are involved. In particular, we provide a detailed review on the state-of-the-art of graphene-based flexible and stretchable thin film transistors. We address the principles of fabricating high-speed graphene analog transistors and the key issues of producing an array of graphene-based transistors on flexible and stretchable substrates. It provides a platform for future work to focus on understanding and realizing high-performance graphene-based transistors.

  14. All-Aluminum Thin Film Transistor Fabrication at Room Temperature

    Directory of Open Access Journals (Sweden)

    Rihui Yao

    2017-02-01

    Full Text Available Bottom-gate all-aluminum thin film transistors with multi conductor/insulator nanometer heterojunction were investigated in this article. Alumina (Al2O3 insulating layer was deposited on the surface of aluminum doping zinc oxide (AZO conductive layer, as one AZO/Al2O3 heterojunction unit. The measurements of transmittance electronic microscopy (TEM and X-ray reflectivity (XRR revealed the smooth interfaces between ~2.2-nm-thick Al2O3 layers and ~2.7-nm-thick AZO layers. The devices were entirely composited by aluminiferous materials, that is, their gate and source/drain electrodes were respectively fabricated by aluminum neodymium alloy (Al:Nd and pure Al, with Al2O3/AZO multilayered channel and AlOx:Nd gate dielectric layer. As a result, the all-aluminum TFT with two Al2O3/AZO heterojunction units exhibited a mobility of 2.47 cm2/V·s and an Ion/Ioff ratio of 106. All processes were carried out at room temperature, which created new possibilities for green displays industry by allowing for the devices fabricated on plastic-like substrates or papers, mainly using no toxic/rare materials.

  15. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  16. Oxygen Partial Pressure Impact on Characteristics of Indium Titanium Zinc Oxide Thin Film Transistor Fabricated via RF Sputtering.

    Science.gov (United States)

    Hsu, Ming-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn; Wu, Wei-Ting; Li, Jyun-Yi

    2017-06-26

    Indium titanium zinc oxide (InTiZnO) as the channel layer in thin film transistor (TFT) grown by RF sputtering system is proposed in this work. Optical and electrical properties were investigated. By changing the oxygen flow ratio, we can suppress excess and undesirable oxygen-related defects to some extent, making it possible to fabricate the optimized device. XPS patterns for O 1s of InTiZnO thin films indicated that the amount of oxygen vacancy was apparently declined with the increasing oxygen flow ratio. The fabricated TFTs showed a threshold voltage of -0.9 V, mobility of 0.884 cm²/Vs, on-off ratio of 5.5 × 10⁵, and subthreshold swing of 0.41 V/dec.

  17. Carbon Nanotube Thin Film Transistors for Flat Panel Display Application.

    Science.gov (United States)

    Liang, Xuelei; Xia, Jiye; Dong, Guodong; Tian, Boyuan; Peng, Lianmao

    2016-12-01

    Carbon nanotubes (CNTs) are promising materials for both high performance transistors for high speed computing and thin film transistors for macroelectronics, which can provide more functions at low cost. Among macroelectronics applications, carbon nanotube thin film transistors (CNT-TFT) are expected to be used soon for backplanes in flat panel displays (FPDs) due to their superior performance. In this paper, we review the challenges of CNT-TFT technology for FPD applications. The device performance of state-of-the-art CNT-TFTs are compared with the requirements of TFTs for FPDs. Compatibility of the fabrication processes of CNT-TFTs and current TFT technologies are critically examined. Though CNT-TFT technology is not yet ready for backplane production line of FPDs, the challenges can be overcome by close collaboration between research institutes and FPD manufacturers in the short term.

  18. Laser-Printed Organic Thin-Film Transistors

    KAUST Repository

    Diemer, Peter J.

    2017-09-20

    Solution deposition of organic optoelectronic materials enables fast roll-to-roll manufacturing of photonic and electronic devices on any type of substrate and at low cost. But controlling the film microstructure when it crystallizes from solution can be challenging. This represents a major limitation of this technology, since the microstructure, in turn, governs the charge transport properties of the material. Further, the solvents typically used are hazardous, which precludes their incorporation in large-scale manufacturing processes. Here, the first ever organic thin-film transistor fabricated with an electrophotographic laser printing process using a standard office laser printer is reported. This completely solvent-free additive manufacturing method allows for simultaneous deposition, purification, and patterning of the organic semiconductor layer. Laser-printed transistors using triisopropylsilylethynyl pentacene as the semiconductor layer are realized on flexible substrates and characterized, making this a successful first demonstration of the potential of laser printing of organic semiconductors.

  19. Neuromorphic transistor achieved by redox reaction of WO3 thin film

    Science.gov (United States)

    Tsuchiya, Takashi; Jayabalan, Manikandan; Kawamura, Kinya; Takayanagi, Makoto; Higuchi, Tohru; Jayavel, Ramasamy; Terabe, Kazuya

    2018-04-01

    An all-solid-state neuromorphic transistor composed of a WO3 thin film and a proton-conducting electrolyte was fabricated for application to next-generation information and communication technology including artificial neural networks. The drain current exhibited a 4-order-of-magnitude increment by redox reaction of the WO3 thin film owing to proton migration. Learning and forgetting characteristics were well tuned by the gate control of WO3 redox reactions owing to the separation of the current reading path and pulse application path in the transistor structure. This technique should lead to the development of versatile and low-power-consumption neuromorphic devices.

  20. Studies on fully transparent Al-Sn-Zn-O thin-film transistors fabricated on glass at low temperature

    Science.gov (United States)

    Cong, Yingying; Han, Dedong; Wu, Jing; Zhao, Nannan; Chen, Zhuofa; Zhao, Feilong; Dong, Junchen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2015-04-01

    High-performance fully transparent Al-Sn-Zn-O thin-film transistors (ATZO TFTs) with excellent electrical performance have been successfully fabricated by RF magnetron sputtering on glass at low temperatures. Two kinds of appropriate ATZO compositions are compared from several perspectives, including film material characteristics, device electrical performances, and fabrication process conditions. Finally, we achieve two excellent ATZO TFTs with competitive advantages. The ATZO TFT with larger amounts of dopants exhibits a superior field effect mobility μFE of 102.38 cm2 V-1 s-1, an ON/OFF current ratio (Ion/Ioff) of 1.18 × 107, and a threshold voltage VT of 1.35 V. The device with smaller amounts of dopants demonstrates better crystal quality and an excellent subthreshold swing SS of 155 mV/dec. Furthermore, it is less affected by oxygen partial pressure. The ATZO thin films display a high transmittance of over 80% in the visible light range.

  1. Fabrication and characterization of high-mobility solution-based chalcogenide thin-film transistors

    KAUST Repository

    Mejia, Israel I.; Salas Villaseñ or, Ana L.; Cha, Dong Kyu; Alshareef, Husam N.; Gnade, Bruce E.; Quevedo-Ló pez, Manuel Angel Quevedo

    2013-01-01

    We report device and material considerations for the fabrication of high-mobility thin-film transistors (TFTs) compatible with large-area and inexpensive processes. In particular, this paper reports photolithographically defined n-type TFTs (n-TFTs) based on cadmium sulfide (CdS) films deposited using solution-based techniques. The integration process consists of four mask levels with a maximum processing temperature of 100 °C. The TFT performance was analyzed in terms of the CdS semiconductor thickness and as a function of postdeposition annealing in a reducing ambient. The IonI off ratios are ∼107 with field-effect mobilities of ∼5.3 and ∼4.7cm2V̇s for Al and Au source-drain contacts, respectively, using 70 nm of CdS. Transmission electron microscopy and electron energy loss spectroscopy were used to analyze the CdS-metal interfaces. © 1963-2012 IEEE.

  2. P-type CuxS thin films: Integration in a thin film transistor structure

    International Nuclear Information System (INIS)

    Nunes de Carvalho, C.; Parreira, P.; Lavareda, G.; Brogueira, P.; Amaral, A.

    2013-01-01

    Cu x S thin films, 80 nm thick, are deposited by vacuum thermal evaporation of sulfur-rich powder mixture, Cu 2 S:S (50:50 wt.%) with no intentional heating of the substrate. The process of deposition occurs at very low deposition rates (0.1–0.3 nm/s) to avoid the formation of Cu or S-rich films. The evolution of Cu x S films surface properties (morphology/roughness) under post deposition mild annealing in air at 270 °C and their integration in a thin film transistor (TFT) are the main objectives of this study. Accordingly, Scanning Electron Microscopy studies show Cu x S films with different surface morphologies, depending on the post deposition annealing conditions. For the shortest annealing time, the Cu x S films look to be constructed of grains with large dimension at the surface (approximately 100 nm) and consequently, irregular shape. For the longest annealing time, films with a fine-grained surface are found, with some randomly distributed large particles bound to this fine-grained surface. Atomic Force Microscopy results indicate an increase of the root-mean-square roughness of Cu x S surface with annealing time, from 13.6 up to 37.4 nm, for 255 and 345 s, respectively. The preliminary integration of Cu x S films in a TFT bottom-gate type structure allowed the study of the feasibility and compatibility of this material with the remaining stages of a TFT fabrication as well as the determination of the p-type characteristic of the Cu x S material. - Highlights: • Surface properties of annealed Cu x S films. • Variation of conductivity with annealing temperatures of Cu x S films. • Application of evaporated Cu x S films in a thin film transistor (TFT) structure. • Determination of Cu x S p-type characteristic from TFT behaviour

  3. Stable organic thin-film transistors

    Science.gov (United States)

    Jia, Xiaojia; Fuentes-Hernandez, Canek; Wang, Cheng-Yin; Park, Youngrak; Kippelen, Bernard

    2018-01-01

    Organic thin-film transistors (OTFTs) can be fabricated at moderate temperatures and through cost-effective solution-based processes on a wide range of low-cost flexible and deformable substrates. Although the charge mobility of state-of-the-art OTFTs is superior to that of amorphous silicon and approaches that of amorphous oxide thin-film transistors (TFTs), their operational stability generally remains inferior and a point of concern for their commercial deployment. We report on an exhaustive characterization of OTFTs with an ultrathin bilayer gate dielectric comprising the amorphous fluoropolymer CYTOP and an Al2O3:HfO2 nanolaminate. Threshold voltage shifts measured at room temperature over time periods up to 5.9 × 105 s do not vary monotonically and remain below 0.2 V in microcrystalline OTFTs (μc-OTFTs) with field-effect carrier mobility values up to 1.6 cm2 V−1 s−1. Modeling of these shifts as a function of time with a double stretched-exponential (DSE) function suggests that two compensating aging mechanisms are at play and responsible for this high stability. The measured threshold voltage shifts at temperatures up to 75°C represent at least a one-order-of-magnitude improvement in the operational stability over previous reports, bringing OTFT technologies to a performance level comparable to that reported in the scientific literature for other commercial TFTs technologies. PMID:29340301

  4. Fabrication of amorphous InGaZnO thin-film transistor-driven flexible thermal and pressure sensors

    International Nuclear Information System (INIS)

    Park, Ick-Joon; Jeong, Chan-Yong; Song, Sang-Hun; Kwon, Hyuck-In; Cho, In-Tak; Lee, Jong-Ho; Cho, Eou-Sik; Kwon, Sang Jik; Kim, Bosul; Cheong, Woo-Seok

    2012-01-01

    In this work, we present the results concerning the use of amorphous indium–gallium–zinc–oxide (a-IGZO) thin-film transistor (TFT) as a driving transistor of the flexible thermal and pressure sensors which are applicable to artificial skin systems. Although the a-IGZO TFT has been attracting much attention as a driving transistor of the next-generation flat panel displays, no study has been performed about the application of this new device to the driving transistor of the flexible sensors yet. The proposed thermal sensor pixel is composed of the series-connected a-IGZO TFT and ZnO-based thermistor fabricated on a polished metal foil, and the ZnO-based thermistor is replaced by the pressure sensitive rubber in the pressure sensor pixel. In both sensor pixels, the a-IGZO TFT acts as the driving transistor and the temperature/pressure-dependent resistance of the ZnO-based thermistor/pressure-sensitive rubber mainly determines the magnitude of the output currents. The fabricated a-IGZO TFT-driven flexible thermal sensor shows around a seven times increase in the output current as the temperature increases from 20 °C to 100 °C, and the a-IGZO TFT-driven flexible pressure sensors also exhibit high sensitivity under various pressure environments. (paper)

  5. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    Science.gov (United States)

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  6. Characteristics of thin-film transistors based on silicon nitride passivation by excimer laser direct patterning

    International Nuclear Information System (INIS)

    Chen, Chao-Nan; Huang, Jung-Jie

    2013-01-01

    This study explored the removal of silicon nitride using KrF laser ablation technology with a high threshold fluence of 990 mJ/cm 2 . This technology was used for contact hole patterning to fabricate SiN x -passivation-based amorphous-silicon thin films in a transistor device. Compared to the photolithography process, laser direct patterning using KrF laser ablation technology can reduce the number of process steps by at least three. Experimental results showed that the mobility and threshold voltages of thin film transistors patterned using the laser process were 0.16 cm 2 /V-sec and 0.2 V, respectively. The device performance and the test results of gate voltage stress reliability demonstrated that laser direct patterning is a promising alternative to photolithography in the panel manufacturing of thin-film transistors for liquid crystal displays. - Highlights: ► KrF laser ablation technology is used to remove silicon nitride. ► A simple method for direct patterning contact-hole in thin-film-transistor device. ► Laser technology reduced processing by at least three steps

  7. Combinatorial study of zinc tin oxide thin-film transistors

    Science.gov (United States)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  8. Characterization of 6,13-bis(triisopropylsilylethynyl) pentacene organic thin film transistors fabricated using pattern-induced confined structure

    International Nuclear Information System (INIS)

    Kim, Kyohyeok; Kwon, Namyong; Chung, Ilsub

    2014-01-01

    Bottom gate organic thin film transistors (OTFTs) were fabricated on polyethersulphone substrate using an ink jet printing method. 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene and poly-4-vinylphenol (PVP) were used as an active material and as a gate insulator, respectively. In an attempt to reduce the coffee stain effect, TIPS pentacene active layer was printed onto the pattern-induced confined structure (PICS) which had been obtained by orthogonally printing Ag electrodes on the pre-printed PVP layer. The resolution of Ag patterns was obtained by modifying the surface energy using UV irradiation and substrate temperature. The channel lengths of the aforementioned PICS OTFTs were in the range of 10 μm to 50 μm. The average mobility and on/off ratio of PICS OTFTs were 0.034 cm 2 /Vs and 10 3 , respectively. - Highlights: • Ink-jet printed bottom gate organic thin film transistor on plastic substrate • Ag lines orthogonally printed on pre-printed poly-4-vinylphenol lines • Pattern-induced confined structures obtained • UV irradiation affects the surface energy and the resolution of the Ag patterns

  9. Characteristics of sputtered Al-doped ZnO films for transparent electrodes of organic thin-film transistor

    International Nuclear Information System (INIS)

    Park, Yong Seob; Kim, Han-Ki

    2011-01-01

    Aluminum-doped ZnO (AZO) thin-films were deposited with various RF powers at room temperature by radio frequency (RF) magnetron sputtering method. The electrical properties of the AZO film were improved with the increasing RF power. These results can be explained by the improvement of the crystallinity in the AZO film. We fabricated the organic thin-film transistor (OTFT) of the bottom gate structure using pentacene active and poly-4-vinyl phenol gate dielectric layers on the indium tin oxide gate electrode, and estimated the device properties of the OTFTs including drain current-drain voltage (I D -V D ), drain current-gate voltage (I D -V G ), threshold voltage (V T ), on/off ratio and field effect mobility. The AZO film that grown at 160 W RF power exhibited low resistivity (1.54 x 10 -3 Ω.cm), high crystallinity and uniform surface morphology. The pentacene thin-film transistor using the AZO film that's fabricated at 160 W RF power exhibited good device performance such as the mobility of 0.94 cm 2 /V s and the on/off ratio of ∼ 10 5 . Consequently, the performance of the OTFT such as larger field-effect carrier mobility was determined the conductivity of the AZO source/drain (S/D) electrode. AZO films prepared at room temperature by the sputtering method are suitable for the S/D electrodes in the OTFTs.

  10. A flexible organic active matrix circuit fabricated using novel organic thin film transistors and organic light-emitting diodes

    KAUST Repository

    Gutiérrez-Heredia, Gerardo

    2010-10-04

    We present an active matrix circuit fabricated on plastic (polyethylene naphthalene, PEN) and glass substrates using organic thin film transistors and organic capacitors to control organic light-emitting diodes (OLEDs). The basic circuit is fabricated using two pentacene-based transistors and a capacitor using a novel aluminum oxide/parylene stack (Al2O3/ parylene) as the dielectric for both the transistor and the capacitor. We report that our circuit can deliver up to 15 μA to each OLED pixel. To achieve 200 cd m-2 of brightness a 10 μA current is needed; therefore, our approach can initially deliver 1.5× the required current to drive a single pixel. In contrast to parylene-only devices, the Al2O 3/parylene stack does not fail after stressing at a field of 1.7 MV cm-1 for >10 000 s, whereas \\'parylene only\\' devices show breakdown at approximately 1000 s. Details of the integration scheme are presented. © 2010 IOP Publishing Ltd.

  11. A flexible organic active matrix circuit fabricated using novel organic thin film transistors and organic light-emitting diodes

    KAUST Repository

    Gutié rrez-Heredia, Gerardo; Gonzá lez, Luis A.; Alshareef, Husam N.; Gnade, Bruce E.; Quevedo-Ló pez, Manuel Angel Quevedo

    2010-01-01

    We present an active matrix circuit fabricated on plastic (polyethylene naphthalene, PEN) and glass substrates using organic thin film transistors and organic capacitors to control organic light-emitting diodes (OLEDs). The basic circuit is fabricated using two pentacene-based transistors and a capacitor using a novel aluminum oxide/parylene stack (Al2O3/ parylene) as the dielectric for both the transistor and the capacitor. We report that our circuit can deliver up to 15 μA to each OLED pixel. To achieve 200 cd m-2 of brightness a 10 μA current is needed; therefore, our approach can initially deliver 1.5× the required current to drive a single pixel. In contrast to parylene-only devices, the Al2O 3/parylene stack does not fail after stressing at a field of 1.7 MV cm-1 for >10 000 s, whereas 'parylene only' devices show breakdown at approximately 1000 s. Details of the integration scheme are presented. © 2010 IOP Publishing Ltd.

  12. Amorphous Hafnium-Indium-Zinc Oxide Semiconductor Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Sheng-Po Chang

    2012-01-01

    Full Text Available We reported on the performance and electrical properties of co-sputtering-processed amorphous hafnium-indium-zinc oxide (α-HfIZO thin film transistors (TFTs. Co-sputtering-processed α-HfIZO thin films have shown an amorphous phase in nature. We could modulate the In, Hf, and Zn components by changing the co-sputtering power. Additionally, the chemical composition of α-HfIZO had a significant effect on reliability, hysteresis, field-effect mobility (μFE, carrier concentration, and subthreshold swing (S of the device. Our results indicated that we could successfully and easily fabricate α-HfIZO TFTs with excellent performance by the co-sputtering process. Co-sputtering-processed α-HfIZO TFTs were fabricated with an on/off current ratio of ~106, higher mobility, and a subthreshold slope as steep as 0.55 V/dec.

  13. Thin-film transistors with a channel composed of semiconducting metal oxide nanoparticles deposited from the gas phase

    International Nuclear Information System (INIS)

    Busch, C.; Schierning, G.; Theissmann, R.; Nedic, A.; Kruis, F. E.; Schmechel, R.

    2012-01-01

    The fabrication of semiconducting functional layers using low-temperature processes is of high interest for flexible printable electronics applications. Here, the one-step deposition of semiconducting nanoparticles from the gas phase for an active layer within a thin-film transistor is described. Layers of semiconducting nanoparticles with a particle size between 10 and 25 nm were prepared by the use of a simple aerosol deposition system, excluding potentially unwanted technological procedures like substrate heating or the use of solvents. The nanoparticles were deposited directly onto standard thin-film transistor test devices, using thermally grown silicon oxide as gate dielectric. Proof-of-principle experiments were done deploying two different wide-band gap semiconducting oxides, tin oxide, SnO x , and indium oxide, In 2 O 3 . The tin oxide spots prepared from the gas phase were too conducting to be used as channel material in thin-film transistors, most probably due to a high concentration of oxygen defects. Using indium oxide nanoparticles, thin-film transistor devices with significant field effect were obtained. Even though the electron mobility of the investigated devices was only in the range of 10 −6 cm 2V−1s−1 , the operability of this method for the fabrication of transistors was demonstrated. With respect to the possibilities to control the particle size and layer morphology in situ during deposition, improvements are expected.

  14. High-temperature performance of MoS{sub 2} thin-film transistors: Direct current and pulse current-voltage characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, C.; Samnakay, R.; Balandin, A. A., E-mail: balandin@ee.ucr.edu [Nano-Device Laboratory (NDL), Department of Electrical Engineering, Bourns College of Engineering, University of California—Riverside, Riverside, California 92521 (United States); Phonon Optimized Engineered Materials (POEM) Center, Materials Science and Engineering Program, University of California—Riverside, Riverside, California 92521 (United States); Rumyantsev, S. L. [Department of Electrical, Computer, and Systems Engineering, Center for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States); Ioffe Physical-Technical Institute, St. Petersburg 194021 (Russian Federation); Shur, M. S. [Department of Electrical, Computer, and Systems Engineering, Center for Integrated Electronics, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2015-02-14

    We report on fabrication of MoS{sub 2} thin-film transistors (TFTs) and experimental investigations of their high-temperature current-voltage characteristics. The measurements show that MoS{sub 2} devices remain functional to temperatures of at least as high as 500 K. The temperature increase results in decreased threshold voltage and mobility. The comparison of the direct current (DC) and pulse measurements shows that the direct current sub-linear and super-linear output characteristics of MoS{sub 2} thin-films devices result from the Joule heating and the interplay of the threshold voltage and mobility temperature dependences. At temperatures above 450 K, a kink in the drain current occurs at zero gate voltage irrespective of the threshold voltage value. This intriguing phenomenon, referred to as a “memory step,” was attributed to the slow relaxation processes in thin films similar to those in graphene and electron glasses. The fabricated MoS{sub 2} thin-film transistors demonstrated stable operation after two months of aging. The obtained results suggest new applications for MoS{sub 2} thin-film transistors in extreme-temperature electronics and sensors.

  15. Fabrication of assembled ZnO/TiO2 heterojunction thin film transistors using solution processing technique

    Science.gov (United States)

    Liau, Leo Chau-Kuang; Lin, Yun-Guo

    2015-01-01

    Ceramic-based metal-oxide-semiconductor (MOS) field-effect thin film transistors (TFTs), which were assembled by ZnO and TiO2 heterojunction films coated using solution processing technique, were fabricated and characterized. The fabrication of the device began with the preparation of ZnO and TiO2 films by spin coating. The ZnO and TiO2 films that were stacked together and annealed at 450 °C were characterized as a p-n junction diode. Two types of the devices, p-channel and n-channel TFTs, were produced using different assemblies of ZnO and TiO2 films. Results show that the p-channel TFTs (p-TFTs) and n-channel TFTs (n-TFTs) using the assemblies of ZnO and TiO2 films were demonstrated by source-drain current vs. drain voltage (IDS-VDS) measurements. Several electronic properties of the p- and n- TFTs, such as threshold voltage (Vth), on-off ratio, channel mobility, and subthreshold swing (SS), were determined by current-voltage (I-V) data analysis. The ZnO/TiO2-based TFTs can be produced using solution processing technique and an assembly approach.

  16. Photo-Induced Room-Temperature Gas Sensing with a-IGZO Based Thin-Film Transistors Fabricated on Flexible Plastic Foil.

    Science.gov (United States)

    Knobelspies, Stefan; Bierer, Benedikt; Daus, Alwin; Takabayashi, Alain; Salvatore, Giovanni Antonio; Cantarella, Giuseppe; Ortiz Perez, Alvaro; Wöllenstein, Jürgen; Palzer, Stefan; Tröster, Gerhard

    2018-01-26

    We present a gas sensitive thin-film transistor (TFT) based on an amorphous Indium-Gallium-Zinc-Oxide (a-IGZO) semiconductor as the sensing layer, which is fabricated on a free-standing flexible polyimide foil. The photo-induced sensor response to NO₂ gas at room temperature and the cross-sensitivity to humidity are investigated. We combine the advantages of a transistor based sensor with flexible electronics technology to demonstrate the first flexible a-IGZO based gas sensitive TFT. Since flexible plastic substrates prohibit the use of high operating temperatures, the charge generation is promoted with the help of UV-light absorption, which ultimately triggers the reversible chemical reaction with the trace gas. Furthermore, the device fabrication process flow can be directly implemented in standard TFT technology, allowing for the parallel integration of the sensor and analog or logical circuits.

  17. Photo-Induced Room-Temperature Gas Sensing with a-IGZO Based Thin-Film Transistors Fabricated on Flexible Plastic Foil

    Directory of Open Access Journals (Sweden)

    Stefan Knobelspies

    2018-01-01

    Full Text Available We present a gas sensitive thin-film transistor (TFT based on an amorphous Indium–Gallium–Zinc–Oxide (a-IGZO semiconductor as the sensing layer, which is fabricated on a free-standing flexible polyimide foil. The photo-induced sensor response to NO2 gas at room temperature and the cross-sensitivity to humidity are investigated. We combine the advantages of a transistor based sensor with flexible electronics technology to demonstrate the first flexible a-IGZO based gas sensitive TFT. Since flexible plastic substrates prohibit the use of high operating temperatures, the charge generation is promoted with the help of UV-light absorption, which ultimately triggers the reversible chemical reaction with the trace gas. Furthermore, the device fabrication process flow can be directly implemented in standard TFT technology, allowing for the parallel integration of the sensor and analog or logical circuits.

  18. Characterization of 6,13-bis(triisopropylsilylethynyl) pentacene organic thin film transistors fabricated using pattern-induced confined structure

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyohyeok; Kwon, Namyong [Sungkyunkwan University Advanced Institute of Nanotechnology, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Chung, Ilsub, E-mail: ichung@skku.ac.kr [Sungkyunkwan University Advanced Institute of Nanotechnology, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2014-01-01

    Bottom gate organic thin film transistors (OTFTs) were fabricated on polyethersulphone substrate using an ink jet printing method. 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene and poly-4-vinylphenol (PVP) were used as an active material and as a gate insulator, respectively. In an attempt to reduce the coffee stain effect, TIPS pentacene active layer was printed onto the pattern-induced confined structure (PICS) which had been obtained by orthogonally printing Ag electrodes on the pre-printed PVP layer. The resolution of Ag patterns was obtained by modifying the surface energy using UV irradiation and substrate temperature. The channel lengths of the aforementioned PICS OTFTs were in the range of 10 μm to 50 μm. The average mobility and on/off ratio of PICS OTFTs were 0.034 cm{sup 2}/Vs and 10{sup 3}, respectively. - Highlights: • Ink-jet printed bottom gate organic thin film transistor on plastic substrate • Ag lines orthogonally printed on pre-printed poly-4-vinylphenol lines • Pattern-induced confined structures obtained • UV irradiation affects the surface energy and the resolution of the Ag patterns.

  19. Effects of structural modification via high-pressure annealing on solution-processed InGaO films and thin-film transistors

    International Nuclear Information System (INIS)

    Rim, You Seung; Choi, Hyung-Wook; Kim, Kyung Hwan; Kim, Hyun Jae

    2016-01-01

    We investigated the structural modification of solution-processed nanocrystalline InGaO films via high-pressure annealing and fabricated thin-film transistors. The grain size of InGaO films annealed in the presence of oxygen under high pressure was significantly changed compared the films annealed without high pressure ambient. The O1s XPS peak distribution of InGaO films annealed under high pressure at 350 °C showed a peak similar to that of the non-pressure annealed film at 500 °C. The high-pressure annealing process promoted the elimination of organic residues and dehydroxylation of the metal hydroxide (M–OH) complex. We confirmed the improved device performance of high-pressure annealed InGaO-based thin-film transistors owing to the reduction in charge-trap density. (paper)

  20. Channel layer thickness dependence of In-Ti-Zn-O thin-film transistors fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhang, Q.; Shan, F. K.; Liu, G. X.; Liu, A.; Lee, W. J.; Shin, B. C.

    2014-01-01

    Amorphous indium-titanium-zinc-oxide (ITZO) thin-film transistors (TFTs) with various channel thicknesses were fabricated at room temperature by using pulsed laser deposition. The channel layer thickness (CLT) dependence of the TFTs was investigated. All the ITZO thin films were amorphous, and the surface roughnesses decreased slightly first and then increased with increasing CLT. With increasing CLT from 35 to 140 nm, the on/off current ratio and the field-effect mobility increased, and the subthreshold swing decreased. The TFT with a CLT of 210 nm exhibited the worst performance, while the ITZO TFT with a CLT of 140 nm exhibited the best performance with a subthreshold voltage of 2.86 V, a mobility of 53.9 cm 2 V -1 s -1 , a subthreshold swing of 0.29 V/decade and an on/off current ratio of 10 9 .

  1. Facile fabrication of wire-type indium gallium zinc oxide thin-film transistors applicable to ultrasensitive flexible sensors.

    Science.gov (United States)

    Kim, Yeong-Gyu; Tak, Young Jun; Kim, Hee Jun; Kim, Won-Gi; Yoo, Hyukjoon; Kim, Hyun Jae

    2018-04-03

    We fabricated wire-type indium gallium zinc oxide (IGZO) thin-film transistors (TFTs) using a self-formed cracked template based on a lift-off process. The electrical characteristics of wire-type IGZO TFTs could be controlled by changing the width and density of IGZO wires through varying the coating conditions of template solution or multi-stacking additional layers. The fabricated wire-type devices were applied to sensors after functionalizing the surface. The wire-type pH sensor showed a sensitivity of 45.4 mV/pH, and this value was an improved sensitivity compared with that of the film-type device (27.6 mV/pH). Similarly, when the wire-type device was used as a glucose sensor, it showed more variation in electrical characteristics than the film-type device. The improved sensing properties resulted from the large surface area of the wire-type device compared with that of the film-type device. In addition, we fabricated wire-type IGZO TFTs on flexible substrates and confirmed that such structures were very resistant to mechanical stresses at a bending radius of 10 mm.

  2. Solution-processable precursor route for fabricating ultrathin silica film for high performance and low voltage organic transistors

    Institute of Scientific and Technical Information of China (English)

    Shujing Guo; Liqiang Li; Zhongwu Wang; Zeyang Xu; Shuguang Wang; Kunjie Wu; Shufeng Chen; Zongbo Zhang; Caihong Xu; Wenfeng Qiu

    2017-01-01

    Silica is one of the most commonly used materials for dielectric layer in organic thin-film transistors due to its excellent stability,excellent electrical properties,mature preparation process,and good compatibility with organic semiconductors.However,most of conventional preparation methods for silica film are generally performed at high temperature and/or high vacuum.In this paper,we introduce a simple solution spin-coating method to fabricate silica thin film from precursor route,which possesses a low leakage current,high capacitance,and low surface roughness.The silica thin film can be produced in the condition of low temperature and atmospheric environment.To meet various demands,the thickness of film can be adjusted by means of preparation conditions such as the speed of spin-coating and the concentration of solution.The p-type and n-type organic field effect transistors fabricated by using this film as gate electrodes exhibit excellent electrical performance including low voltage and high performance.This method shows great potential for industrialization owing to its characteristic of low consumption and energy saving,time-saving and easy to operate.

  3. Fabrication of high-performance InGaZnOx thin film transistors based on control of oxidation using a low-temperature plasma

    Science.gov (United States)

    Takenaka, Kosuke; Endo, Masashi; Uchida, Giichiro; Setsuhara, Yuichi

    2018-04-01

    This work demonstrated the low-temperature control of the oxidation of Amorphous InGaZnOx (a-IGZO) films using inductively coupled plasma as a means of precisely tuning the properties of thin film transistors (TFTs) and as an alternative to post-deposition annealing at high temperatures. The effects of the plasma treatment of the as-deposited a-IGZO films were investigated by assessing the electrical properties of TFTs incorporating these films. A TFT fabricated using an a-IGZO film exposed to an Ar-H2-O2 plasma at substrate temperatures as low as 300 °C exhibited the best performance, with a field effect mobility as high as 42.2 cm2 V-1 s-1, a subthreshold gate voltage swing of 1.2 V decade-1, and a threshold voltage of 2.8 V. The improved transfer characteristics of TFTs fabricated with a-IGZO thin films treated using an Ar-H2-O2 plasma are attributed to the termination of oxygen vacancies around Ga and Zn atoms by OH radicals in the gas phase.

  4. Improved organic thin-film transistor performance using novel self-assembled monolayers

    Science.gov (United States)

    McDowell, M.; Hill, I. G.; McDermott, J. E.; Bernasek, S. L.; Schwartz, J.

    2006-02-01

    Pentacene-based organic thin-film transistors have been fabricated using a phosphonate-linked anthracene self-assembled monolayer as a buffer between the silicon dioxide gate dielectric and the active pentacene channel region. Vast improvements in the subthreshold slope and threshold voltage are observed compared to control devices fabricated without the buffer. Both observations are consistent with a greatly reduced density of charge trapping states at the semiconductor-dielectric interface effected by introduction of the self-assembled monolayer.

  5. Screen printing as a scalable and low-cost approach for rigid and flexible thin-film transistors using separated carbon nanotubes.

    Science.gov (United States)

    Cao, Xuan; Chen, Haitian; Gu, Xiaofei; Liu, Bilu; Wang, Wenli; Cao, Yu; Wu, Fanqi; Zhou, Chongwu

    2014-12-23

    Semiconducting single-wall carbon nanotubes are very promising materials in printed electronics due to their excellent mechanical and electrical property, outstanding printability, and great potential for flexible electronics. Nonetheless, developing scalable and low-cost approaches for manufacturing fully printed high-performance single-wall carbon nanotube thin-film transistors remains a major challenge. Here we report that screen printing, which is a simple, scalable, and cost-effective technique, can be used to produce both rigid and flexible thin-film transistors using separated single-wall carbon nanotubes. Our fully printed top-gated nanotube thin-film transistors on rigid and flexible substrates exhibit decent performance, with mobility up to 7.67 cm2 V(-1) s(-1), on/off ratio of 10(4)∼10(5), minimal hysteresis, and low operation voltage (transistors (bent with radius of curvature down to 3 mm) and driving capability for organic light-emitting diode have been demonstrated. Given the high performance of the fully screen-printed single-wall carbon nanotube thin-film transistors, we believe screen printing stands as a low-cost, scalable, and reliable approach to manufacture high-performance nanotube thin-film transistors for application in display electronics. Moreover, this technique may be used to fabricate thin-film transistors based on other materials for large-area flexible macroelectronics, and low-cost display electronics.

  6. Indium Sulfide and Indium Oxide Thin Films Spin-Coated from Triethylammonium Indium Thioacetate Precursor for n-Channel Thin Film Transistor

    Energy Technology Data Exchange (ETDEWEB)

    Tung, Duy Dao; Jeong, Hyun Dam [Chonnam Natioal University, Gwangju (Korea, Republic of)

    2014-09-15

    The In{sub 2}S{sub 3} thin films of tetragonal structure and In{sub 2}O{sub 3} films of cubic structure were synthesized by a spin coating method from the organometallic compound precursor triethylammonium indium thioacetate ([(Et){sub 3}NH]+ [In(SCOCH{sub 3}){sub 4}]''-; TEA-InTAA). In order to determine the electron mobility of the spin-coated TEA-InTAA films, thin film transistors (TFTs) with an inverted structure using a gate dielectric of thermal oxide (SiO{sub 2}) was fabricated. These devices exhibited n-channel TFT characteristics with a field-effect electron mobility of 10.1 cm''2 V''-1s''-1 at a curing temperature of 500 o C, indicating that the semiconducting thin film material is applicable for use in low-cost, solution-processed printable electronics.

  7. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  8. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  9. Thermal oxidation of Ni films for p-type thin-film transistors

    KAUST Repository

    Jiang, Jie; Wang, Xinghui; Zhang, Qing; Li, Jingqi; Zhang, Xixiang

    2013-01-01

    p-Type nanocrystal NiO-based thin-film transistors (TFTs) are fabricated by simply oxidizing thin Ni films at temperatures as low as 400 °C. The highest field-effect mobility in a linear region and the current on-off ratio are found to be 5.2 cm2 V-1 s-1 and 2.2 × 103, respectively. X-ray diffraction, transmission electron microscopy and electrical performances of the TFTs with "top contact" and "bottom contact" channels suggest that the upper parts of the Ni films are clearly oxidized. In contrast, the lower parts in contact with the gate dielectric are partially oxidized to form a quasi-discontinuous Ni layer, which does not fully shield the gate electric field, but still conduct the source and drain current. This simple method for producing p-type TFTs may be promising for the next-generation oxide-based electronic applications. © 2013 the Owner Societies.

  10. Stretchable transistors with buckled carbon nanotube films as conducting channels

    Science.gov (United States)

    Arnold, Michael S; Xu, Feng

    2015-03-24

    Thin-film transistors comprising buckled films comprising carbon nanotubes as the conductive channel are provided. Also provided are methods of fabricating the transistors. The transistors, which are highly stretchable and bendable, exhibit stable performance even when operated under high tensile strains.

  11. A Water-Based Silver-Nanowire Screen-Print Ink for the Fabrication of Stretchable Conductors and Wearable Thin-Film Transistors.

    Science.gov (United States)

    Liang, Jiajie; Tong, Kwing; Pei, Qibing

    2016-07-01

    A water-based silver-nanowire (AgNW) ink is formulated for screen printing. Screen-printed AgNW patterns have uniform sharp edges, ≈50 μm resolution, and electrical conductivity as high as 4.67 × 10(4) S cm(-1) . The screen-printed AgNW patterns are used to fabricate a stretchable composite conductor, and a fully printed and intrinsically stretchable thin-film transistor array is also realized. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Low-voltage polymer/small-molecule blend organic thin-film transistors and circuits fabricated via spray deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hunter, By Simon; Anthopoulos, Thomas D., E-mail: t.anthopoulos@ic.ac.uk [Department of Physics and Centre for Plastic Electronics, Imperial College London, South Kensington SW7 2AZ (United Kingdom); Ward, Jeremy W.; Jurchescu, Oana D. [Department of Physics, Wake Forest University, Winston-Salem, North Carolina 27109 (United States); Payne, Marcia M.; Anthony, John E. [Department of Chemistry, University of Kentucky, Lexington, Kentucky 40506 (United States)

    2015-06-01

    Organic thin-film electronics have long been considered an enticing candidate in achieving high-throughput manufacturing of low-power ubiquitous electronics. However, to achieve this goal, more work is required to reduce operating voltages and develop suitable mass-manufacture techniques. Here, we demonstrate low-voltage spray-cast organic thin-film transistors based on a semiconductor blend of 2,8-difluoro- 5,11-bis (triethylsilylethynyl) anthradithiophene and poly(triarylamine). Both semiconductor and dielectric films are deposited via successive spray deposition in ambient conditions (air with 40%–60% relative humidity) without any special precautions. Despite the simplicity of the deposition method, p-channel transistors with hole mobilities of >1 cm{sup 2}/Vs are realized at −4 V operation, and unipolar inverters operating at −6 V are demonstrated.

  13. P-type Cu2O/SnO bilayer thin film transistors processed at low temperatures

    KAUST Repository

    Al-Jawhari, Hala A.

    2013-10-09

    P-type Cu2O/SnO bilayer thin film transistors (TFTs) with tunable performance were fabricated using room temperature sputtered copper and tin oxides. Using Cu2O film as capping layer on top of a SnO film to control its stoichiometry, we have optimized the performance of the resulting bilayer transistor. A transistor with 10 nm/15 nm Cu2O to SnO thickness ratio (25 nm total thickness) showed the best performance using a maximum process temperature of 170 C. The bilayer transistor exhibited p-type behavior with field-effect mobility, on-to-off current ratio, and threshold voltage of 0.66 cm2 V-1 s-1, 1.5×10 2, and -5.2 V, respectively. The advantages of the bilayer structure relative to single layer transistor are discussed. © 2013 American Chemical Society.

  14. Thermal Molding of Organic Thin-Film Transistor Arrays on Curved Surfaces.

    Science.gov (United States)

    Sakai, Masatoshi; Watanabe, Kento; Ishimine, Hiroto; Okada, Yugo; Yamauchi, Hiroshi; Sadamitsu, Yuichi; Kudo, Kazuhiro

    2017-12-01

    In this work, a thermal molding technique is proposed for the fabrication of plastic electronics on curved surfaces, enabling the preparation of plastic films with freely designed shapes. The induced strain distribution observed in poly(ethylene naphthalate) films when planar sheets were deformed into hemispherical surfaces clearly indicated that natural thermal contraction played an important role in the formation of the curved surface. A fingertip-shaped organic thin-film transistor array molded from a real human finger was fabricated, and slight deformation induced by touching an object was detected from the drain current response. This type of device will lead to the development of robot fingers equipped with a sensitive tactile sense for precision work such as palpation or surgery.

  15. Electrical properties of solution-deposited ZnO thin-film transistors by low-temperature annealing.

    Science.gov (United States)

    Lim, Chul; Oh, Ji Young; Koo, Jae Bon; Park, Chan Woo; Jung, Soon-Won; Na, Bock Soon; Chu, Hye Yong

    2014-11-01

    Flexible oxide thin-film transistors (Oxide-TFTs) have emerged as next generation transistors because of their applicability in electronic device. In particular, the major driving force behind solution-processed zinc oxide film research is its prospective use in printing for electronics. A low-temperature process to improve the performance of solution-processed n-channel ZnO thin-film transistors (TFTs) fabricated via spin-coating and inkjet-printing is introduced here. ZnO nanoparticles were synthesized using a facile sonochemical method that was slightly modified based on a previously reported method. The influence of the annealing atmosphere on both nanoparticle-based TFT devices fabricated via spin-coating and those created via inkjet printing was investigated. For the inkjet-printed TFTs, the characteristics were improved significantly at an annealing temperature of 150 degrees C. The field effect mobility, V(th), and the on/off current ratios were 3.03 cm2/Vs, -3.3 V, and 10(4), respectively. These results indicate that annealing at 150 degrees C 1 h is sufficient to obtain a mobility (μ(sat)) as high as 3.03 cm2/Vs. Also, the active layer of the solution-based ZnO nanoparticles allowed the production of high-performance TFTs for low-cost, large-area electronics and flexible devices.

  16. The Integration and Applications of Organic Thin Film Transistors and Ferroelectric Polymers

    Science.gov (United States)

    Hsu, Yu-Jen

    Organic thin film transistors and ferroelectric polymer (polyvinylidene difluoride) sheet material are integrated to form various sensors for stress/strain, acoustic wave, and Infrared (heat) sensing applications. Different from silicon-based transistors, organic thin film transistors can be fabricated and processed in room-temperature and integrated with a variety of substrates. On the other hand, polyvinylidene difluoride (PVDF) exhibits ferroelectric properties that are highly useful for sensor applications. The wide frequency bandwidth (0.001 Hz to 10 GHz), vast dynamic range (100n to 10M psi), and high elastic compliance (up to 3 percent) make PVDF a more suitable candidate over ceramic piezoelectric materials for thin and flexible sensor applications. However, the low Curie temperature may have impeded its integration with silicon technology. Organic thin film transistors, however, do not have the limitation of processing temperature, hence can serve as transimpedance amplifiers to convert the charge signal generated by PVDF into current signal that are more measurable and less affected by any downstream parasitics. Piezoelectric sensors are useful for a range of applications, but passive arrays suffer from crosstalk and signal attenuation which have complicated the development of array-based PVDF sensors. We have used organic field effect transistors, which are compatible with the low Curie temperature of a flexible piezoelectric polymer,PVDF, to monolithically fabricate transimpedance amplifiers directly on the sensor surface and convert the piezoelectric charge signal into a current signal which can be detected even in the presence of parasitic capacitances. The device couples the voltage generated by the PVDF film under strain into the gate of the organic thin film transistors (OFET) using an arrangement that allows the full piezoelectric voltage to couple to the channel, while also increasing the charge retention time. A bipolar detector is created by

  17. Organic Thin-Film Transistor (OTFT-Based Sensors

    Directory of Open Access Journals (Sweden)

    Daniel Elkington

    2014-04-01

    Full Text Available Organic thin film transistors have been a popular research topic in recent decades and have found applications from flexible displays to disposable sensors. In this review, we present an overview of some notable articles reporting sensing applications for organic transistors with a focus on the most recent publications. In particular, we concentrate on three main types of organic transistor-based sensors: biosensors, pressure sensors and “e-nose”/vapour sensors.

  18. Controllable film densification and interface flatness for high-performance amorphous indium oxide based thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ou-Yang, Wei, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp; Mitoma, Nobuhiko; Kizu, Takio; Gao, Xu; Lin, Meng-Fang; Tsukagoshi, Kazuhito, E-mail: OUYANG.Wei@nims.go.jp, E-mail: TSUKAGOSHI.Kazuhito@nims.go.jp [International Center for Materials Nanoarchitectronics (WPI-MANA), National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan); Nabatame, Toshihide [MANA Foundry and MANA Advanced Device Materials Group, National Institute for Materials Science (NIMS), 1-1 Namiki, Tsukuba, Ibaraki 305-0044 (Japan)

    2014-10-20

    To avoid the problem of air sensitive and wet-etched Zn and/or Ga contained amorphous oxide transistors, we propose an alternative amorphous semiconductor of indium silicon tungsten oxide as the channel material for thin film transistors. In this study, we employ the material to reveal the relation between the active thin film and the transistor performance with aid of x-ray reflectivity study. By adjusting the pre-annealing temperature, we find that the film densification and interface flatness between the film and gate insulator are crucial for achieving controllable high-performance transistors. The material and findings in the study are believed helpful for realizing controllable high-performance stable transistors.

  19. Low temperature fabrication of CuxO thin-film transistors and investigation on the origin of low field effect mobility

    Science.gov (United States)

    Shijeesh, M. R.; Jayaraj, M. K.

    2018-04-01

    Cuprous (Cu2O) and cupric (CuO) oxide thin films have been deposited by radio frequency magnetron sputtering with two different oxygen partial pressures. The as-deposited copper oxide films were subjected to post-annealing at 300 °C for 30 min to improve the microstructural, morphological, and optical properties of thin films. Optical absorption studies revealed the existence of a large number of subgap states inside CuO films than Cu2O films. Cu2O and CuO thin film transistors (TFTs) were fabricated in an inverted staggered structure by using a post-annealed channel layer. The field effect mobility values of Cu2O and CuO TFTs were 5.20 × 10-4 cm2 V-1 s-1 and 2.33 × 10-4 cm2 V-1 s-1, respectively. The poor values of subthreshold swing, threshold voltage, and field effect mobility of the TFTs were due to the charge trap density at the copper oxide/dielectric interface as well as defect induced trap states originated from the oxygen vacancies inside the bulk copper oxide. In order to study the distribution of the trap states in the Cu2O and CuO active layer, the temperature dependent transfer characteristics of transistors in the temperature range between 310 K and 340 K were studied. The observed subgap states were found to be decreasing exponentially inside the bandgap, with CuO TFT showing higher subgap states than Cu2O TFT. The high-density hole trap states in the CuO channel are one of the plausible reasons for the lower mobility in CuO TFT than in Cu2O TFT. The origin of these subgap states was attributed to the impurities or oxygen vacancies present in the CuO channel layer.

  20. Compositional influence on the electrical performance of zinc indium tin oxide transparent thin-film transistors

    International Nuclear Information System (INIS)

    Marsal, A.; Carreras, P.; Puigdollers, J.; Voz, C.; Galindo, S.; Alcubilla, R.; Bertomeu, J.; Antony, A.

    2014-01-01

    In this work, zinc indium tin oxide layers with different compositions are used as the active layer of thin film transistors. This multicomponent transparent conductive oxide is gaining great interest due to its reduced content of the scarce indium element. Experimental data indicate that the incorporation of zinc promotes the creation of oxygen vacancies, which results in a higher free carrier density. In thin-film transistors this effect leads to a higher off current and threshold voltage values. The field-effect mobility is also strongly degraded, probably due to coulomb scattering by ionized defects. A post deposition annealing in air reduces the density of oxygen vacancies and improves the field-effect mobility by orders of magnitude. Finally, the electrical characteristics of the fabricated thin-film transistors have been analyzed to estimate the density of states in the gap of the active layers. These measurements reveal a clear peak located at 0.3 eV from the conduction band edge that could be attributed to oxygen vacancies. - Highlights: • Zinc promotes the creation of oxygen vacancies in zinc indium tin oxide transistors. • Post deposition annealing in air reduces the density of oxygen. • Density of states reveals a clear peak located at 0.3 eV from the conduction band

  1. Thin film transistors on plastic substrates with reflective coatings for radiation protection

    Science.gov (United States)

    Wolfe, Jesse D [Fairfield, CA; Theiss, Steven D [Woodbury, MN; Carey, Paul G [Mountain View, CA; Smith, Patrick M [San Ramon, CA; Wickbold, Paul [Walnut Creek, CA

    2006-09-26

    Fabrication of silicon thin film transistors (TFT) on low-temperature plastic substrates using a reflective coating so that inexpensive plastic substrates may be used in place of standard glass, quartz, and silicon wafer-based substrates. The TFT can be used in large area low cost electronics, such as flat panel displays and portable electronics such as video cameras, personal digital assistants, and cell phones.

  2. Growth Of Organic Semiconductor Thin Films with Multi-Micron Domain Size and Fabrication of Organic Transistors Using a Stencil Nanosieve.

    Science.gov (United States)

    Fesenko, Pavlo; Flauraud, Valentin; Xie, Shenqi; Kang, Enpu; Uemura, Takafumi; Brugger, Jürgen; Genoe, Jan; Heremans, Paul; Rolin, Cédric

    2017-07-19

    To grow small molecule semiconductor thin films with domain size larger than modern-day device sizes, we evaporate the material through a dense array of small apertures, called a stencil nanosieve. The aperture size of 0.5 μm results in low nucleation density, whereas the aperture-to-aperture distance of 0.5 μm provides sufficient crosstalk between neighboring apertures through the diffusion of adsorbed molecules. By integrating the nanosieve in the channel area of a thin-film transistor mask, we show a route for patterning both the organic semiconductor and the metal contacts of thin-film transistors using one mask only and without mask realignment.

  3. Nanocrystal thin film fabrication methods and apparatus

    Science.gov (United States)

    Kagan, Cherie R.; Kim, David K.; Choi, Ji-Hyuk; Lai, Yuming

    2018-01-09

    Nanocrystal thin film devices and methods for fabricating nanocrystal thin film devices are disclosed. The nanocrystal thin films are diffused with a dopant such as Indium, Potassium, Tin, etc. to reduce surface states. The thin film devices may be exposed to air during a portion of the fabrication. This enables fabrication of nanocrystal-based devices using a wider range of techniques such as photolithography and photolithographic patterning in an air environment.

  4. ZnO-channel thin-film transistors: Channel mobility

    International Nuclear Information System (INIS)

    Hoffman, R.L.

    2004-01-01

    ZnO-channel thin-film transistor (TFT) test structures are fabricated using a bottom-gate structure on thermally oxidized Si; ZnO is deposited via RF sputtering from an oxide target, with an unheated substrate. Electrical characteristics are evaluated, with particular attention given to the extraction and interpretation of transistor channel mobility. ZnO-channel TFT mobility exhibits severe deviation from that assumed by ideal TFT models; mobility extraction methodology must accordingly be recast so as to provide useful insight into device operation. Two mobility metrics, μ avg and μ inc , are developed and proposed as relevant tools in the characterization of nonideal TFTs. These mobility metrics are employed to characterize the ZnO-channel TFTs reported herein; values for μ inc as high as 25 cm2/V s are measured, comprising a substantial increase in ZnO-channel TFT mobility as compared to previously reported performance for such devices

  5. Non-classical polycrystalline silicon thin-film transistor with embedded block-oxide for suppressing the short channel effect

    International Nuclear Information System (INIS)

    Lin, Jyi-Tsong; Huang, Kuo-Dong; Hu, Shu-Fen

    2008-01-01

    In this paper, a polycrystalline silicon (polysilicon) thin-film transistor with a block oxide enclosing body, BTFT, is fabricated and investigated. By utilizing the block-oxide structure of thin-film transistors, the BTFT is shown to suppress the short channel effect. This proposed structure is formed by burying self-aligned oxide spacers along the sidewalls of the source and drain junctions, which reduces the P–N junction area, thereby reducing the junction capacitance and leakage current. Measurements demonstrate that the BTFT eliminates the punch-through effect even down to gate lengths of 1.5 µm, whereas the conventional TFT suffers serious short channel effects at this gate length

  6. DEVICE TECHNOLOGY. Nanomaterials in transistors: From high-performance to thin-film applications.

    Science.gov (United States)

    Franklin, Aaron D

    2015-08-14

    For more than 50 years, silicon transistors have been continuously shrunk to meet the projections of Moore's law but are now reaching fundamental limits on speed and power use. With these limits at hand, nanomaterials offer great promise for improving transistor performance and adding new applications through the coming decades. With different transistors needed in everything from high-performance servers to thin-film display backplanes, it is important to understand the targeted application needs when considering new material options. Here the distinction between high-performance and thin-film transistors is reviewed, along with the benefits and challenges to using nanomaterials in such transistors. In particular, progress on carbon nanotubes, as well as graphene and related materials (including transition metal dichalcogenides and X-enes), outlines the advances and further research needed to enable their use in transistors for high-performance computing, thin films, or completely new technologies such as flexible and transparent devices. Copyright © 2015, American Association for the Advancement of Science.

  7. Effect of etching stop layer on characteristics of amorphous IGZO thin film transistor fabricated at low temperature

    Directory of Open Access Journals (Sweden)

    Xifeng Li

    2013-03-01

    Full Text Available Transparent bottom-gate amorphous Indium-Gallium-Zinc Oxide (a-IGZO thin-film transistors (TFTs had been successfully fabricated at relative low temperature. The influence of reaction gas ratio of N2O and SiH4 during the growth of etching stop layer (SiOx on the characteristics of a-IGZO TFTs was investigated. The transfer characteristics of the TFTs were changed markedly because active layer of a-IGZO films was modified by plasma in the growth process of SiOx. By optimizing the deposition parameters of etching stop layer process, a-IGZO TFTs were manufactured and exhibited good performance with a field-effect mobility of 8.5 cm2V-1s-1, a threshold voltage of 1.3 V, and good stability under gate bias stress of 20 V for 10000 s.

  8. Oxide Semiconductor-Based Flexible Organic/Inorganic Hybrid Thin-Film Transistors Fabricated on Polydimethylsiloxane Elastomer.

    Science.gov (United States)

    Jung, Soon-Won; Choi, Jeong-Seon; Park, Jung Ho; Koo, Jae Bon; Park, Chan Woo; Na, Bock Soon; Oh, Ji-Young; Lim, Sang Chul; Lee, Sang Seok; Chu, Hye Yong

    2016-03-01

    We demonstrate flexible organic/inorganic hybrid thin-film transistors (TFTs) on a polydimethysilox- ane (PDMS) elastomer substrate. The active channel and gate insulator of the hybrid TFT are composed of In-Ga-Zn-O (IGZO) and blends of poly(vinylidene fluoride-trifluoroethylene) [P(VDF- TrFE)] with poly(methyl methacrylate) (PMMA), respectively. It has been confirmed that the fabri- cated TFT display excellent characteristics: the recorded field-effect mobility, sub-threshold voltage swing, and I(on)/I(off) ratio were approximately 0.35 cm2 V(-1) s(-1), 1.5 V/decade, and 10(4), respectively. These characteristics did not experience any degradation at a bending radius of 15 mm. These results correspond to the first demonstration of a hybrid-type TFT using an organic gate insulator/oxide semiconducting active channel structure fabricated on PDMS elastomer, and demonstrate the feasibility of a promising device in a flexible electronic system.

  9. Transparent Thin-Film Transistors Based on Sputtered Electric Double Layer.

    Science.gov (United States)

    Cai, Wensi; Ma, Xiaochen; Zhang, Jiawei; Song, Aimin

    2017-04-20

    Electric-double-layer (EDL) thin-film transistors (TFTs) have attracted much attention due to their low operation voltages. Recently, EDL TFTs gated with radio frequency (RF) magnetron sputtered SiO₂ have been developed which is compatible to large-area electronics fabrication. In this work, fully transparent Indium-Gallium-Zinc-Oxide-based EDL TFTs on glass substrates have been fabricated at room temperature for the first time. A maximum transmittance of about 80% has been achieved in the visible light range. The transparent TFTs show a low operation voltage of 1.5 V due to the large EDL capacitance (0.3 µF/cm² at 20 Hz). The devices exhibit a good performance with a low subthreshold swing of 130 mV/dec and a high on-off ratio > 10⁵. Several tests have also been done to investigate the influences of light irradiation and bias stress. Our results suggest that such transistors might have potential applications in battery-powered transparent electron devices.

  10. Thin film transistor performance of amorphous indium–zinc oxide semiconductor thin film prepared by ultraviolet photoassisted sol–gel processing

    Science.gov (United States)

    Kodzasa, Takehito; Nobeshima, Taiki; Kuribara, Kazunori; Yoshida, Manabu

    2018-05-01

    We have fabricated an amorphous indium–zinc oxide (IZO, In/Zn = 3/1) semiconductor thin-film transistor (AOS-TFT) by the sol–gel technique using ultraviolet (UV) photoirradiation and post-treatment in high-pressure O2 at 200 °C. The obtained TFT showed a hole carrier mobility of 0.02 cm2 V‑1 s‑1 and an on/off current ratio of 106. UV photoirradiation leads to the decomposition of the organic agents and hydroxide group in the IZO gel film. Furthermore, the post-treatment annealing at a high O2 pressure of more than 0.6 MPa leads to the filling of the oxygen vacancies in a poor metal–oxygen network in the IZO film.

  11. Study on the Hydrogenated ZnO-Based Thin Film Transistors. Part 1

    Science.gov (United States)

    2011-04-30

    growth of a- IGZO channel layers, but most of the devices exhibited enhancement-mode operation. The second approach studied the effect of hydrogenation of a... IGZO channel layers during post-annealing. Even though the device quality improved, depletion-mode operation was not achieved. Depletion-mode... IGZO film on the performance of thin film transistors 5 Chapter 2. Hydrogenation of a- IGZO channel layer in the thin film transistors 12

  12. High mobility n-type organic thin-film transistors deposited at room temperature by supersonic molecular beam deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chiarella, F., E-mail: fabio.chiarella@spin.cnr.it; Barra, M.; Ciccullo, F.; Cassinese, A. [CNR-SPIN and Physics Department, University of Naples, Piazzale Tecchio 80, I-80125 Naples (Italy); Toccoli, T.; Aversa, L.; Tatti, R.; Verucchi, R. [IMEM-CNR-FBK Division of Trento, Via alla Cascata 56/C, I-38123 Povo (Italy); Iannotta, S. [IMEM-CNR, Parco Area delle Scienze 37/A, I-43124 Parma (Italy)

    2014-04-07

    In this paper, we report on the fabrication of N,N′-1H,1H-perfluorobutil dicyanoperylenediimide (PDIF-CN{sub 2}) organic thin-film transistors by Supersonic Molecular Beam Deposition. The devices exhibit mobility up to 0.2 cm{sup 2}/V s even if the substrate is kept at room temperature during the organic film growth, exceeding by three orders of magnitude the electrical performance of those grown at the same temperature by conventional Organic Molecular Beam Deposition. The possibility to get high-mobility n-type transistors avoiding thermal treatments during or after the deposition could significantly extend the number of substrates suitable to the fabrication of flexible high-performance complementary circuits by using this compound.

  13. Significant Improvement of Organic Thin-Film Transistor Mobility Utilizing an Organic Heterojunction Buffer Layer

    International Nuclear Information System (INIS)

    Pan Feng; Qian Xian-Rui; Huang Li-Zhen; Wang Hai-Bo; Yan Dong-Hang

    2011-01-01

    High-mobility vanadyl phthalocyanine (VOPc)/5,5‴-bis(4-fluorophenyl)-2,2':5',2″:5″,2‴-quaterthiophene (F2-P4T) thin-film transistors are demonstrated by employing a copper hexadecafluorophthalocyanine (F 16 CuPc)/copper phthalocyanine (CuPc) heterojunction unit, which are fabricated at different substrate temperatures, as a buffer layer. The highest mobility of 4.08cm 2 /Vs is achieved using a F 16 CuPc/CuPc organic heterojunction buffer layer fabricated at high substrate temperature. Compared with the random small grain-like morphology of the room-temperature buffer layer, the high-temperature organic heterojunction presents a large-sized fiber-like film morphology, resulting in an enhanced conductivity. Thus the contact resistance of the transistor is significantly reduced and an obvious improvement in device mobility is obtained. (cross-disciplinary physics and related areas of science and technology)

  14. Poly(vinyl acetate)/clay nanocomposite materials for organic thin film transistor application.

    Science.gov (United States)

    Park, B J; Sung, J H; Park, J H; Choi, J S; Choi, H J

    2008-05-01

    Nanocomposite materials of poly(vinyl acetate) (PVAc) and organoclay were fabricated, in order to be utilized as dielectric materials of the organic thin film transistor (OTFT). Spin coating condition of the nanocomposite solution was examined considering shear viscosity of the composite materials dissolved in chloroform. Intercalated structure of the PVAc/clay nanocomposites was characterized using both wide-angle X-ray diffraction and TEM. Fracture morphology of the composite film on silicon wafer was also observed by SEM. Dielectric constant (4.15) of the nanocomposite materials shows that the PVAc/clay nanocomposites are applicable for the gate dielectric materials.

  15. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    Science.gov (United States)

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  16. In situ preparation, electrical and surface analytical characterization of pentacene thin film transistors

    Science.gov (United States)

    Lassnig, R.; Striedinger, B.; Hollerer, M.; Fian, A.; Stadlober, B.; Winkler, A.

    2015-01-01

    The fabrication of organic thin film transistors with highly reproducible characteristics presents a very challenging task. We have prepared and analyzed model pentacene thin film transistors under ultra-high vacuum conditions, employing surface analytical tools and methods. Intentionally contaminating the gold contacts and SiO2 channel area with carbon through repeated adsorption, dissociation, and desorption of pentacene proved to be very advantageous in the creation of devices with stable and reproducible parameters. We mainly focused on the device properties, such as mobility and threshold voltage, as a function of film morphology and preparation temperature. At 300 K, pentacene displays Stranski-Krastanov growth, whereas at 200 K fine-grained, layer-like film growth takes place, which predominantly influences the threshold voltage. Temperature dependent mobility measurements demonstrate good agreement with the established multiple trapping and release model, which in turn indicates a predominant concentration of shallow traps in the crystal grains and at the oxide-semiconductor interface. Mobility and threshold voltage measurements as a function of coverage reveal that up to four full monolayers contribute to the overall charge transport. A significant influence on the effective mobility also stems from the access resistance at the gold contact-semiconductor interface, which is again strongly influenced by the temperature dependent, characteristic film growth mode. PMID:25814770

  17. Organic thin film transistors using a liquid crystalline palladium phthalocyanine as active layer

    Science.gov (United States)

    Jiménez Tejada, Juan A.; Lopez-Varo, Pilar; Chaure, Nandu B.; Chambrier, Isabelle; Cammidge, Andrew N.; Cook, Michael J.; Jafari-Fini, Ali; Ray, Asim K.

    2018-03-01

    70 nm thick solution-processed films of a palladium phthalocyanine (PdPc6) derivative bearing eight hexyl (-C6H13) chains at non-peripheral positions have been employed as active layers in the fabrication of bottom-gate bottom-contact organic thin film transistors (OTFTs) deposited on highly doped p-type Si (110) substrates with SiO2 gate dielectric. The dependence of the transistor electrical performance upon the mesophase behavior of the PdPc6 films has been investigated by measuring the output and transfer characteristics of the OTFT having its active layer ex situ vacuum annealed at temperatures between 500 °C and 200 °C. A clear correlation between the annealing temperature and the threshold voltage and carrier mobility of the transistors, and the transition temperatures extracted from the differential scanning calorimetric curves for bulk materials has been established. This direct relation has been obtained by means of a compact electrical model in which the contact effects are taken into account. The precise determination of the contact-voltage drain-current curves allows for obtaining such a relation.

  18. Fabrication of an a-IGZO thin film transistor using selective deposition of cobalt by the self-assembly monolayer (SAM) process.

    Science.gov (United States)

    Cho, Young-Je; Kim, HyunHo; Park, Kyoung-Yun; Lee, Jaegab; Bobade, Santosh M; Wu, Fu-Chung; Choi, Duck-Kyun

    2011-01-01

    Interest in transparent oxide thin film transistors utilizing ZnO material has been on the rise for many years. Recently, however, IGZO has begun to draw more attention due to its higher stability and superior electric field mobility when compared to ZnO. In this work, we address an improved method for patterning an a-IGZO film using the SAM process, which employs a cost-efficient micro-contact printing method instead of the conventional lithography process. After a-IGZO film deposition on the surface of a SiO2-layered Si wafer, the wafer was illuminated with UV light; sources and drains were then patterned using n-octadecyltrichlorosilane (OTS) molecules by a printing method. Due to the low surface energy of OTS, cobalt was selectively deposited on the OTS-free a-IGZO surface. The selective deposition of cobalt electrodes was successful, as confirmed by an optical microscope. The a-IZGO TFT fabricated using the SAM process exhibited good transistor performance: electric field mobility (micro(FE)), threshold voltage (V(th)), subthreshold slope (SS) and on/off ratio were 2.1 cm2/Vs, 2.4 V, 0.35 V/dec and 2.9 x 10(6), respectively.

  19. Low operating voltage InGaZnO thin-film transistors based on Al2O3 high-k dielectrics fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K.; Lee, W. J.; Shin, B. C.; Cho, C. R.

    2014-01-01

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al 2 O 3 dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al 2 O 3 and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al 2 O 3 gate dielectric exhibits a very low leakage current density of 1.3 x 10 -8 A/cm 2 at 5 V and a high capacitance density of 60.9 nF/cm 2 . The IGZO TFT with a structure of Ni/IGZO/Al 2 O 3 /Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm 2 V -1 s -1 , an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10 7 .

  20. Low-Temperature Fabrication of Robust, Transparent, and Flexible Thin-Film Transistors with a Nanolaminated Insulator.

    Science.gov (United States)

    Kwon, Jeong Hyun; Park, Junhong; Lee, Myung Keun; Park, Jeong Woo; Jeon, Yongmin; Shin, Jeong Bin; Nam, Minwoo; Kim, Choong-Ki; Choi, Yang-Kyu; Choi, Kyung Cheol

    2018-05-09

    The lack of reliable, transparent, and flexible electrodes and insulators for applications in thin-film transistors (TFTs) makes it difficult to commercialize transparent, flexible TFTs (TF-TFTs). More specifically, conventional high process temperatures and the brittleness of these elements have been hurdles in developing flexible substrates vulnerable to heat. Here, we propose electrode and insulator fabrication techniques considering process temperature, transmittance, flexibility, and environmental stability. A transparent and flexible indium tin oxide (ITO)/Ag/ITO (IAI) electrode and an Al 2 O 3 /MgO (AM)-laminated insulator were optimized at the low temperature of 70 °C for the fabrication of TF-TFTs on a polyethylene terephthalate (PET) substrate. The optimized IAI electrode with a sheet resistance of 7 Ω/sq exhibited the luminous transmittance of 85.17% and maintained its electrical conductivity after exposure to damp heat conditions because of an environmentally stable ITO capping layer. In addition, the electrical conductivity of IAI was maintained after 10 000 bending cycles with a tensile strain of 3% because of the ductile Ag film. In the metal/insulator/metal structure, the insulating and mechanical properties of the optimized AM-laminated film deposited at 70 °C were significantly improved because of the highly dense nanolaminate system, compared to those of the Al 2 O 3 film deposited at 70 °C. In addition, the amorphous indium-gallium-zinc oxide (a-IGZO) was used as the active channel for TF-TFTs because of its excellent chemical stability. In the environmental stability test, the ITO, a-IGZO, and AM-laminated films showed the excellent environmental stability. Therefore, our IGZO-based TFT with IAI electrodes and the 70 °C AM-laminated insulator was fabricated to evaluate robustness, transparency, flexibility, and process temperature, resulting in transfer characteristics comparable to those of an IGZO-based TFT with a 150 °C Al 2 O 3

  1. High-performance a-IGZO thin-film transistor with conductive indium-tin-oxide buried layer

    Science.gov (United States)

    Ahn, Min-Ju; Cho, Won-Ju

    2017-10-01

    In this study, we fabricated top-contact top-gate (TCTG) structure of amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs) with a thin buried conductive indium-tin oxide (ITO) layer. The electrical performance of a-IGZO TFTs was improved by inserting an ITO buried layer under the IGZO channel. Also, the effect of the buried layer's length on the electrical characteristics of a-IGZO TFTs was investigated. The electrical performance of the transistors improved with increasing the buried layer's length: a large on/off current ratio of 1.1×107, a high field-effect mobility of 35.6 cm2/Vs, a small subthreshold slope of 116.1 mV/dec, and a low interface trap density of 4.2×1011 cm-2eV-1 were obtained. The buried layer a-IGZO TFTs exhibited enhanced transistor performance and excellent stability against the gate bias stress.

  2. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  3. Laser printed organic semiconductor PQT-12 for bottom-gate organic thin-film transistors: Fabrication and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Makrygianni, M. [National Technical University of Athens, Physics Department, Iroon Polytehneiou 9, 15780 Zografou (Greece); National Technical University of Athens, Electrical and Computer Engineering Department, Iroon Polytehneiou 9, 15780 Zografou (Greece); Ainsebaa, A. [Ecole Nationale Supérieure des Mines de Saint-Etienne, Department of Flexible Electronics, CMP-EMSE, MOC, 13541 Gardanne (France); Nagel, M. [EMPA Swiss Federal Lab. for Materials Science and Technology, Laboratory for Functional Polymers, Überlandstrasse 129, 8600 Dubendorf (Switzerland); Sanaur, S. [Ecole Nationale Supérieure des Mines de Saint-Etienne, Department of Flexible Electronics, CMP-EMSE, MOC, 13541 Gardanne (France); Raptis, Y.S. [National Technical University of Athens, Physics Department, Iroon Polytehneiou 9, 15780 Zografou (Greece); Zergioti, I., E-mail: zergioti@central.ntua.gr [National Technical University of Athens, Physics Department, Iroon Polytehneiou 9, 15780 Zografou (Greece); Tsamakis, D. [National Technical University of Athens, Electrical and Computer Engineering Department, Iroon Polytehneiou 9, 15780 Zografou (Greece)

    2016-12-30

    Highlights: • Smooth printing of semiconducting π-conjugated polymer patterns for BG-BC OTFTs. • Well-ordering of PQT-12 when diluted in a high-boiling-point solvent yielding good interface properties. • No significant change in polymer chain orientation observed between LIFT printed patterns. • Reliable solid phase printing technique for thin, organic large area electronics applications, in a well-defined manner. - Abstract: In this work, we report on the effect of laser printed Poly (3,3‴-didodecyl quarter thiophene) on its optical, structural and electrical properties for bottom-gate/bottom-contact organic thin-film transistors applications. This semiconducting π-conjugated polymer was solution-deposited (spin-coated) on a donor substrate and transferred by means of solid phase laser-induced forward transfer (LIFT) technique on SiO{sub 2}/Si receiver substrates to form the active material. This article presents a detailed study of the electrical properties of the fabricated transistors by measuring the parasitic resistances for gold (Au) and platinum (Pt) as source-drain electrodes, for optimizing OTFTs in terms of contacts. In addition, X-ray diffraction patterns revealed that it is possible to control the polymer microstructure through the choice of solvent. Also, no significant change in polymer chain orientation was observed between two printed patterns at 90 and 130 mJ/cm{sup 2} as confirmed by Raman spectra. The results demonstrate hole mobility values of (2.6 ± 1.3) × 10{sup −2} cm{sup 2}/Vs, and lower parasitic resistance for dielectric surface roughness around 1.2 nm and Pt electrodes. Higher performances are correlated to i) the well-ordering of PQT-12 surface when a high-boiling-point solvent is used and ii) the less limitating Pt source/drain electrodes. This analytical study proves that solid phase LIFT printing is a reliable technology for the fabrication of thin, organic large area electronics in a well-defined manner.

  4. Laser printed organic semiconductor PQT-12 for bottom-gate organic thin-film transistors: Fabrication and characterization

    International Nuclear Information System (INIS)

    Makrygianni, M.; Ainsebaa, A.; Nagel, M.; Sanaur, S.; Raptis, Y.S.; Zergioti, I.; Tsamakis, D.

    2016-01-01

    Highlights: • Smooth printing of semiconducting π-conjugated polymer patterns for BG-BC OTFTs. • Well-ordering of PQT-12 when diluted in a high-boiling-point solvent yielding good interface properties. • No significant change in polymer chain orientation observed between LIFT printed patterns. • Reliable solid phase printing technique for thin, organic large area electronics applications, in a well-defined manner. - Abstract: In this work, we report on the effect of laser printed Poly (3,3‴-didodecyl quarter thiophene) on its optical, structural and electrical properties for bottom-gate/bottom-contact organic thin-film transistors applications. This semiconducting π-conjugated polymer was solution-deposited (spin-coated) on a donor substrate and transferred by means of solid phase laser-induced forward transfer (LIFT) technique on SiO_2/Si receiver substrates to form the active material. This article presents a detailed study of the electrical properties of the fabricated transistors by measuring the parasitic resistances for gold (Au) and platinum (Pt) as source-drain electrodes, for optimizing OTFTs in terms of contacts. In addition, X-ray diffraction patterns revealed that it is possible to control the polymer microstructure through the choice of solvent. Also, no significant change in polymer chain orientation was observed between two printed patterns at 90 and 130 mJ/cm"2 as confirmed by Raman spectra. The results demonstrate hole mobility values of (2.6 ± 1.3) × 10"−"2 cm"2/Vs, and lower parasitic resistance for dielectric surface roughness around 1.2 nm and Pt electrodes. Higher performances are correlated to i) the well-ordering of PQT-12 surface when a high-boiling-point solvent is used and ii) the less limitating Pt source/drain electrodes. This analytical study proves that solid phase LIFT printing is a reliable technology for the fabrication of thin, organic large area electronics in a well-defined manner.

  5. Homogeneous-oxide stack in IGZO thin-film transistors for multi-level-cell NAND memory application

    Science.gov (United States)

    Ji, Hao; Wei, Yehui; Zhang, Xinlei; Jiang, Ran

    2017-11-01

    A nonvolatile charge-trap-flash memory that is based on amorphous indium-gallium-zinc-oxide thin film transistors was fabricated with a homogeneous-oxide structure for a multi-level-cell application. All oxide layers, i.e., tunneling layer, charge trapping layer, and blocking layer, were fabricated with Al2O3 films. The fabrication condition (including temperature and deposition method) of the charge trapping layer was different from those of the other oxide layers. This device demonstrated a considerable large memory window of 4 V between the states fully erased and programmed with the operation voltage less than 14 V. This kind of device shows a good prospect for multi-level-cell memory applications.

  6. Investigations on the effects of electrode materials on the device characteristics of ferroelectric memory thin film transistors fabricated on flexible substrates

    Science.gov (United States)

    Yang, Ji-Hee; Yun, Da-Jeong; Seo, Gi-Ho; Kim, Seong-Min; Yoon, Myung-Han; Yoon, Sung-Min

    2018-03-01

    For flexible memory device applications, we propose memory thin-film transistors using an organic ferroelectric poly(vinylidene fluoride-trifluoroethylene) [P(VDF-TrFE)] gate insulator and an amorphous In-Ga-Zn-O (a-IGZO) active channel. The effects of electrode materials and their deposition methods on the characteristics of memory devices exploiting the ferroelectric field effect were investigated for the proposed ferroelectric memory thin-film transistors (Fe-MTFTs) at flat and bending states. It was found that the plasma-induced sputtering deposition and mechanical brittleness of the indium-tin oxide (ITO) markedly degraded the ferroelectric-field-effect-driven memory window and bending characteristics of the Fe-MTFTs. The replacement of ITO electrodes with metal aluminum (Al) electrodes prepared by plasma-free thermal evaporation greatly enhanced the memory device characteristics even under bending conditions owing to their mechanical ductility. Furthermore, poly(3,4-ethylenedioxythiophene)-poly(styrene sulfonate) (PEDOT:PSS) was introduced to achieve robust bending performance under extreme mechanical stress. The Fe-MTFTs using PEDOT:PSS source/drain electrodes were successfully fabricated and showed the potential for use as flexible memory devices. The suitable choice of electrode materials employed for the Fe-MTFTs is concluded to be one of the most important control parameters for highly functional flexible Fe-MTFTs.

  7. Ambipolar SnOx thin-film transistors achieved at high sputtering power

    Science.gov (United States)

    Li, Yunpeng; Yang, Jia; Qu, Yunxiu; Zhang, Jiawei; Zhou, Li; Yang, Zaixing; Lin, Zhaojun; Wang, Qingpu; Song, Aimin; Xin, Qian

    2018-04-01

    SnO is the only oxide semiconductor to date that has exhibited ambipolar behavior in thin-film transistors (TFTs). In this work, ambipolar behavior was observed in SnOx TFTs fabricated at a high sputtering power of 200 W and post-annealed at 150-250 °C in ambient air. X-ray-diffraction patterns showed polycrystallisation of SnO and Sn in the annealed SnOx films. Scanning-electron-microscopy images revealed that microgrooves appeared after the films were annealed. Clusters subsequently segregated along the microgrooves, and our experiments suggest that they were most likely Sn clusters. Atomic force microscopy images indicate an abrupt increase in film roughness due to the cluster segregations. An important implication of this work is that excess Sn in the film, which has generally been thought to be detrimental to the film quality, may promote the ambipolar conduction when it is segregated from the film to enhance the stoichiometric balance.

  8. Transparent ambipolar organic thin film transistors based on multilayer transparent source-drain electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Nan; Hu, Yongsheng, E-mail: huyongsheng@ciomp.ac.cn, E-mail: liuxy@ciomp.ac.cn; Lin, Jie; Li, Yantao; Liu, Xingyuan, E-mail: huyongsheng@ciomp.ac.cn, E-mail: liuxy@ciomp.ac.cn [State Key Laboratory of Luminescence and Applications, Changchun Institute of Optics, Fine Mechanics and Physics, Chinese Academy of Sciences, Changchun 130033 (China)

    2016-08-08

    A fabrication method for transparent ambipolar organic thin film transistors with transparent Sb{sub 2}O{sub 3}/Ag/Sb{sub 2}O{sub 3} (SAS) source and drain electrodes has been developed. A pentacene/N,N′-ditridecylperylene-3,4,9,10-tetracarboxylic di-imide (PTCDI-C13) bilayer heterojunction is used as the active semiconductor. The electrodes are deposited by room temperature electron beam evaporation. The devices are fabricated without damaging the active layers. The SAS electrodes have high transmittance (82.5%) and low sheet resistance (8 Ω/sq). High performance devices with hole and electron mobilities of 0.3 cm{sup 2}/V s and 0.027 cm{sup 2}/V s, respectively, and average visible range transmittance of 72% were obtained. These transistors have potential for transparent logic integrated circuit applications.

  9. Effect of Processing Parameters on Performance of Spray-Deposited Organic Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Jack W. Owen

    2011-01-01

    Full Text Available The performance of organic thin-film transistors (OTFTs is often strongly dependent on the fabrication procedure. In this study, we fabricate OTFTs of soluble small-molecule organic semiconductors by spray-deposition and explore the effect of processing parameters on film morphology and device mobility. In particular, we report on the effect of the nature of solvent, the pressure of the carrier gas used in deposition, and the spraying distance. We investigate the surface morphology using scanning force microscopy and show that the molecules pack along the π-stacking direction, which is the preferred charge transport direction. Our results demonstrate that we can tune the field-effect mobility of spray-deposited devices two orders of magnitude, from 10−3 cm2/Vs to 10−1 cm2/Vs, by controlling fabrication parameters.

  10. Electrical characteristics of vapor deposited amorphous MoS2 two-terminal structures and back gate thin film transistors with Al, Au, Cu and Ni-Au contacts

    International Nuclear Information System (INIS)

    Kouvatsos, Dimitrios N.; Papadimitropoulos, Georgios; Spiliotis, Thanassis; Vasilopoulou, Maria; Davazoglou, Dimitrios; Barreca, Davide; Gasparotto, Alberto

    2015-01-01

    Amorphous molybdenum sulphide (a-MoS 2 ) thin films were deposited at near room temperature on oxidized silicon substrates and were electrically characterized with the use of two-terminal structures and of back-gated thin film transistors utilizing the substrate silicon as gate. Current-voltage characteristics were extracted for various metals used as pads, showing significant current variations attributable to different metal-sulphide interface properties and contact resistances, while the effect of a forming gas anneal was determined. With the use of heavily doped silicon substrates and aluminum backside deposition, thin film transistor (TFT) structures with the a-MoS 2 film as active layer were fabricated and characterized. Transfer characteristics showing a gate field effect, despite a leakage often present, were extracted for these devices, indicating that high mobility devices can be fabricated. SEM and EDXA measurements were also performed in an attempt to clarify issues related to material properties and fabrication procedures, so as to achieve a reliable and optimized a-MoS 2 TFT fabrication process. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Liquid crystals for organic thin-film transistors

    Science.gov (United States)

    Iino, Hiroaki; Usui, Takayuki; Hanna, Jun-Ichi

    2015-04-01

    Crystalline thin films of organic semiconductors are a good candidate for field effect transistor (FET) materials in printed electronics. However, there are currently two main problems, which are associated with inhomogeneity and poor thermal durability of these films. Here we report that liquid crystalline materials exhibiting a highly ordered liquid crystal phase of smectic E (SmE) can solve both these problems. We design a SmE liquid crystalline material, 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-10), for FETs and synthesize it. This material provides uniform and molecularly flat polycrystalline thin films reproducibly when SmE precursor thin films are crystallized, and also exhibits high durability of films up to 200 °C. In addition, the mobility of FETs is dramatically enhanced by about one order of magnitude (over 10 cm2 V-1 s-1) after thermal annealing at 120 °C in bottom-gate-bottom-contact FETs. We anticipate the use of SmE liquid crystals in solution-processed FETs may help overcome upcoming difficulties with novel technologies for printed electronics.

  12. Fabrication of field-effect transistor utilizing oriented thin film of octahexyl-substituted phthalocyanine and its electrical anisotropy based on columnar structure

    Science.gov (United States)

    Ohmori, Masashi; Nakatani, Mitsuhiro; Kajii, Hirotake; Miyamoto, Ayano; Yoneya, Makoto; Fujii, Akihiko; Ozaki, Masanori

    2018-03-01

    Field-effect transistors with molecularly oriented thin films of metal-free non-peripherally octahexyl-substituted phthalocyanine (C6PcH2), which characteristically form a columnar structure, have been fabricated, and the electrical anisotropy of C6PcH2 has been investigated. The molecularly oriented thin films of C6PcH2 were prepared by the bar-coating technique, and the uniform orientation in a large area and the surface roughness at a molecular level were observed by polarized spectroscopy and atomic force microscopy, respectively. The field effect mobilities parallel and perpendicular to the column axis of C6PcH2 were estimated to be (1.54 ± 0.24) × 10-2 and (2.10 ± 0.23) × 10-3 cm2 V-1 s-1, respectively. The electrical anisotropy based on the columnar structure has been discussed by taking the simulated results obtained by density functional theory calculation into consideration.

  13. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  14. Effects of DC gate and drain bias stresses on the degradation of excimer laser crystallized polysilicon thin film transistors

    International Nuclear Information System (INIS)

    Kouvatsos, D N; Michalas, L; Voutsas, A T; Papaioannou, G J

    2005-01-01

    The effects of gate and drain bias stresses on thin film transistors fabricated in polysilicon films crystallized using the advanced sequential lateral solidification excimer laser annealing (SLS ELA) process, which yields very elongated polysilicon grains and allows the fabrication of TFTs without grain boundary barriers to current flow, are investigated as a function of the active layer thickness and of the TFT orientation relative to the grains. The application of hot carrier stress, with a condition of V GS = V DS /2, was determined to induce threshold voltage, subthreshold swing and transconductance degradation for TFTs in thicker polysilicon films and the associated stress-induced increase in the active layer trap density was evaluated. However, this device degradation was drastically reduced for TFTs fabricated in ultra-thin films. Furthermore, the application of the same stress condition to TFTs oriented vertically to the elongated grains resulted in similar threshold voltage shift but in substantially decreased subthreshold swing and transconductance degradation. The immunity of ultra-thin active layer devices to degradation under hot carrier stress clearly suggests the implementation of ultra thin SLS ELA polysilicon films for the fabrication of TFTs exhibiting not only high performance but, especially, the high reliability needed for integrated systems on panel

  15. Simulation, fabrication and characterization of ZnO based thin film transistors grown by radio frequency magnetron sputtering.

    Science.gov (United States)

    Singh, Shaivalini; Chakrabarti, P

    2012-03-01

    We report the performance of the thin film transistors (TFTs) using ZnO as an active channel layer grown by radio frequency (RF) magnetron sputtering technique. The bottom gate type TFT, consists of a conventional thermally grown SiO2 as gate insulator onto p-type Si substrates. The X-ray diffraction patterns reveal that the ZnO films are preferentially orientated in the (002) plane, with the c-axis perpendicular to the substrate. A typical ZnO TFT fabricated by this method exhibits saturation field effect mobility of about 0.6134 cm2/V s, an on to off ratio of 102, an off current of 2.0 x 10(-7) A, and a threshold voltage of 3.1 V at room temperature. Simulation of this TFT is also carried out by using the commercial software modeling tool ATLAS from Silvaco-International. The simulated global characteristics of the device were compared and contrasted with those measured experimentally. The experimental results are in fairly good agreement with those obtained from simulation.

  16. Mesoscale control of organic crystalline thin films: effects of film morphology on the performance of organic transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jaekyun; Park, Sungkyu [Chung-Ang University, Seoul (Korea, Republic of); Kim, Yonghoon [Sungkyunkwan University, Suwon (Korea, Republic of)

    2014-08-15

    We report mesoscale control of small molecular 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) crystalline thin films by varying the solute concentration in the fluidic channel method. A stepwise increase in the TIPS-pentacene concentration in the solution enabled us to prepare highly-crystallized ribbons, thin films, and thick films in a mesoscale range, respectively. All three types of deposited films exhibited an in-plane crystalline nature of (001) direction being normal to the substrate as well as crystalline domain growth parallel to the direction of the receding meniscus inside the fluidic channel. In addition, the film's morphology and thickness were found to have a great influence on the field-effect mobility of the transistors, and the highest average and maximum mobilities were achieved from transistors with thin-film semiconductor channels.

  17. Large-scale complementary macroelectronics using hybrid integration of carbon nanotubes and IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Haitian; Cao, Yu; Zhang, Jialu; Zhou, Chongwu

    2014-06-13

    Carbon nanotubes and metal oxide semiconductors have emerged as important materials for p-type and n-type thin-film transistors, respectively; however, realizing sophisticated macroelectronics operating in complementary mode has been challenging due to the difficulty in making n-type carbon nanotube transistors and p-type metal oxide transistors. Here we report a hybrid integration of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors to achieve large-scale (>1,000 transistors for 501-stage ring oscillators) complementary macroelectronic circuits on both rigid and flexible substrates. This approach of hybrid integration allows us to combine the strength of p-type carbon nanotube and n-type indium-gallium-zinc-oxide thin-film transistors, and offers high device yield and low device variation. Based on this approach, we report the successful demonstration of various logic gates (inverter, NAND and NOR gates), ring oscillators (from 51 stages to 501 stages) and dynamic logic circuits (dynamic inverter, NAND and NOR gates).

  18. Inkjet-Printed In-Ga-Zn Oxide Thin-Film Transistors with Laser Spike Annealing

    Science.gov (United States)

    Huang, Hang; Hu, Hailong; Zhu, Jingguang; Guo, Tailiang

    2017-07-01

    Inkjet-printed In-Ga-Zn oxide (IGZO) thin-film transistors (TFTs) have been fabricated at low temperature using laser spike annealing (LSA) treatment. Coffee-ring effects during the printing process were eliminated to form uniform IGZO films by simply increasing the concentration of solute in the ink. The impact of LSA on the TFT performance was studied. The field-effect mobility, threshold voltage, and on/off current ratio were greatly influenced by the LSA treatment. With laser scanning at 1 mm/s for 40 times, the 30-nm-thick IGZO TFT baked at 200°C showed mobility of 1.5 cm2/V s, threshold voltage of -8.5 V, and on/off current ratio >106. Our findings demonstrate the feasibility of rapid LSA treatment of low-temperature inkjet-printed oxide semiconductor transistors, being comparable to those obtained by conventional high-temperature annealing.

  19. Enhancement of carrier mobility in all-inkjet-printed organic thin-film transistors using a blend of poly(3-hexylthiophene) and carbon nanoparticles

    International Nuclear Information System (INIS)

    Lin, Chih-Ting; Hsu, Chun-Hao; Chen, Iu-Ren; Lee, Chang-Hung; Wu, Wen-Jung

    2011-01-01

    To enhance the carrier mobility of all-inkjet-printed organic thin film transistors, we fabricated devices that incorporated poly(3-hexylthiophene) (P3HT) and carbon nanoparticles (CNPs). The fabricated devices had an on/off ratio of 10 4 , which is one order less than that of pristine organic thin-film transistors (OTFTs). The maximum carrier mobility as high as 0.053 cm 2 /V-s was achieved for a CNP/P3HT weight-weight ratio of 7/100. This degree of mobility is 10 times greater than average mobility of pristine P3HT-OTFTs. X-ray diffraction and scanning electron microscopy images reveal that the carrier mobility was enhanced by reducing the injection barrier and enhancing the carrier injection. This work demonstrates the feasibility of all-inkjet-printed OTFT technology.

  20. DNA hybridization sensor based on pentacene thin film transistor.

    Science.gov (United States)

    Kim, Jung-Min; Jha, Sandeep Kumar; Chand, Rohit; Lee, Dong-Hoon; Kim, Yong-Sang

    2011-01-15

    A DNA hybridization sensor using pentacene thin film transistors (TFTs) is an excellent candidate for disposable sensor applications due to their low-cost fabrication process and fast detection. We fabricated pentacene TFTs on glass substrate for the sensing of DNA hybridization. The ss-DNA (polyA/polyT) or ds-DNA (polyA/polyT hybrid) were immobilized directly on the surface of the pentacene, producing a dramatic change in the electrical properties of the devices. The electrical characteristics of devices were studied as a function of DNA immobilization, single-stranded vs. double-stranded DNA, DNA length and concentration. The TFT device was further tested for detection of λ-phage genomic DNA using probe hybridization. Based on these results, we propose that a "label-free" detection technique for DNA hybridization is possible through direct measurement of electrical properties of DNA-immobilized pentacene TFTs. Copyright © 2010 Elsevier B.V. All rights reserved.

  1. Indium oxide thin-film transistors processed at low temperature via ultrasonic spray pyrolysis

    KAUST Repository

    Faber, Hendrik

    2015-01-14

    The use of ultrasonic spray pyrolysis is demonstrated for the growth of polycrystalline, highly uniform indium oxide films at temperatures in the range of 200-300 °C in air using an aqueous In(NO3)3 precursor solution. Electrical characterization of as-deposited films by field-effect measurements reveals a strong dependence of the electron mobility on deposition temperature. Transistors fabricated at ∼250 °C exhibit optimum performance with maximum electron mobility values in the range of 15-20 cm2 V -1 s-1 and current on/off ratio in excess of 106. Structural and compositional analysis of as-grown films by means of X-ray diffraction, diffuse scattering, and X-ray photoelectron spectroscopy reveal that layers deposited at 250 °C are denser and contain a reduced amount of hydroxyl groups as compared to films grown at either lower or higher temperatures. Microstructural analysis of semiconducting films deposited at 250 °C by high resolution cross-sectional transmission electron microscopy reveals that as-grown layers are extremely thin (∼7 nm) and composed of laterally large (30-60 nm) highly crystalline In2O3 domains. These unique characteristics of the In2O3 films are believed to be responsible for the high electron mobilities obtained from transistors fabricated at 250 °C. Our work demonstrates the ability to grow high quality low-dimensional In2O3 films and devices via ultrasonic spray pyrolysis over large area substrates while at the same time it provides guidelines for further material and device improvements.

  2. Front and backside processed thin film electronic devices

    Science.gov (United States)

    Yuan, Hao-Chih; Wang, Guogong; Eriksson, Mark A.; Evans, Paul G.; Lagally, Max G.; Ma, Zhenqiang

    2010-10-12

    This invention provides methods for fabricating thin film electronic devices with both front- and backside processing capabilities. Using these methods, high temperature processing steps may be carried out during both frontside and backside processing. The methods are well-suited for fabricating back-gate and double-gate field effect transistors, double-sided bipolar transistors and 3D integrated circuits.

  3. (ZnO) m pellets as cause of variability in thin film transistor

    Indian Academy of Sciences (India)

    Indium–gallium–zinc oxide (IGZO) is a novel amorphous oxide semiconductor, which recently has received much attention for thin film transistors (TFTs) in flat panel displays. Published literature reports significant variations in the properties of thin films and TFTs prepared from IGZO even though the reported process ...

  4. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  5. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  6. Low operating voltage InGaZnO thin-film transistors based on Al{sub 2}O{sub 3} high-k dielectrics fabricated using pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K. [Qingdao University, Qingdao (China); DongEui University, Busan (Korea, Republic of); Lee, W. J.; Shin, B. C. [DongEui University, Busan (Korea, Republic of); Cho, C. R. [Pusan National University, Busan (Korea, Republic of)

    2014-05-15

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al{sub 2}O{sub 3} dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al{sub 2}O{sub 3} and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al{sub 2}O{sub 3} gate dielectric exhibits a very low leakage current density of 1.3 x 10{sup -8} A/cm{sup 2} at 5 V and a high capacitance density of 60.9 nF/cm{sup 2}. The IGZO TFT with a structure of Ni/IGZO/Al{sub 2}O{sub 3}/Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm{sup 2}V{sup -1}s{sup -1}, an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10{sup 7}.

  7. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.; Wang, Zhenwei; Anjum, Dalaver H.; Hedhili, Mohamed N.; Alshareef, Husam N.

    2015-01-01

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured

  8. Ultra-thin films of polysilsesquioxanes possessing 3-methacryloxypropyl groups as gate insulator for organic field-effect transistors

    International Nuclear Information System (INIS)

    Nakahara, Yoshio; Kawa, Haruna; Yoshiki, Jun; Kumei, Maki; Yamamoto, Hiroyuki; Oi, Fumio; Yamakado, Hideo; Fukuda, Hisashi; Kimura, Keiichi

    2012-01-01

    Polysilsesquioxanes (PSQs) possessing 3-methacryloxypropyl groups as an organic moiety of the side chain were synthesized by sol–gel condensation copolymerization of the corresponding trialkoxysilanes. The ultra-thin PSQ film with a radical initiator and a cross-linking agent was prepared by a spin-coating method, and the film was cured integrally at low temperatures of less than 120 °C through two different kinds of polymeric reactions, which were radical polymerization of vinyl groups and sol–gel condensation polymerization of terminated silanol and alkoxy groups. The obtained PSQ film showed the almost perfect solubilization resistance to acetone, which is a good solvent of PSQ before polymerization. It became clear by atomic force microscopy observation that the surface of the PSQ film was very smooth at a nano-meter level. Furthermore, pentacene-based organic field-effect transistor (OFET) with the PSQ film as a gate insulator showed typical p-channel enhancement mode operation characteristics and therefore the ultra-thin PSQ film has the potential to be applicable for solution-processed OFET systems. - Highlights: ► Polysilsesquioxanes (PSQs) possessing 3-methacryloxypropyl groups were synthesized. ► The ultra-thin PSQ film could be cured at low temperatures of less than 120 °C. ► The PSQ film showed the almost perfect solubilization resistance to organic solvent. ► The surface of the PSQ film was very smooth at a nano-meter level. ► Pentacene-based organic field-effect transistor with the PSQ film was fabricated.

  9. Balancing Hole and Electron Conduction in Ambipolar Split-Gate Thin-Film Transistors.

    Science.gov (United States)

    Yoo, Hocheon; Ghittorelli, Matteo; Lee, Dong-Kyu; Smits, Edsger C P; Gelinck, Gerwin H; Ahn, Hyungju; Lee, Han-Koo; Torricelli, Fabrizio; Kim, Jae-Joon

    2017-07-10

    Complementary organic electronics is a key enabling technology for the development of new applications including smart ubiquitous sensors, wearable electronics, and healthcare devices. High-performance, high-functionality and reliable complementary circuits require n- and p-type thin-film transistors with balanced characteristics. Recent advancements in ambipolar organic transistors in terms of semiconductor and device engineering demonstrate the great potential of this route but, unfortunately, the actual development of ambipolar organic complementary electronics is currently hampered by the uneven electron (n-type) and hole (p-type) conduction in ambipolar organic transistors. Here we show ambipolar organic thin-film transistors with balanced n-type and p-type operation. By manipulating air exposure and vacuum annealing conditions, we show that well-balanced electron and hole transport properties can be easily obtained. The method is used to control hole and electron conductions in split-gate transistors based on a solution-processed donor-acceptor semiconducting polymer. Complementary logic inverters with balanced charging and discharging characteristics are demonstrated. These findings may open up new opportunities for the rational design of complementary electronics based on ambipolar organic transistors.

  10. The effect of thermal annealing on pentacene thin film transistor with micro contact printing.

    Science.gov (United States)

    Shin, Hong-Sik; Yun, Ho-Jin; Baek, Kyu-Ha; Ham, Yong-Hyun; Park, Kun-Sik; Kim, Dong-Pyo; Lee, Ga-Won; Lee, Hi-Deok; Lee, Kijun; Do, Lee-Mi

    2012-07-01

    We used micro contact printing (micro-CP) to fabricate inverted coplanar pentacene thin film transistors (TFTs) with 1-microm channels. The patterning of micro-scale source/drain electrodes without etch process was successfully achieved using Polydimethylsiloxane (PDMS) elastomer stamp. We used the Ag nano particle ink as an electrode material, and the sheet resistance and surface roughness of the Ag electrodes were effectively reduced with the 2-step thermal annealing on a hotplate, which improved the mobility, the on-off ratio, and the subthreshold slope (SS) of the pentacene TFTs. In addition, the device annealing on a hotplate in a N2 atmosphere for 30 sec can enhance the off-current and the mobility properties of OTFTs without damaging the pentacene thin films and increase the adhesion between pentacene and dielectric layer (SiO2), which was investigated with the pentacene films phase change of the XRD spectrum after device annealing.

  11. Enhanced performance of a-IGZO thin-film transistors by forming AZO/IGZO heterojunction source/drain contacts

    International Nuclear Information System (INIS)

    Zou, Xiao; Fang, Guojia; Wan, Jiawei; Liu, Nishuang; Long, Hao; Wang, Haolin; Zhao, Xingzhong

    2011-01-01

    A low-cost Al-doped ZnO (AZO) thin film was deposited by radio-frequency magnetron sputtering with different Ar/O 2 flow ratios. The optical and electrical properties of an AZO film were investigated. A highly conductive AZO film was inserted between the amorphous InGaZnO (a-IGZO) channel and the metal Al electrode to form a heterojunction source/drain contact, and bottom-gate amorphous a-IGZO thin-film transistors (TFTs) with a high κ HfON gate dielectric were fabricated. The AZO film reduced the source/drain contact resistivity down to 79 Ω cm. Enhanced device performance of a-IGZO TFT with Al/AZO bi-layer S/D electrodes (W/L = 500/40 µm) was achieved with a saturation mobility of 13.7 cm 2 V −1 s −1 , a threshold voltage of 0.6 V, an on-off current ratio of 4.7 × 10 6 , and a subthreshold gate voltage swing of 0.25 V dec −1 . It demonstrated the potential application of the AZO film as a promising S/D contact material for the fabrication of the high performance TFTs

  12. Low-temperature metal-oxide thin-film transistors formed by directly photopatternable and combustible solution synthesis.

    Science.gov (United States)

    Rim, You Seung; Lim, Hyun Soo; Kim, Hyun Jae

    2013-05-01

    We investigated the formation of ultraviolet (UV)-assisted directly patternable solution-processed oxide semiconductor films and successfully fabricated thin-film transistors (TFTs) based on these films. An InGaZnO (IGZO) solution that was modified chemically with benzoylacetone (BzAc), whose chelate rings decomposed via a π-π* transition as result of UV irradiation, was used for the direct patterning. A TFT was fabricated using the directly patterned IGZO film, and it had better electrical characteristics than those of conventional photoresist (PR)-patterned TFTs. In addition, the nitric acid (HNO3) and acetylacetone (AcAc) modified In2O3 (NAc-In2O3) solution exhibited both strong UV absorption and high exothermic reaction. This method not only resulted in the formation of a low-energy path because of the combustion of the chemically modified metal-oxide solution but also allowed for photoreaction-induced direct patterning at low temperatures.

  13. Tin oxide transparent thin-film transistors

    International Nuclear Information System (INIS)

    Presley, R E; Munsee, C L; Park, C-H; Hong, D; Wager, J F; Keszler, D A

    2004-01-01

    A SnO 2 transparent thin-film transistor (TTFT) is demonstrated. The SnO 2 channel layer is deposited by RF magnetron sputtering and then rapid thermal annealed in O 2 at 600 deg. C. The TTFT is highly transparent, and enhancement-mode behaviour is achieved by employing a very thin channel layer (10-20 nm). Maximum field-effect mobilities of 0.8 cm 2 V -1 s -1 and 2.0 cm 2 V -1 s -1 are obtained for enhancement- and depletion-mode devices, respectively. The transparent nature and the large drain current on-to-off ratio of 10 5 associated with the enhancement-mode behaviour of these devices may prove useful for novel gas-sensor applications

  14. Organic-inorganic hybrid materials as semiconducting channels in thin-film field-effect transistors

    Science.gov (United States)

    Kagan; Mitzi; Dimitrakopoulos

    1999-10-29

    Organic-inorganic hybrid materials promise both the superior carrier mobility of inorganic semiconductors and the processability of organic materials. A thin-film field-effect transistor having an organic-inorganic hybrid material as the semiconducting channel was demonstrated. Hybrids based on the perovskite structure crystallize from solution to form oriented molecular-scale composites of alternating organic and inorganic sheets. Spin-coated thin films of the semiconducting perovskite (C(6)H(5)C(2)H(4)NH(3))(2)SnI(4) form the conducting channel, with field-effect mobilities of 0.6 square centimeters per volt-second and current modulation greater than 10(4). Molecular engineering of the organic and inorganic components of the hybrids is expected to further improve device performance for low-cost thin-film transistors.

  15. Enhanced stability of thin film transistors with double-stacked amorphous IWO/IWO:N channel layer

    Science.gov (United States)

    Lin, Dong; Pi, Shubin; Yang, Jianwen; Tiwari, Nidhi; Ren, Jinhua; Zhang, Qun; Liu, Po-Tsun; Shieh, Han-Ping

    2018-06-01

    In this work, bottom-gate top-contact thin film transistors with double-stacked amorphous IWO/IWO:N channel layer were fabricated. Herein, amorphous IWO and N-doped IWO were deposited as front and back channel layers, respectively, by radio-frequency magnetron sputtering. The electrical characteristics of the bi-layer-channel thin film transistors (TFTs) were examined and compared with those of single-layer-channel (i.e., amorphous IWO or IWO:N) TFTs. It was demonstrated to exhibit a high mobility of 27.2 cm2 V‑1 s‑1 and an on/off current ratio of 107. Compared to the single peers, bi-layer a-IWO/IWO:N TFTs showed smaller hysteresis and higher stability under negative bias stress and negative bias temperature stress. The enhanced performance could be attributed to its unique double-stacked channel configuration, which successfully combined the merits of the TFTs with IWO and IWO:N channels. The underlying IWO thin film provided percolation paths for electron transport, meanwhile, the top IWO:N layer reduced the bulk trap densities. In addition, the IWO channel/gate insulator interface had reduced defects, and IWO:N back channel surface was insensitive to the ambient atmosphere. Overall, the proposed bi-layer a-IWO/IWO:N TFTs show potential for practical applications due to its possibly long-term serviceability.

  16. Design and fabrication of ZnO/TiO2-based thin-film inverter circuits using solution processing techniques

    International Nuclear Information System (INIS)

    Liau, Leo Chau-Kuang; Kuo, Juo-Wei; Chiang, Hsin-Ni

    2012-01-01

    Novel and cost-effective ceramic-based thin-film inverter circuits, based on two layers of TiO 2 and ZnO films to construct junction field-effect transistors (FETs), were designed and fabricated by solution coating techniques. The double layers of the sol–gel ZnO and TiO 2 films were coated and characterized as a diode according to the current–voltage performance. Two types of FETs, the p-channel (p-FET) and the n-channel (n-FET) devices, were produced using different coating sequences of ZnO and TiO 2 layers. Both of the transistor performances were evaluated by analyzing the source–drain current versus voltage (I ds –V ds ) data with the control of the gate voltage (V g ). The ZnO/TiO 2 -based inverter circuits, such as the complementary-FET device, were further fabricated using the integration of the p-FET and the n-FET. The voltage transfer characteristics of the inverters were estimated by the tests of the input voltage (V in ) versus the output voltage (V out ) for the thin-film inverter circuits. (paper)

  17. Ultra-thin films of polysilsesquioxanes possessing 3-methacryloxypropyl groups as gate insulator for organic field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Nakahara, Yoshio; Kawa, Haruna [Department of Applied Chemistry, Faculty of Systems Engineering, Wakayama University, 930 Sakae-dani, Wakayama 640-8510 (Japan); Yoshiki, Jun [Division of Information and Electronic Engineering, Faculty of Engineering, Muroran Institute of Technology, 27-1 Mizumoto-cho, Muroran 050-8585 (Japan); Kumei, Maki; Yamamoto, Hiroyuki; Oi, Fumio [Konishi Chemical IND. Co., LTD., 3-4-77 Kozaika, Wakayama 641-0007 (Japan); Yamakado, Hideo [Department of Applied Chemistry, Faculty of Systems Engineering, Wakayama University, 930 Sakae-dani, Wakayama 640-8510 (Japan); Fukuda, Hisashi [Division of Engineering for Composite Functions, Faculty of Engineering, Muroran Institute of Technology, 27-1 Mizumoto-cho, Muroran 050-8585 (Japan); Kimura, Keiichi, E-mail: kkimura@center.wakayama-u.ac.jp [Department of Applied Chemistry, Faculty of Systems Engineering, Wakayama University, 930 Sakae-dani, Wakayama 640-8510 (Japan)

    2012-10-01

    Polysilsesquioxanes (PSQs) possessing 3-methacryloxypropyl groups as an organic moiety of the side chain were synthesized by sol-gel condensation copolymerization of the corresponding trialkoxysilanes. The ultra-thin PSQ film with a radical initiator and a cross-linking agent was prepared by a spin-coating method, and the film was cured integrally at low temperatures of less than 120 Degree-Sign C through two different kinds of polymeric reactions, which were radical polymerization of vinyl groups and sol-gel condensation polymerization of terminated silanol and alkoxy groups. The obtained PSQ film showed the almost perfect solubilization resistance to acetone, which is a good solvent of PSQ before polymerization. It became clear by atomic force microscopy observation that the surface of the PSQ film was very smooth at a nano-meter level. Furthermore, pentacene-based organic field-effect transistor (OFET) with the PSQ film as a gate insulator showed typical p-channel enhancement mode operation characteristics and therefore the ultra-thin PSQ film has the potential to be applicable for solution-processed OFET systems. - Highlights: Black-Right-Pointing-Pointer Polysilsesquioxanes (PSQs) possessing 3-methacryloxypropyl groups were synthesized. Black-Right-Pointing-Pointer The ultra-thin PSQ film could be cured at low temperatures of less than 120 Degree-Sign C. Black-Right-Pointing-Pointer The PSQ film showed the almost perfect solubilization resistance to organic solvent. Black-Right-Pointing-Pointer The surface of the PSQ film was very smooth at a nano-meter level. Black-Right-Pointing-Pointer Pentacene-based organic field-effect transistor with the PSQ film was fabricated.

  18. Solution-Processed Inorganic Thin Film Transistors Fabricated from Butylamine-Capped Indium-Doped Zinc Oxide Nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Pham, Hien Thu; Jeong, Hyundam [Chonnam National Univ., Gwangju (Korea, Republic of)

    2014-02-15

    Indium-doped zinc oxide nanocrystals (IZO NCs), capped with stearic acid (SA) of different sizes, were synthesized using a hot injection method in a noncoordinating solvent 1-octadecene (ODE). The ligand exchange process was employed to modify the surface of IZO NCs by replacing the longer-chain ligand of stearic acid with the shorter-chain ligand of butylamine (BA). It should be noted that the ligand-exchange percentage was observed to be 75%. The change of particle size, morphology, and crystal structures were obtained using a field emission scanning electron microscope (FE-SEM) and X-ray diffraction pattern results. In our study, the 5 nm and 10 nm IZO NCs capped with stearic acid (SA-IZO) were ligand-exchanged with butylamine (BA), and were then spin-coated on a thermal oxide (SiO{sub 2}) gate insulator to fabricate a thin film transistor (TFT) device. The films were then annealed at various temperatures: 350 .deg. C, 400 .deg. C, 500 .deg. C, and 600 .deg. C. All samples showed semiconducting behavior and exhibited n-channel TFT{sup -} Curing temperature dependent on mobility was observed. Interestingly, mobility decreases with the increasing size of NCs from 5 to 10 nm. Miller-Abrahams hopping formalism was employed to explain the hopping mechanism insight our IZO NC films. By focusing on the effect of size, different curing temperatures, electron coupling, tunneling rate, and inter-NC separation, we found that the decrease in electron mobility for larger NCs was due to smaller electronic coupling.

  19. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.

    2012-05-16

    Solution-deposited amorphous indium gallium zinc oxide (a-IGZO) thin film transistors(TFTs) with high performance were fabricated using O2-plasma treatment of the films prior to high temperature annealing. The O2-plasma treatment resulted in a decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher linear field-effect mobility compared to the untreated a-IGZO over a range of processing temperatures. The O2-plasma treatment effectively reduces the required processing temperature of solution-deposited a-IGZO films to achieve the required performance.

  20. Cyclical Annealing Technique To Enhance Reliability of Amorphous Metal Oxide Thin Film Transistors.

    Science.gov (United States)

    Chen, Hong-Chih; Chang, Ting-Chang; Lai, Wei-Chih; Chen, Guan-Fu; Chen, Bo-Wei; Hung, Yu-Ju; Chang, Kuo-Jui; Cheng, Kai-Chung; Huang, Chen-Shuo; Chen, Kuo-Kuang; Lu, Hsueh-Hsing; Lin, Yu-Hsin

    2018-02-26

    This study introduces a cyclical annealing technique that enhances the reliability of amorphous indium-gallium-zinc-oxide (a-IGZO) via-type structure thin film transistors (TFTs). By utilizing this treatment, negative gate-bias illumination stress (NBIS)-induced instabilities can be effectively alleviated. The cyclical annealing provides several cooling steps, which are exothermic processes that can form stronger ionic bonds. An additional advantage is that the total annealing time is much shorter than when using conventional long-term annealing. With the use of cyclical annealing, the reliability of the a-IGZO can be effectively optimized, and the shorter process time can increase fabrication efficiency.

  1. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    Science.gov (United States)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  2. Monolithic acoustic graphene transistors based on lithium niobate thin film

    Science.gov (United States)

    Liang, J.; Liu, B.-H.; Zhang, H.-X.; Zhang, H.; Zhang, M.-L.; Zhang, D.-H.; Pang, W.

    2018-05-01

    This paper introduces an on-chip acoustic graphene transistor based on lithium niobate thin film. The graphene transistor is embedded in a microelectromechanical systems (MEMS) acoustic wave device, and surface acoustic waves generated by the resonator induce a macroscopic current in the graphene due to the acousto-electric (AE) effect. The acoustic resonator and the graphene share the lithium niobate film, and a gate voltage is applied through the back side of the silicon substrate. The AE current induced by the Rayleigh and Sezawa modes was investigated, and the transistor outputs a larger current in the Rayleigh mode because of a larger coupling to velocity ratio. The output current increases linearly with the input radiofrequency power and can be effectively modulated by the gate voltage. The acoustic graphene transistor realized a five-fold enhancement in the output current at an optimum gate voltage, outperforming its counterpart with a DC input. The acoustic graphene transistor demonstrates a paradigm for more-than-Moore technology. By combining the benefits of MEMS and graphene circuits, it opens an avenue for various system-on-chip applications.

  3. Subtractive fabrication of ferroelectric thin films with precisely controlled thickness

    Science.gov (United States)

    Ievlev, Anton V.; Chyasnavichyus, Marius; Leonard, Donovan N.; Agar, Joshua C.; Velarde, Gabriel A.; Martin, Lane W.; Kalinin, Sergei V.; Maksymovych, Petro; Ovchinnikova, Olga S.

    2018-04-01

    The ability to control thin-film growth has led to advances in our understanding of fundamental physics as well as to the emergence of novel technologies. However, common thin-film growth techniques introduce a number of limitations related to the concentration of defects on film interfaces and surfaces that limit the scope of systems that can be produced and studied experimentally. Here, we developed an ion-beam based subtractive fabrication process that enables creation and modification of thin films with pre-defined thicknesses. To accomplish this we transformed a multimodal imaging platform that combines time-of-flight secondary ion mass spectrometry with atomic force microscopy to a unique fabrication tool that allows for precise sputtering of the nanometer-thin layers of material. To demonstrate fabrication of thin-films with in situ feedback and control on film thickness and functionality we systematically studied thickness dependence of ferroelectric switching of lead-zirconate-titanate, within a single epitaxial film. Our results demonstrate that through a subtractive film fabrication process we can control the piezoelectric response as a function of film thickness as well as improve on the overall piezoelectric response versus an untreated film.

  4. Wavy channel Thin Film Transistor for area efficient, high performance and low power applications

    KAUST Repository

    Hanna, Amir; Sevilla, Galo T.; Ghoneim, Mohamed T.; Hussain, Muhammad Mustafa

    2014-01-01

    We report a new Thin Film Transistor (TFT) architecture that allows expansion of the device width using wavy (continuous without separation) fin features - termed as wavy channel (WC) architecture. This architecture allows expansion of transistor

  5. XRay Study of Transfer Printed Pentacene Thin Films

    International Nuclear Information System (INIS)

    Shao, Y.; Solin, S. A.; Hines, D. R.; Williams, E. D.

    2007-01-01

    We investigated the structural properties and transfer properties of pentacene thin films fabricated by thermal deposition and transfer printing onto SiO2 and plastic substrates, respectively. The dependence of the crystallite size on the printing time, temperature and pressure were measured. The increases of crystalline size were observed when pentacene thin films were printed under specific conditions, e.g. 120 deg. C and 600 psi and can be correlated with the improvement of the field effect mobility of pentacene thin-film transistors

  6. Sub-second photonic processing of solution-deposited single layer and heterojunction metal oxide thin-film transistors using a high-power xenon flash lamp

    KAUST Repository

    Tetzner, Kornelius; Lin, Yen-Hung; Regoutz, Anna; Seitkhan, Akmaral; Payne, David J.; Anthopoulos, Thomas D.

    2017-01-01

    We report the fabrication of solution-processed In2O3 and In2O3/ZnO heterojunction thin-film transistors (TFTs) where the precursor materials were converted to their semiconducting state using high power light pulses generated by a xenon flash lamp

  7. High Electron Mobility Thin-Film Transistors Based on Solution-Processed Semiconducting Metal Oxide Heterojunctions and Quasi-Superlattices

    KAUST Repository

    Lin, Yen-Hung; Faber, Hendrik; Labram, John G.; Stratakis, Emmanuel; Sygellou, Labrini; Kymakis, Emmanuel; Hastas, Nikolaos A.; Li, Ruipeng; Zhao, Kui; Amassian, Aram; Treat, Neil D.; McLachlan, Martyn; Anthopoulos, Thomas D.

    2015-01-01

    High mobility thin-film transistor technologies that can be implemented using simple and inexpensive fabrication methods are in great demand because of their applicability in a wide range of emerging optoelectronics. Here, a novel concept of thin-film transistors is reported that exploits the enhanced electron transport properties of low-dimensional polycrystalline heterojunctions and quasi-superlattices (QSLs) consisting of alternating layers of In2O3, Ga2O3, and ZnO grown by sequential spin casting of different precursors in air at low temperatures (180–200 °C). Optimized prototype QSL transistors exhibit band-like transport with electron mobilities approximately a tenfold greater (25–45 cm2 V−1 s−1) than single oxide devices (typically 2–5 cm2 V−1 s−1). Based on temperature-dependent electron transport and capacitance-voltage measurements, it is argued that the enhanced performance arises from the presence of quasi 2D electron gas-like systems formed at the carefully engineered oxide heterointerfaces. The QSL transistor concept proposed here can in principle extend to a range of other oxide material systems and deposition methods (sputtering, atomic layer deposition, spray pyrolysis, roll-to-roll, etc.) and can be seen as an extremely promising technology for application in next-generation large area optoelectronics such as ultrahigh definition optical displays and large-area microelectronics where high performance is a key requirement.

  8. High Electron Mobility Thin-Film Transistors Based on Solution-Processed Semiconducting Metal Oxide Heterojunctions and Quasi-Superlattices

    KAUST Repository

    Lin, Yen-Hung

    2015-05-26

    High mobility thin-film transistor technologies that can be implemented using simple and inexpensive fabrication methods are in great demand because of their applicability in a wide range of emerging optoelectronics. Here, a novel concept of thin-film transistors is reported that exploits the enhanced electron transport properties of low-dimensional polycrystalline heterojunctions and quasi-superlattices (QSLs) consisting of alternating layers of In2O3, Ga2O3, and ZnO grown by sequential spin casting of different precursors in air at low temperatures (180–200 °C). Optimized prototype QSL transistors exhibit band-like transport with electron mobilities approximately a tenfold greater (25–45 cm2 V−1 s−1) than single oxide devices (typically 2–5 cm2 V−1 s−1). Based on temperature-dependent electron transport and capacitance-voltage measurements, it is argued that the enhanced performance arises from the presence of quasi 2D electron gas-like systems formed at the carefully engineered oxide heterointerfaces. The QSL transistor concept proposed here can in principle extend to a range of other oxide material systems and deposition methods (sputtering, atomic layer deposition, spray pyrolysis, roll-to-roll, etc.) and can be seen as an extremely promising technology for application in next-generation large area optoelectronics such as ultrahigh definition optical displays and large-area microelectronics where high performance is a key requirement.

  9. Fully transparent conformal organic thin-film transistor array and its application as LED front driving.

    Science.gov (United States)

    Cui, Nan; Ren, Hang; Tang, Qingxin; Zhao, Xiaoli; Tong, Yanhong; Hu, Wenping; Liu, Yichun

    2018-02-22

    A fully transparent conformal organic thin-film field-effect transistor array is demonstrated based on a photolithography-compatible ultrathin metallic grid gate electrode and a solution-processed C 8 -BTBT film. The resulting organic field-effect transistor array exhibits a high optical transparency of >80% over the visible spectrum, mobility up to 2 cm 2 V -1 s -1 , on/off ratio of 10 5 -10 6 , switching current of >0.1 mA, and excellent light stability. The transparent conformal transistor array is demonstrated to adhere well to flat and curved LEDs as front driving. These results present promising applications of the solution-processed wide-bandgap organic semiconductor thin films in future large-scale transparent conformal active-matrix displays.

  10. Electronic properties of dioctylterthiophene-based organic thin-film transistors: A Kelvin probe force microscopy study

    International Nuclear Information System (INIS)

    Afsharimani, N.; Nysten, B.

    2013-01-01

    It appeared in the past decades that semi-conducting organic liquid crystals could be used as the active layer in organic thin film transistors (OTFTs). They can be processed by simple methods such as inkjet printing, which paves the way to applications for cheap plastic electronics such as electronic tags, biosensors, and flexible screens. However, the measured field-effect mobility in these OTFTs is relatively low compared to inorganic devices. Generally, such low field-effect mobility values result from extrinsic effects such as grain boundaries or imperfect interfaces with source and drain electrodes. It has been shown that reducing the number of grain boundaries between the source and drain electrodes improves the field effect mobility. Therefore, it is important to understand the transport mechanisms by studying the local structure and electronic properties of organic thin films within the channel and at the interfaces with source and drain electrodes in order to improve the field-effect mobility in OTFTs. Kelvin probe force microscopy (KPFM) is an ideal tool for that purpose since it allows to simultaneously investigate the local structure and the electrical potential distribution in electronic devices. In this work, the structure and the electrical properties of OTFTs based on dioctylterthiophene (DOTT) were studied. The transistors were fabricated by spin-coating DOTT on the transistor structures with untreated and treated (silanized) channel silicon oxide. The potential profiles across the channel and at the metal-electrode interfaces were measured by KPFM. The effect of surface treatment on the electrical properties, charge trapping phenomenon and hysteresis effects is demonstrated and analyzed. - Highlights: • Kelvin probe force microscopy study of organic thin film transistors. • Cost and time savings by using solution processable molecules as active layers. • Smaller crystals and less charge trapping effects in silanized devices. • Decrement

  11. Controlling the dimensionality of charge transport in organic thin-film transistors

    Science.gov (United States)

    Laiho, Ari; Herlogsson, Lars; Forchheimer, Robert; Crispin, Xavier; Berggren, Magnus

    2011-01-01

    Electrolyte-gated organic thin-film transistors (OTFTs) can offer a feasible platform for future flexible, large-area and low-cost electronic applications. These transistors can be divided into two groups on the basis of their operation mechanism: (i) field-effect transistors that switch fast but carry much less current than (ii) the electrochemical transistors which, on the contrary, switch slowly. An attractive approach would be to combine the benefits of the field-effect and the electrochemical transistors into one transistor that would both switch fast and carry high current densities. Here we report the development of a polyelectrolyte-gated OTFT based on conjugated polyelectrolytes, and we demonstrate that the OTFTs can be controllably operated either in the field-effect or the electrochemical regime. Moreover, we show that the extent of electrochemical doping can be restricted to a few monolayers of the conjugated polyelectrolyte film, which allows both high current densities and fast switching speeds at the same time. We propose an operation mechanism based on self-doping of the conjugated polyelectrolyte backbone by its ionic side groups. PMID:21876143

  12. Introduction to thin film transistors physics and technology of TFTs

    CERN Document Server

    Brotherton, S D

    2013-01-01

    Introduction to Thin Film Transistors reviews the operation, application, and technology of the main classes of thin film transistor (TFT) of current interest for large area electronics. The TFT materials covered include hydrogenated amorphous silicon (a-Si:H), poly-crystalline silicon (poly-Si), transparent amorphous oxide semiconductors (AOS), and organic semiconductors. The large scale manufacturing of a-Si:H TFTs forms the basis of the active matrix flat panel display industry. Poly-Si TFTs facilitate the integration of electronic circuits into portable active matrix liquid crystal displays, and are increasingly used in active matrix organic light emitting diode (AMOLED) displays for smart phones. The recently developed AOS TFTs are seen as an alternative option to poly-Si and a-Si:H for AMOLED TV and large AMLCD TV applications, respectively. The organic TFTs are regarded as a cost effective route into flexible electronics. As well as treating the highly divergent preparation and properties of these mat...

  13. Transparent and Flexible Zinc Tin Oxide Thin Film Transistors and Inverters using Low-pressure Oxygen Annealing Process

    Science.gov (United States)

    Lee, Kimoon; Kim, Yong-Hoon; Kim, Jiwan; Oh, Min Suk

    2018-05-01

    We report on the transparent and flexible enhancement-load inverters which consist of zinc tin oxide (ZTO) thin film transistors (TFTs) fabricated at low process temperature. To control the electrical characteristics of oxide TFTs by oxygen vacancies, we applied low-pressure oxygen rapid thermal annealing (RTA) process to our devices. When we annealed the ZTO TFTs in oxygen ambient of 2 Torr, they showed better electrical characteristics than those of the devices annealed in the air ambient of 760 Torr. To realize oxide thin film transistor and simple inverter circuits on flexible substrate, we annealed the devices in O2 of 2 Torr at 150° C and could achieve the decent electrical properties. When we used transparent conductive oxide electrodes such as indium zinc oxide (IZO) and indium tin oxide (ITO), our transparent and flexible inverter showed the total transmittance of 68% in the visible range and the voltage gain of 5. And the transition voltage in voltage transfer curve was located well within the range of operation voltage.

  14. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    International Nuclear Information System (INIS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-01-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiO x layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W/L=10 μm/50 μm) fabricated on glass exhibited a high field-effect mobility of 35.8 cm 2 /V s, a subthreshold gate swing value of 0.59 V/decade, a thrseshold voltage of 5.9 V, and an I on/off ratio of 4.9x10 6 , which is acceptable for use as the switching transistor of an active-matrix TFT backplane

  15. In-situ study of pn-heterojunction interface states in organic thin film transistors

    International Nuclear Information System (INIS)

    Ye, Rongbin; Ohta, Koji; Baba, Mamoru

    2014-01-01

    In this paper, we have investigated the density of pn-heterojunction interface states by evaluating the threshold voltage shift with in-situ measurement of electrical characteristics of a sandwich fluorinated copper phthalocyanine/pentacene thin film transistor with various thicknesses of pentacene thin films. A threshold voltage (V T ) undergoes a significant shift from + 20.6 to + 0.53 V with increasing the thickness of pentacene. When the thickness of pentacene is more than a critical thickness of 15 nm, V T undergoes hardly any shift. On the other hand, the value of mobility is lightly decreased with increasing the thickness of pentacene due to the effect of the bulk current. Thus the V T shift is attributed to the increase of drain current in the sandwich device. In order to explain the V T shift, a model was assumed in the linear region of thin film transistor operation and the V T shift agrees with a tan −1 function of film thickness. The total charge density (Q 0 ) of 1.53 × 10 −7 C/cm 2 (9.56 × 10 11 electrons or holes/cm 2 ) was obtained. Furthermore, the V T shift and Q 0 could be adjusted by selecting a p-type semiconductor. - Highlights: • A threshold voltage was in-situ measured in an organic sandwich thin film transistor. • Density of pn-heterojunction interface states by evaluating the threshold voltage shift. • The threshold voltage shift attributes to the increase of drain current. • In order to explain the threshold voltage shift, a model was assumed

  16. Fabrication and characterization of 6,13-bis(triisopropylsilylethynyl)-pentacene active semiconductor thin films prepared by flow-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Mohamad, Khairul Anuar; Rusnan, Fara Naila; Seria, Dzulfahmi Mohd Husin; Saad, Ismail; Alias, Afishah [Nano Engineering & Materials (NEMs) Research Group, Faculty of Engineering Universiti Malaysia Sabah, Kota Kinabalu 88400 Sabah (Malaysia); Katsuhiro, Uesugi; Hisashi, Fukuda [Division of Engineering for Composite Functions, Muroran Institute of Technology 27-1 Mizumoto, Muroran 050-8585 Hokkaido (Japan)

    2015-08-28

    Investigation on the physical characterization and comparison of organic thin film based on a soluble 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene is reported. Oriented thin-films of pentacene have been successfully deposited by flow-coating method, in which the chloroform solution is sandwiched between a transparent substrate and a slide glass, followed by slow-drawing of the substrate with respect to the slide glass. Molecular orientation of flow-coated TIPS-pentacene is comparable to that of the thermal-evaporated pentacene thin film by the X-ray diffraction (XRD) results. XRD results showed that the morphology of flow-coated soluble pentacene is similar to that of the thermal-evaporated pentacene thin films in series of (00l) diffraction peaks where the (001) diffraction peaks are strongest in the nominally out-of-plane intensity and interplanar spacing located at approximately 2θ = 5.33° (d-spacing, d{sub 001} = 16 Å). Following that, ITO/p-TIPS-pentacene/n-ZnO/Au vertical diode was fabricated. The diode exhibited almost linear characteristics at low voltage with nonlinear characteristics at higher voltage which similar to a pn junction behavior. The results indicated that the TIPS-pentacene semiconductor active thin films can be used as a hole injection layer for fabrication of a vertical organic transistor.

  17. Dual-Input AND Gate From Single-Channel Thin-Film FET

    Science.gov (United States)

    Miranda, F. A.; Pinto, N. J.; Perez, R.; Mueller, C. H.

    2008-01-01

    A regio-regular poly(3-hexylthiophene) (RRP3HT) thin-film transistor having a split-gate architecture has been fabricated on a doped silicon/silicon nitride substrate and characterized. RRP3HT is a semiconducting polymer that has a carrier mobility and on/off ratio when used in a field effect transistor (FET) configuration. This commercially available polymer is very soluble in common organic solvents and is easily processed to form uniform thin films. The most important polymer-based device fabricated and studied is the FET, since it forms the building block in logic circuits and switches for active matrix (light-emitting-diode) (LED) displays, smart cards, and radio frequency identification (RFID) cards.

  18. Effect of O2 plasma immersion on electrical properties and transistor performance of indium gallium zinc oxide thin films

    International Nuclear Information System (INIS)

    Liu, P.; Chen, T.P.; Liu, Z.; Tan, C.S.; Leong, K.C.

    2013-01-01

    Evolution of electrical properties and thin-film transistor characteristics of amorphous indium gallium zinc oxide (IGZO) thin films synthesized by RF sputtering with O 2 plasma immersion has been examined. O 2 plasma immersion results in an enhancement in the Hall mobility and a decrease in the electron concentration; and the transistor performance can be greatly improved by the O 2 plasma immersion. X-ray photoelectron spectroscopy analysis indicates that the effect of O 2 plasma immersion on the electrical properties and the transistor performance can be attributed to the reduction of the oxygen-related defects in the IGZO thin films. - Highlights: • Oxygen plasma immersion effect on indium gallium zinc oxide thin film properties • Oxygen-related defect reduces in the InGaZnO thin film with oxygen plasma immersion. • Increasing oxygen plasma immersion duration on device will decrease the off current. • Oxygen plasma immersion enhances the performance of device

  19. Thin Film Transistor Control Circuitry for MEMS Acoustic Transducers

    Science.gov (United States)

    Daugherty, Robin

    This work seeks to develop a practical solution for short range ultrasonic communications and produce an integrated array of acoustic transmitters on a flexible substrate. This is done using flexible thin film transistor (TFT) and micro electromechanical systems (MEMS). The goal is to develop a flexible system capable of communicating in the ultrasonic frequency range at a distance of 10-100 meters. This requires a great deal of innovation on the part of the FDC team developing the TFT driving circuitry and the MEMS team adapting the technology for fabrication on a flexible substrate. The technologies required for this research are independently developed. The TFT development is driven primarily by research into flexible displays. The MEMS development is driving by research in biosensors and micro actuators. This project involves the integration of TFT flexible circuit capabilities with MEMS micro actuators in the novel area of flexible acoustic transmitter arrays. This thesis focuses on the design, testing and analysis of the circuit components required for this project.

  20. Fabrication of Ultra-thin Color Films with Highly Absorbing Media Using Oblique Angle Deposition.

    Science.gov (United States)

    Yoo, Young Jin; Lee, Gil Ju; Jang, Kyung-In; Song, Young Min

    2017-08-29

    Ultra-thin film structures have been studied extensively for use as optical coatings, but performance and fabrication challenges remain.  We present an advanced method for fabricating ultra-thin color films with improved characteristics. The proposed process addresses several fabrication issues, including large area processing. Specifically, the protocol describes a process for fabricating ultra-thin color films using an electron beam evaporator for oblique angle deposition of germanium (Ge) and gold (Au) on silicon (Si) substrates.  Film porosity produced by the oblique angle deposition induces color changes in the ultra-thin film. The degree of color change depends on factors such as deposition angle and film thickness. Fabricated samples of the ultra-thin color films showed improved color tunability and color purity. In addition, the measured reflectance of the fabricated samples was converted into chromatic values and analyzed in terms of color. Our ultra-thin film fabricating method is expected to be used for various ultra-thin film applications such as flexible color electrodes, thin film solar cells, and optical filters. Also, the process developed here for analyzing the color of the fabricated samples is broadly useful for studying various color structures.

  1. Mapping of trap densities and hotspots in pentacene thin-film transistors by frequency-resolved scanning photoresponse microscopy.

    Science.gov (United States)

    Westermeier, Christian; Fiebig, Matthias; Nickel, Bert

    2013-10-25

    Frequency-resolved scanning photoresponse microscopy of pentacene thin-film transistors is reported. The photoresponse pattern maps the in-plane distribution of trap states which is superimposed by the level of trap filling adjusted by the gate voltage of the transistor. Local hotspots in the photoresponse map thus indicate areas of high trap densities within the pentacene thin film. © 2013 WILEY-VCH Verlag GmbH 8 Co. KGaA, Weinheim.

  2. Low-temperature fabrication of an HfO2 passivation layer for amorphous indium-gallium-zinc oxide thin film transistors using a solution process.

    Science.gov (United States)

    Hong, Seonghwan; Park, Sung Pyo; Kim, Yeong-Gyu; Kang, Byung Ha; Na, Jae Won; Kim, Hyun Jae

    2017-11-24

    We report low-temperature solution processing of hafnium oxide (HfO 2 ) passivation layers for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). At 150 °C, the hafnium chloride (HfCl 4 ) precursor readily hydrolyzed in deionized (DI) water and transformed into an HfO 2 film. The fabricated HfO 2 passivation layer prevented any interaction between the back surface of an a-IGZO TFT and ambient gas. Moreover, diffused Hf 4+ in the back-channel layer of the a-IGZO TFT reduced the oxygen vacancy, which is the origin of the electrical instability in a-IGZO TFTs. Consequently, the a-IGZO TFT with the HfO 2 passivation layer exhibited improved stability, showing a decrease in the threshold voltage shift from 4.83 to 1.68 V under a positive bias stress test conducted over 10,000 s.

  3. In-situ study of pn-heterojunction interface states in organic thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Ye, Rongbin, E-mail: ye@iwate-u.ac.jp; Ohta, Koji; Baba, Mamoru

    2014-03-03

    In this paper, we have investigated the density of pn-heterojunction interface states by evaluating the threshold voltage shift with in-situ measurement of electrical characteristics of a sandwich fluorinated copper phthalocyanine/pentacene thin film transistor with various thicknesses of pentacene thin films. A threshold voltage (V{sub T}) undergoes a significant shift from + 20.6 to + 0.53 V with increasing the thickness of pentacene. When the thickness of pentacene is more than a critical thickness of 15 nm, V{sub T} undergoes hardly any shift. On the other hand, the value of mobility is lightly decreased with increasing the thickness of pentacene due to the effect of the bulk current. Thus the V{sub T} shift is attributed to the increase of drain current in the sandwich device. In order to explain the V{sub T} shift, a model was assumed in the linear region of thin film transistor operation and the V{sub T} shift agrees with a tan{sup −1} function of film thickness. The total charge density (Q{sub 0}) of 1.53 × 10{sup −7} C/cm{sup 2} (9.56 × 10{sup 11} electrons or holes/cm{sup 2}) was obtained. Furthermore, the V{sub T} shift and Q{sub 0} could be adjusted by selecting a p-type semiconductor. - Highlights: • A threshold voltage was in-situ measured in an organic sandwich thin film transistor. • Density of pn-heterojunction interface states by evaluating the threshold voltage shift. • The threshold voltage shift attributes to the increase of drain current. • In order to explain the threshold voltage shift, a model was assumed.

  4. Operating method of amorphous thin film semiconductor element

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Koshiro; Ono, Masaharu; Hanabusa, Akira; Osawa, Michio; Arita, Takashi

    1988-05-31

    The existing technologies concerning amorphous thin film semiconductor elements are the technologies concerning the formation of either a thin film transistor or an amorphous Si solar cell on a substrate. In order to drive a thin film transistor for electronic equipment control by the output power of an amorphous Si solar cell, it has been obliged to drive the transistor weth an amorphous solar cell which was formed on a substrate different from that for the transistor. Accordingly, the space for the amorphous solar cell, which was formed on the different substrate, was additionally needed on the substrate for the thin film transistor. In order to solve the above problem, this invention proposes an operating method of an amorphous thin film semiconductor element that after forming an amorphous Si solar cell through lamination on the insulation coating film which covers the thin film transistor formed on the substrate, the thin film transistor is driven by the output power of this solar cell. The invention eliminates the above superfluous space and reduces the size of the amorphous thin film semiconductor element including the electric source. (3 figs)

  5. Electrosynthesis and characterization of ZnO nanoparticles as inorganic component in organic thin-film transistor active layers

    International Nuclear Information System (INIS)

    Picca, Rosaria Anna; Sportelli, Maria Chiara; Hötger, Diana; Manoli, Kyriaki; Kranz, Christine; Mizaikoff, Boris; Torsi, Luisa; Cioffi, Nicola

    2015-01-01

    Highlights: • PSS-capped ZnO NPs were synthesized via a green electrochemical-thermal method • The influence of electrochemical conditions and temperature was studied • Spectroscopic data show that PSS functionalities are retained in the annealed NPs • Nanostructured ZnO improved the performance of P3HT-based thin film transistors - Abstract: ZnO nanoparticles have been prepared via a green electrochemical synthesis method in the presence of a polymeric anionic stabilizer (poly-sodium-4-styrenesulfonate, PSS), and then applied as inorganic component in poly-3-hexyl-thiophene thin-film transistor active layers. Different parameters (i.e. current density, electrolytic media, PSS concentration, and temperature) influencing nanoparticle synthesis have been studied. The resulting nanomaterials have been investigated by transmission electron microscopy (TEM) and spectroscopic techniques (UV-Vis, infrared, and x-ray photoelectron spectroscopies), assessing the most suitable conditions for the synthesis and thermal annealing of nanostructured ZnO. The proposed ZnO nanoparticles have been successfully coupled with a poly-3-hexyl-thiophene thin-film resulting in thin-film transistors with improved performance.

  6. Correlation between active layer thickness and ambient gas stability in IGZO thin-film transistors

    International Nuclear Information System (INIS)

    Gao, Xu; Mao, Bao-Hua; Wang, Sui-Dong; Lin, Meng-Fang; Shimizu, Maki; Mitoma, Nobuhiko; Kizu, Takio; Ou-Yang, Wei; Tsukagoshi, Kazuhito; Nabatame, Toshihide; Liu, Zhi

    2017-01-01

    Decreasing the active layer thickness has been recently reported as an alternative way to achieve fully depleted oxide thin-film transistors for the realization of low-voltage operations. However, the correlation between the active layer thickness and device resistivity to environmental changes is still unclear, which is important for the optimized design of oxide thin-film transistors. In this work, the ambient gas stability of IGZO thin-film transistors is found to be strongly correlated to the IGZO thickness. The TFT with the thinnest IGZO layer shows the highest intrinsic electron mobility in a vacuum, which is greatly reduced after exposure to O 2 /air. The device with a thick IGZO layer shows similar electron mobility in O 2 /air, whereas the mobility variation measured in the vacuum is absent. The thickness dependent ambient gas stability is attributed to a high-mobility region in the IGZO surface vicinity with less sputtering-induced damage, which will become electron depleted in O 2 /air due to the electron transfer to adsorbed gas molecules. The O 2 adsorption and deduced IGZO surface band bending is demonstrated by the ambient-pressure x-ray photoemission spectroscopy results. (paper)

  7. Effect of Vertical Annealing on the Nitrogen Dioxide Response of Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Sihui Hou

    2018-03-01

    Full Text Available Nitrogen dioxide (NO2 sensors based on organic thin-film transistors (OTFTs were fabricated by conventional annealing (horizontal and vertical annealing processes of organic semiconductor (OSC films. The NO2 responsivity of OTFTs to 15 ppm of NO2 is 1408% under conditions of vertical annealing and only 72% when conventional annealing is applied. Moreover, gas sensors obtained by vertical annealing achieve a high sensing performance of 589% already at 1 ppm of NO2, while showing a preferential response to NO2 compared with SO2, NH3, CO, and H2S. To analyze the mechanism of performance improvement of OTFT gas sensors, the morphologies of 6,13-bis(triisopropylsilylethynyl-pentacene (TIPS-pentacene films were characterized by atomic force microscopy (AFM in tapping mode. The results show that, in well-aligned TIPS-pentacene films, a large number of effective grain boundaries inside the conducting channel contribute to the enhancement of NO2 gas sensing performance.

  8. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  9. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  10. Lifetime prediction of InGaZnO thin film transistor for the application of display device and BEOL-transistors

    Science.gov (United States)

    Kim, Sang Min; Cho, Won Ju; Yu, Chong Gun; Park, Jong Tae

    2018-04-01

    In this work, the lifetime prediction models of amorphous InGaZnO thin film transistors (a-IGZO TFTs) were suggested for the application of display device and BEOL (Back End Of line) transistors with embedded a-IGZO TFTs. Four different types of test devices according to the active layer thickness, source/drain electrode materials and thermal treatments have been used to verify the suggested model. The device lifetimes under high gate bias stress and hot carrier stress were extracted through fittings of the stretched-exponential equation for threshold voltage shifts and the current estimation method for drain current degradations. Our suggested lifetime prediction models could be used in any kinds of structures of a-IGZO TFTs for the application of display device and BEOL transistors. The a-IGZO TFTs with embedded ITO local conducting layer under source/drain is better for BEOL transistor application and a-IGZO TFTs with InGaZnO thin film as source/drain electrodes may be better for the application of display devices. From 1983 to 1985, he was a Researcher at Gold-Star Semiconductor, Inc., Korea, where he worked on the development of SRAM. He joined the Department of Electronics Engineering, University of Incheon, Incheon, Korea, in 1987, where he is a Professor. As a visiting scientist at Massachusetts Institute of Technology, Cambridge, in 1991, he conducted research in hot carrier reliability of CMOS. As a visiting scholar at University of California, Davis, in 2001, he conducted research on the device structure of Nano-scale SOI CMOS. His recent interests are device structure and reliability of Nano-scale CMOS devices, flash memory, and thin film transistors.

  11. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    Science.gov (United States)

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, H. N.

    2012-06-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin film transistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectric transistors, which is very promising for low-power non-volatile memory applications.

  12. Conduction mechanism in amorphous InGaZnO thin film transistors

    NARCIS (Netherlands)

    Bhoolokam, A.; Nag, M.; Steudel, S.; Genoe, J.; Gelinck, G.; Kadashchuk, A.; Groeseneken, G.; Heremans, P.

    2016-01-01

    We validate a model which is a combination of multiple trapping and release and percolation model for describing the conduction mechanism in amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT). We show that using just multiple trapping and release or percolation model is

  13. Enhanced chemical sensing organic thin-film transistors

    Science.gov (United States)

    Tanese, M. C.; Torsi, L.; Farinola, G. M.; Valli, L.; Hassan Omar, O.; Giancane, G.; Ieva, E.; Babudri, F.; Palmisano, F.; Naso, F.; Zambonin, P. G.

    2007-09-01

    Organic thin film transistor (OTFT) sensors are capable of fast, sensitive and reliable detection of a variety of analytes. They have been successfully tested towards many chemical and biological "odor" molecules showing high selectivity, and displaying the additional advantage of being compatible with plastic technologies. Their versatility is based on the possibility to control the device properties, from molecular design up to device architecture. Here phenylene-thiophene based organic semiconductors functionalized with ad hoc chosen side groups are used as active layers in sensing OTFTs. These materials, indeed, combine the detection capability of organic molecules (particularly in the case of bio-substituted systems) with the electronic properties of the conjugated backbone. A new OTFT structure including Langmuir-Schäfer layer by layer organic thin films is here proposed to perform chemical detection of organic vapors, including vapor phase chiral molecules such as citronellol vapors, with a detection limit in the ppm range. Thermally evaporated α6T based OTFT sensors are used as well to be employed as standard system in order to compare sensors performances.

  14. Organic thin film transistors and polymer light-emitting diodes patterned by polymer inking and stamping

    International Nuclear Information System (INIS)

    Li Dawen; Guo, L Jay

    2008-01-01

    To fully realize the advantages of organic flexible electronics, patterning is very important. In this paper we show that a purely additive patterning technique, termed polymer inking and stamping, can be used to pattern conductive polymer PEDOT and fabricate sub-micron channel length organic thin film transistors. In addition, we applied the technique to transfer a stack of metal/conjugated polymer in one step and fabricated working polymer light-emitting devices. Based on the polymer inking and stamping technique, a roll-to-roll printing for high throughput fabrication has been demonstrated. We investigated and explained the mechanism of this process based on the interfacial energy consideration and by using the finite element analysis. This technique can be further extended to transfer more complex stacked layer structures, which may benefit the research on patterning on flexible substrates

  15. Fabrication and characterization of p{sup +}-i-p{sup +} type organic thin film transistors with electrodes of highly doped polymer

    Energy Technology Data Exchange (ETDEWEB)

    Tadaki, Daisuke [Graduate School of Biomedical Engineering, Tohoku University, Sendai 980-8579 (Japan); Laboratory for Nanoelectronics and Spintronics, Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); CREST, Japan Science and Technology Agency, Kawaguchi, Saitama 332-0012 (Japan); Ma, Teng; Niwano, Michio, E-mail: niwano@riec.tohoku.ac.jp [Laboratory for Nanoelectronics and Spintronics, Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); CREST, Japan Science and Technology Agency, Kawaguchi, Saitama 332-0012 (Japan); Zhang, Jinyu; Iino, Shohei [Laboratory for Nanoelectronics and Spintronics, Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Hirano-Iwata, Ayumi [Graduate School of Biomedical Engineering, Tohoku University, Sendai 980-8579 (Japan); CREST, Japan Science and Technology Agency, Kawaguchi, Saitama 332-0012 (Japan); Kimura, Yasuo [CREST, Japan Science and Technology Agency, Kawaguchi, Saitama 332-0012 (Japan); Tokyo University of Technology, Hachioji, Tokyo 192-0982 (Japan); Rosenberg, Richard A. [Advanced Photon Source, Argonne National Laboratory, Lemont, Illinois 60439 (United States)

    2016-04-21

    Organic thin film transistors (OTFTs) have been explored because of their advantageous features such as light-weight, flexible, and large-area. For more practical application of organic electronic devices, it is very important to realize OTFTs that are composed only of organic materials. In this paper, we have fabricated p{sup +}-i-p{sup +} type of OTFTs in which an intrinsic (i) regioregular poly (3-hexylthiophene) (P3HT) layer is used as the active layer and highly doped p-type (p{sup +}) P3HT is used as the source and drain electrodes. The 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F{sub 4}-TCNQ) was used as the p-type dopant. A fabricating method of p{sup +}-i-p{sup +} OTFTs has been developed by using SiO{sub 2} and aluminum films as capping layers for micro-scaled patterning of the p{sup +}-P3HT electrodes. The characteristics of the OTFTs were examined using the photoelectron spectroscopy and electrical measurements. We demonstrated that the fabricated p{sup +}-i-p{sup +} OTFTs work with carrier injection through a built-in potential at p{sup +}/i interfaces. We found that the p{sup +}-i-p{sup +} OTFTs exhibit better FET characteristics than the conventional P3HT-OTFT with metal (Au) electrodes, indicating that the influence of a carrier injection barrier at the interface between the electrode and the active layer was suppressed by replacing the metal electrodes with p{sup +}-P3HT layers.

  16. Characteristics of Schottky-barrier source/drain metal-oxide-polycrystalline thin-film transistors on glass substrates

    International Nuclear Information System (INIS)

    Jung, Seung-Min; Cho, Won-Ju; Jung, Jong-Wan

    2012-01-01

    Polycrystalline-silicon (poly-Si) Schottky-barrier thin-film transistors (SB-TFTs) with Pt-silicided source /drain junctions were fabricated on glass substrates, and the electrical characteristics were examined. The amorphous silicon films on glass substrates were converted into high-quality poly-Si by using excimer laser annealing (ELA) and solid phase crystallization (SPC) methods. The crystallinity of poly-Si was analyzed by using scanning electron microscopy, transmission electron microscopy, and X-ray diffraction analysis. The silicidation process was optimized by measuring the electrical characteristics of the Pt-silicided Schottky diodes. The performances of Pt-silicided SB-TFTs using poly-Si films on glass substrates and crystallized by using ELA and SPC were demonstrated. The SB-TFTs using the ELA poly-Si film demonstrated better electrical performances such as higher mobility (22.4 cm 2 /Vs) and on/off current ratio (3 x 10 6 ) and lower subthreshold swing value (120 mV/dec) than the SPC poly-Si films.

  17. Nonvolatile memory thin-film transistors using biodegradable chicken albumen gate insulator and oxide semiconductor channel on eco-friendly paper substrate.

    Science.gov (United States)

    Kim, So-Jung; Jeon, Da-Bin; Park, Jung-Ho; Ryu, Min-Ki; Yang, Jong-Heon; Hwang, Chi-Sun; Kim, Gi-Heon; Yoon, Sung-Min

    2015-03-04

    Nonvolatile memory thin-film transistors (TFTs) fabricated on paper substrates were proposed as one of the eco-friendly electronic devices. The gate stack was composed of chicken albumen gate insulator and In-Ga-Zn-O semiconducting channel layers. All the fabrication processes were performed below 120 °C. To improve the process compatibility of the synthethic paper substrate, an Al2O3 thin film was introduced as adhesion and barrier layers by atomic layer deposition. The dielectric properties of biomaterial albumen gate insulator were also enhanced by the preparation of Al2O3 capping layer. The nonvolatile bistabilities were realized by the switching phenomena of residual polarization within the albumen thin film. The fabricated device exhibited a counterclockwise hysteresis with a memory window of 11.8 V, high on/off ratio of approximately 1.1 × 10(6), and high saturation mobility (μsat) of 11.5 cm(2)/(V s). Furthermore, these device characteristics were not markedly degraded even after the delamination and under the bending situration. When the curvature radius was set as 5.3 cm, the ION/IOFF ratio and μsat were obtained to be 5.9 × 10(6) and 7.9 cm(2)/(V s), respectively.

  18. Nano-crystallization in ZnO-doped In_2O_3 thin films via excimer laser annealing for thin-film transistors

    International Nuclear Information System (INIS)

    Fujii, Mami N.; Ishikawa, Yasuaki; Bermundo, Juan Paolo Soria; Uraoka, Yukiharu; Ishihara, Ryoichi; Cingel, Johan van der; Mofrad, Mohammad R. T.; Kawashima, Emi; Tomai, Shigekazu; Yano, Koki

    2016-01-01

    In a previous work, we reported the high field effect mobility of ZnO-doped In_2O_3 (IZO) thin film transistors (TFTs) irradiated by excimer laser annealing (ELA) [M. Fujii et al., Appl. Phys. Lett. 102, 122107 (2013)]. However, a deeper understanding of the effect of ELA on the IZO film characteristics based on crystallinity, carrier concentrations, and optical properties is needed to control localized carrier concentrations for fabricating self-aligned structures in the same oxide film and to adequately explain the physical characteristics. In the case of as-deposited IZO film used as the channel, a high carrier concentration due to a high density of oxygen vacancies was observed; such a film does not show the required TFT characteristics but can act as a conductive film. We achieved a decrease in the carrier concentration of IZO films by crystallization using ELA. This means that ELA can form localized conductive or semi-conductive areas on the IZO film. We confirmed that the reason for the carrier concentration decrease was the decrease of oxygen-deficient regions and film crystallization. The annealed IZO films showed nano-crystalline phase, and the temperature at the substrate was substantially less than the temperature limit for flexible films such as plastic, which is 50°C. This paves the way for the formation of self-aligned structures and separately formed conductive and semi-conductive regions in the same oxide film.

  19. Microwave annealing effect for highly reliable biosensor: dual-gate ion-sensitive field-effect transistor using amorphous InGaZnO thin-film transistor.

    Science.gov (United States)

    Lee, In-Kyu; Lee, Kwan Hyi; Lee, Seok; Cho, Won-Ju

    2014-12-24

    We used a microwave annealing process to fabricate a highly reliable biosensor using amorphous-InGaZnO (a-IGZO) thin-film transistors (TFTs), which usually experience threshold voltage instability. Compared with furnace-annealed a-IGZO TFTs, the microwave-annealed devices showed superior threshold voltage stability and performance, including a high field-effect mobility of 9.51 cm(2)/V·s, a low threshold voltage of 0.99 V, a good subthreshold slope of 135 mV/dec, and an outstanding on/off current ratio of 1.18 × 10(8). In conclusion, by using the microwave-annealed a-IGZO TFT as the transducer in an extended-gate ion-sensitive field-effect transistor biosensor, we developed a high-performance biosensor with excellent sensing properties in terms of pH sensitivity, reliability, and chemical stability.

  20. Improvement in interfacial characteristics of low-voltage carbon nanotube thin-film transistors with solution-processed boron nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Jun-Young; Ha, Tae-Jun, E-mail: taejunha0604@gmail.com

    2017-08-15

    Highlights: • We demonstrate the potential of solution-processed boron nitride (BN) thin films for nanoelectronics. • Improved interfacial characteristics reduced the leakage current by three orders of magnitude. • The BN encapsulation improves all the device key metrics of low-voltage SWCNT-TFTs. • Such improvements were achieved by reduced interaction of interfacial localized states. - Abstract: In this article, we demonstrate the potential of solution-processed boron nitride (BN) thin films for high performance single-walled carbon nanotube thin-film transistors (SWCNT-TFTs) with low-voltage operation. The use of BN thin films between solution-processed high-k dielectric layers improved the interfacial characteristics of metal-insulator-metal devices, thereby reducing the current density by three orders of magnitude. We also investigated the origin of improved device performance in SWCNT-TFTs by employing solution-processed BN thin films as an encapsulation layer. The BN encapsulation layer improves the electrical characteristics of SWCNT-TFTs, which includes the device key metrics of linear field-effect mobility, sub-threshold swing, and threshold voltage as well as the long-term stability against the aging effect in air. Such improvements can be achieved by reduced interaction of interfacial localized states with charge carriers. We believe that this work can open up a promising route to demonstrate the potential of solution-processed BN thin films on nanoelectronics.

  1. Improvements in the bias illumination stability of amorphous InGaZnO thin-film transistors by using thermal treatments

    International Nuclear Information System (INIS)

    Kim, Woo-Byung; Ryu, Sang Ouk; Lee, Dong-Keun

    2014-01-01

    The a-IGZO deposited by using the rf sputtering method features a conductive or an insulator characteristic based on amount of oxygen. We demonstrated that a post-treatment affects the resistance patterns of particular-sized InGaZnO(IGZO) thin films in a-IGZO thin-film transistors (TFTs). Post-annealing shifted the driving voltage of a-IGZO TFT to positive or negative values, depending on the annealing temperatures. Post-annealing may introduce oxygen vacancies or desorbed oxygen in the IGZO thin film. The changed driving voltage of IGZO TFTs coincides with the shift of the resistance pattern of IGZO. The fabricated a-IGZO TFTs exhibited a field effect mobility of 6.2 cm 2 /Vs, an excellent subthreshold gate swing of 0.32 V/decade, and a high I on/off ratio of > 10 9 . Under positive bias illumination stress (PBIS) and negative bias illumination stress (NBIS), after 3,600 seconds, the device threshold voltage shifted about 0.2 V and 0.3 V, respectively.

  2. Charge transport in amorphous InGaZnO thin-film transistors

    NARCIS (Netherlands)

    Germs, W.C.; Adriaans, W.H.; Tripathi, A.K.; Roelofs, W.S.C.; Cobb, B.; Janssen, R.A.J.; Gelinck, G.H.; Kemerink, M.

    2012-01-01

    We investigate the mechanism of charge transport in indium gallium zinc oxide (a-IGZO), an amorphous metal-oxide semiconductor. We measured the field-effect mobility and the Seebeck coefficient (S=ΔV/ΔT) of a-IGZO in thin-film transistors as a function of charge-carrier density for different

  3. Charge transport in amorphous InGaZnO thin film transistors

    NARCIS (Netherlands)

    Germs, W.C.; Adriaans, W.H.; Tripathi, A.K.; Roelofs, W.S.C.; Cobb, B.; Janssen, R.A.J.; Gelinck, G.H.; Kemerink, M.

    2012-01-01

    We investigate the mechanism of charge transport in indium gallium zinc oxide (a-IGZO), an amorphous metal-oxide semiconductor. We measured the field-effect mobility and the Seebeck coefficient (S=¿V/¿T) of a-IGZO in thin-film transistors as a function of charge-carrier density for different

  4. Impact of soft annealing on the performance of solution-processed amorphous zinc tin oxide thin-film transistors

    KAUST Repository

    Nayak, Pradipta K.

    2013-05-08

    It is demonstrated that soft annealing duration strongly affects the performance of solution-processed amorphous zinc tin oxide thin-film transistors. Prolonged soft annealing times are found to induce two important changes in the device: (i) a decrease in zinc tin oxide film thickness, and (ii) an increase in oxygen vacancy concentration. The devices prepared without soft annealing exhibited inferior transistor performances, in comparison to devices in which the active channel layer (zinc tin oxide) was subjected to soft annealing. The highest saturation field-effect mobility - 5.6 cm2 V-1 s-1 with a drain-to-source on-off current ratio (Ion/Ioff) of 2 × 108 - was achieved in the case of devices with 10-min soft-annealed zinc tin oxide thin films as the channel layer. The findings of this work identify soft annealing as a critical parameter for the processing of chemically derived thin-film transistors, and it correlates device performance to the changes in material structure induced by soft annealing. © 2013 American Chemical Society.

  5. Fabrication of thermally evaporated Al thin film on cylindrical PET monofilament for wearable computing devices

    Science.gov (United States)

    Liu, Yang; Kim, Eunju; Han, Jeong In

    2016-01-01

    During the initial development of wearable computing devices, the conductive fibers of Al thin film on cylindrical PET monofilament were fabricated by thermal evaporation. Their electrical current-voltage characteristics curves were excellent for incorporation into wearable devices such as fiber-based cylindrical capacitors or thin film transistors. Their surfaces were modified by UV exposure and dip coating of acryl or PVP to investigate the surface effect. The conductive fiber with PVP coating showed the best conductivities because the rough surface of the PET substrate transformed into a smooth surface. The conductivities of PET fiber with and without PVP were 6.81 × 103 Ω-1cm-1 and 5.62 × 103 Ω-1cm-1, respectively. In order to understand the deposition process of Al thin film on cylindrical PET, Al thin film on PET fiber was studied using SEM (Scanning Electron Microscope), conductivities and thickness measurements. Hillocks on the surface of conductive PET fibers were observed and investigated by AFM on the surface. Hillocks were formed and grown during Al thermal evaporation because of severe compressive strain and plastic deformation induced by large differences in thermal expansion between PET substrate and Al thin film. From the analysis of hillock size distribution, it turns out that hillocks grew not transversely but longitudinally. [Figure not available: see fulltext.

  6. Wide-bandgap high-mobility ZnO thin-film transistors produced at room temperature

    International Nuclear Information System (INIS)

    Fortunato, Elvira M.C.; Barquinha, Pedro M.C.; Pimentel, Ana C.M.B.G.; Goncalves, Alexandra M.F.; Marques, Antonio J.S.; Martins, Rodrigo F.P.; Pereira, Luis M.N.

    2004-01-01

    We report high-performance ZnO thin-film transistor (ZnO-TFT) fabricated by rf magnetron sputtering at room temperature with a bottom gate configuration. The ZnO-TFT operates in the enhancement mode with a threshold voltage of 19 V, a saturation mobility of 27 cm 2 /V s, a gate voltage swing of 1.39 V/decade and an on/off ratio of 3x10 5 . The ZnO-TFT presents an average optical transmission (including the glass substrate) of 80% in the visible part of the spectrum. The combination of transparency, high mobility, and room-temperature processing makes the ZnO-TFT a very promising low-cost optoelectronic device for the next generation of invisible and flexible electronics

  7. Transparent thin-film transistor exploratory development via sequential layer deposition and thermal annealing

    International Nuclear Information System (INIS)

    Hong, David; Chiang, Hai Q.; Presley, Rick E.; Dehuff, Nicole L.; Bender, Jeffrey P.; Park, Cheol-Hee; Wager, John F.; Keszler, Douglas A.

    2006-01-01

    A novel deposition methodology is employed for exploratory development of a class of high-performance transparent thin-film transistor (TTFT) channel materials involving oxides composed of heavy-metal cations with (n - 1)d 10 ns 0 (n ≥ 4) electronic configurations. The method involves sequential radio-frequency sputter deposition of thin, single cation oxide layers and subsequent post-deposition annealing in order to obtain a multi-component oxide thin film. The viability of this rapid materials development methodology is demonstrated through the realization of high-performance TTFTs with channel layers composed of zinc oxide/tin oxide, and tin oxide/indium oxide

  8. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    Science.gov (United States)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  9. Effect of Coercive Voltage and Charge Injection on Performance of a Ferroelectric-Gate Thin-Film Transistor

    Directory of Open Access Journals (Sweden)

    P. T. Tue

    2013-01-01

    Full Text Available We adopted a lanthanum oxide capping layer between semiconducting channel and insulator layers for fabrication of a ferroelectric-gate thin-film transistor memory (FGT which uses solution-processed indium-tin-oxide (ITO and lead-zirconium-titanate (PZT film as a channel layer and a gate insulator, respectively. Good transistor characteristics such as a high “on/off” current ratio, high channel mobility, and a large memory window of 108, 15.0 cm2 V−1 s−1, and 3.5 V were obtained, respectively. Further, a correlation between effective coercive voltage, charge injection effect, and FGT’s memory window was investigated. It is found that the charge injection from the channel to the insulator layer, which occurs at a high electric field, dramatically influences the memory window. The memory window’s enhancement can be explained by a dual effect of the capping layer: (1 a reduction of the charge injection and (2 an increase of effective coercive voltage dropped on the insulator.

  10. Solution processable semiconductor thin films: Correlation between morphological, structural, optical and charge transport properties

    Science.gov (United States)

    Isik, Dilek

    electrochemical impedance spectroscopy. In the context of ARTICLE 1, thin film transistors based on soluble pentacene derivatives (prepared by the research group directed by Professor J. Anthony, at the University of Kentucky) were fabricated and characterized. GIXRD results performed on the thin films suggested a molecular arrangement favorable to charge transport in the source-drain direction, with the pi-pi stacking direction perpendicular to the channel. In ARTICLE 1, HMDS-treated SiO 2 substrates were used, to improve the surface coverage and to limit charge trapping at the dielectric surface. AFM showed good film coverage. The transistors showed ambipolar characteristics, attributed to the good matching between Au electrode work function and highest occupied molecular orbital (HOMO) and lowest unoccupied molecular orbital (LUMO) of the pentacene derivative. The work reported in ARTICLE 2 deals with pi-conjugated thiopheno-azomethines (both in oligomer and polymer form) and oligothiophene analogues. In the former case, couplings in the polymer are based on azomethine (-N=C-) moieties whereas in the latter case they are based on more conventional protocols (-C=C-). The effect of the coupling protocols on the corresponding thin film transistors behavior was studied. The key conclusion of this study was that thiopheno-azomethines thin films can be effectively incorporated into organic transistors: thin films of oligothiopheno-azomethines and the oligothiophenes exhibit p-type behavior whereas thin films of polythiopheno-azomethine exhibit an ambipolar behavior. The hole mobility of the heat-treated thin films of oligothiopheno-azomethines was three orders of magnitude higher compared to its oligothiophene analogue. AFM, coupled with hyperspectral fluorescence imaging, were used to investigate the micro- and nano-scale surface coverage. For the oligothiopheno-azomethine we were able to quantitatively deduce the surface coverage. To contribute to the exploration of innovative

  11. Uniaxially oriented polycrystalline thin films and air-stable n-type transistors based on donor-acceptor semiconductor (diC8BTBT)(FnTCNQ) [n = 0, 2, 4

    Science.gov (United States)

    Shibata, Yosei; Tsutsumi, Jun'ya; Matsuoka, Satoshi; Matsubara, Koji; Yoshida, Yuji; Chikamatsu, Masayuki; Hasegawa, Tatsuo

    2015-04-01

    We report the fabrication of high quality thin films for semiconducting organic donor-acceptor charge-transfer (CT) compounds, (diC8BTBT)(FnTCNQ) (diC8BTBT = 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene and FnTCNQ [n = 0,2,4] = fluorinated derivatives of 7,7,8,8,-tetracyanoquinodimethane), which have a high degree of layered crystallinity. Single-phase and uniaxially oriented polycrystalline thin films of the compounds were obtained by co-evaporation of the component donor and acceptor molecules. Organic thin-film transistors (OTFTs) fabricated with the compound films exhibited n-type field-effect characteristics, showing a mobility of 6.9 × 10-2 cm2/V s, an on/off ratio of 106, a sub-threshold swing of 0.8 V/dec, and an excellent stability in air. We discuss the suitability of strong intermolecular donor-acceptor interaction and the narrow CT gap nature in compounds for stable n-type OTFT operation.

  12. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    Science.gov (United States)

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  13. Improvement of transistor characteristics and stability for solution-processed ultra-thin high-valence niobium doped zinc-tin oxide thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Jeng, Jiann-Shing, E-mail: jsjeng@mail.nutn.edu.tw

    2016-08-15

    Nb-doped Zinc tin oxide (NZTO) channel materials have been prepared by solution process in combination with the spin-coating method. All NZTO thin film transistors (TFTs) are n-type enhancement-mode devices, either without or with Nb additives. High-valence niobium ion (ionic charge = +5) has a larger ionic potential and similar ionic radius to Zn{sup 2+} and Sn{sup 4+} ions. As compared with the pure ZTO device, introducing Nb{sup 5+} ions into the ZTO channel layers can improve the electrical properties and bias stability of TFTs because of the reduction of the oxygen vacancies. This study discusses the connection among the material properties of the NZTO films and the electrical performance and bias stability of NZTO TFTs and how they are influenced by the Nb/(Nb + Sn) molar ratios of NZTO films. - Highlights: • Ultra-thin high-valence niobium doped zinc-tin oxide (NZTO) thin films are prepared using a solution process. • Nb dopants in ZTO films reduce the oxygen vacancy and subgap adsorption of the ZTO films. • The Nb-doping concentration of the NZTO channel layer has a strong influence on the TFT performance.

  14. Blending crystalline/liquid crystalline small molecule semiconductors: A strategy towards high performance organic thin film transistors

    Science.gov (United States)

    He, Chao; He, Yaowu; Li, Aiyuan; Zhang, Dongwei; Meng, Hong

    2016-10-01

    Solution processed small molecule polycrystalline thin films often suffer from the problems of inhomogeneity and discontinuity. Here, we describe a strategy to solve these problems through deposition of the active layer from a blended solution of crystalline (2-phenyl[1]benzothieno[3,2-b][1]benzothiophene, Ph-BTBT) and liquid crystalline (2-(4-dodecylphenyl) [1]benzothieno[3,2-b]benzothiophene, C12-Ph-BTBT) small molecule semiconductors with the hot spin-coating method. Organic thin film transistors with average hole mobility approaching 1 cm2/V s, much higher than that of single component devices, have been demonstrated, mainly due to the improved uniformity, continuity, crystallinity, and stronger intermolecular π-π stacking in blend thin films. Our results indicate that the crystalline/liquid crystalline semiconductor blend method is an effective way to enhance the performance of organic transistors.

  15. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  16. Wet etching rates of InGaZnO for the fabrication of transparent thin-film transistors on plastic substrates

    International Nuclear Information System (INIS)

    Lee, Chi-Yuan; Chang, Chienliu; Shih, Wen-Pin; Dai, Ching-Liang

    2010-01-01

    The wet etch process for amorphous indium gallium zinc oxide (a-IGZO or a-InGaZnO) by using various etchants is reported. The etch rates of a-IGZO, compared to another indium-based oxides including indium gallium oxide (IGO), indium zinc oxide (IZO), and indium tin oxide (ITO), are measured by using acetic acid, citric acid, hydrochloric acid, perchloric acid, and aqua ammonia as etchants, respectively. In our experimental results, the etch rate of the transparent oxide semiconductor (TOS) films by using acid solutions ranked accordingly from high to low are IZO, IGZO, IGO and ITO. Comparatively, the etch rate of the TOS films by using alkaline ammonia solution ranked from high to low are IGZO, IZO, IGO and ITO, in that order. Using the proposed wet etching process with high etch selectivity, bottom-gate-type thin-film transistors (TFTs) based on a-IGZO channels and Y 2 O 3 gate-insulators were fabricated by radio-frequency sputtering on plastic substrates. The wet etch processed TFT with 30 μm gate length and 120 μm gate width exhibits a saturation mobility of 46.25 cm 2 V -1 s -1 , a threshold voltage of 1.3 V, a drain current on-off ratio > 10 6 , and subthreshold gate voltage swing of 0.29 V decade -1 . The performance of the TFTs ensures the applicability of the wet etching process for IGZO to electronic devices on organic polymer substrates.

  17. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    Science.gov (United States)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  18. Growth of large-size-two-dimensional crystalline pentacene grains for high performance organic thin film transistors

    Directory of Open Access Journals (Sweden)

    Chuan Du

    2012-06-01

    Full Text Available New approach is presented for growth of pentacene crystalline thin film with large grain size. Modification of dielectric surfaces using a monolayer of small molecule results in the formation of pentacene thin films with well ordered large crystalline domain structures. This suggests that pentacene molecules may have significantly large diffusion constant on the modified surface. An average hole mobility about 1.52 cm2/Vs of pentacene based organic thin film transistors (OTFTs is achieved with good reproducibility.

  19. Titanyl phthalocyanine ambipolar thin film transistors making use of carbon nanotube electrodes

    Science.gov (United States)

    Coppedè, Nicola; Valitova, Irina; Mahvash, Farzaneh; Tarabella, Giuseppe; Ranzieri, Paolo; Iannotta, Salvatore; Santato, Clara; Martel, Richard; Cicoira, Fabio

    2014-12-01

    The capability of efficiently injecting charge carriers into organic films and finely tuning their morphology and structure is crucial to improve the performance of organic thin film transistors (OTFTs). In this work, we investigate OTFTs employing carbon nanotubes (CNTs) as the source-drain electrodes and, as the organic semiconductor, thin films of titanyl phthalocyanine (TiOPc) grown by supersonic molecular beam deposition (SuMBD). While CNT electrodes have shown an unprecedented ability to improve charge injection in OTFTs, SuMBD is an effective technique to tune film morphology and structure. Varying the substrate temperature during deposition, we were able to grow both amorphous (low substrate temperature) and polycrystalline (high substrate temperature) films of TiOPc. Regardless of the film morphology and structure, CNT electrodes led to superior charge injection and transport performance with respect to benchmark Au electrodes. Vacuum annealing of polycrystalline TiOPc films with CNT electrodes yielded ambipolar OTFTs.

  20. Fabrication of semi-transparent superoleophobic thin film from fabrics and nanoparticle-based hierarchical structure

    Directory of Open Access Journals (Sweden)

    Nishizawa S.

    2013-08-01

    Full Text Available Superoleophobic thin films have many potential applications including fluid transfer, fluid power systems, stain resistant and antifouling materials, and microfluidics among others. Transparency is also desired with superhydrophobicity for their numerous applications; however transparency and oleophobicity are almost incompatible relationship with each other in the point of surface structure. Because oleophobicity required rougher structure at nano-micro scale than hydrophobicity, and these rough structure brings light scattering. So far, there is very few report of the compatible of transparency and superoleophobicity. In this report, we proposed the see-through type fabrics using the nanoparticle-based hierarchical structure thin film for improving both of oleophobicity and transparency. The vacant space between fibrils of fabrics has two important roles: the one is to through the light, another one is to introduce air layer to realize Cassie state of liquid droplet on thin film. To realize the low surface energy and nanoscale rough structure surface on fibrils, we used the spray method with perfluoroalkyl methacrylic copolymer (PMC, silica nano particles and volatile solvent. From the SEM image, the hierarchical structures of nanoparticle were formed uniformly on the fabrics. The transparency of thin film obtained was approximately 61% and the change of transparency between pre-coated fabrics and coated was 11%. From investigation of the surface wettability, the contact angles of oils (rapeseed oil and hexadecane and water droplet on the fabricated film were over 150 degree.

  1. Amorphous Zinc Oxide Integrated Wavy Channel Thin Film Transistor Based High Performance Digital Circuits

    KAUST Repository

    Hanna, Amir; Hussain, Aftab M.; Omran, Hesham; Alshareef, Sarah; Salama, Khaled N.; Hussain, Muhammad Mustafa

    2015-01-01

    High performance thin film transistor (TFT) can be a great driving force for display, sensor/actuator, integrated electronics, and distributed computation for Internet of Everything applications. While semiconducting oxides like zinc oxide (Zn

  2. Indium–gallium–zinc oxide thin film transistors with a hybrid-channel structure for defect suppression and mobility improvement

    International Nuclear Information System (INIS)

    Lin, Huang-Kai; Su, Liang-Yu; Hung, Chia-Chin; Huang, JianJang

    2013-01-01

    In this work, we explore an indium gallium zinc oxide (IGZO) thin film transistor structure with a vacuum annealed IGZO thin film inserted between the dielectric and typical channel layers. The device demonstrates a better subthreshold swing and field-effect mobility due to the suppression of defects in the channel and the channel/dielectric interface. The hybrid channel structure also exhibits the flexibility of adjusting the threshold voltage. The superior carrier mobility was then verified from the transient response of the inverter circuit constructed by the devices. - Highlights: • Additional in-situ annealed In–Ga–ZnO film was inserted in thin film transistor (TFT). • Traps are suppressed and field effect mobility is improved in the TFT. • An inverter with the device structure has a better transient response

  3. Indium–gallium–zinc oxide thin film transistors with a hybrid-channel structure for defect suppression and mobility improvement

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Huang-Kai; Su, Liang-Yu; Hung, Chia-Chin [Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China); Huang, JianJang, E-mail: jjhuang@cc.ee.ntu.edu.tw [Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China); Department of Electrical Engineering, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China)

    2013-07-01

    In this work, we explore an indium gallium zinc oxide (IGZO) thin film transistor structure with a vacuum annealed IGZO thin film inserted between the dielectric and typical channel layers. The device demonstrates a better subthreshold swing and field-effect mobility due to the suppression of defects in the channel and the channel/dielectric interface. The hybrid channel structure also exhibits the flexibility of adjusting the threshold voltage. The superior carrier mobility was then verified from the transient response of the inverter circuit constructed by the devices. - Highlights: • Additional in-situ annealed In–Ga–ZnO film was inserted in thin film transistor (TFT). • Traps are suppressed and field effect mobility is improved in the TFT. • An inverter with the device structure has a better transient response.

  4. Improved electrical stability of CdS thin film transistors through Hydrogen-based thermal treatments

    KAUST Repository

    Salas Villaseñor, Ana L.

    2014-06-01

    Thin film transistors (TFTs) with a bottom-gate configuration were fabricated using a photolithography process with chemically bath deposited (CBD) cadmium sulfide (CdS) films as the active channel. Thermal annealing in hydrogen was used to improve electrical stability and performance of the resulting CdS TFTs. Hydrogen thermal treatments results in significant V T instability (V T shift) improvement while increasing the I on/I off ratio without degrading carrier mobility. It is demonstrated that after annealing V T shift and I on/I off improves from 10 V to 4.6 V and from 105 to 10 9, respectively. Carrier mobility remains in the order of 14.5 cm2 V s-1. The reduced V T shift and performance is attributed to a reduction in oxygen species in the CdS after hydrogen annealing, as evaluated by Fourier transform infrared spectroscopy (FTIR). © 2014 IOP Publishing Ltd.

  5. Rutile TiO{sub 2} active-channel thin-film transistor using rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sung-Jin; Heo, Kwan-Jun; Yoo, Su-Chang; Choi, Seong-Gon [Chungbuk National University, Cheongju (Korea, Republic of); Chang, Seung-Wook [Samsung Display, Co., Ltd., Suwon (Korea, Republic of)

    2014-10-15

    TiO{sub 2} active-channel thin-film transistors (TFTs), in which the bottom-gate top-contact architecture was prepared with atomic layer deposition grown TiO{sub 2} as the semiconducting layer, were fabricated and then investigated based on key process parameters, such as the rapid thermal annealing (RTA) temperature. Structural analyses suggested that TiO{sub 2} films annealed at temperatures above 500 .deg. C changed from an amorphous to a rutile phase. The TFT with a TiO{sub 2} semiconductor annealed at 600 .deg. C exhibited strongly-saturated output characteristics, a much higher on/off current ratio of 4.3 x 10{sup 5}, and an electron mobility of 0.014 cm{sup 2}/Vs. Moreover, the potential for manipulating TiO{sub 2}-based TFTs with RTA methodology was demonstrated through the realization of a simple resistive-load inverter.

  6. Improved electrical stability of CdS thin film transistors through Hydrogen-based thermal treatments

    KAUST Repository

    Salas Villaseñ or, Ana L.; Mejia, Israel I.; Sotelo-Lerma, Mé rida; Guo, Zaibing; Alshareef, Husam N.; Quevedo-Ló pez, Manuel Angel Quevedo

    2014-01-01

    Thin film transistors (TFTs) with a bottom-gate configuration were fabricated using a photolithography process with chemically bath deposited (CBD) cadmium sulfide (CdS) films as the active channel. Thermal annealing in hydrogen was used to improve electrical stability and performance of the resulting CdS TFTs. Hydrogen thermal treatments results in significant V T instability (V T shift) improvement while increasing the I on/I off ratio without degrading carrier mobility. It is demonstrated that after annealing V T shift and I on/I off improves from 10 V to 4.6 V and from 105 to 10 9, respectively. Carrier mobility remains in the order of 14.5 cm2 V s-1. The reduced V T shift and performance is attributed to a reduction in oxygen species in the CdS after hydrogen annealing, as evaluated by Fourier transform infrared spectroscopy (FTIR). © 2014 IOP Publishing Ltd.

  7. Meniscus-force-mediated layer transfer technique using single-crystalline silicon films with midair cavity: Application to fabrication of CMOS transistors on plastic substrates

    Science.gov (United States)

    Sakaike, Kohei; Akazawa, Muneki; Nakagawa, Akitoshi; Higashi, Seiichiro

    2015-04-01

    A novel low-temperature technique for transferring a silicon-on-insulator (SOI) layer with a midair cavity (supported by narrow SiO2 columns) by meniscus force has been proposed, and a single-crystalline Si (c-Si) film with a midair cavity formed in dog-bone shape was successfully transferred to a poly(ethylene terephthalate) (PET) substrate at its heatproof temperature or lower. By applying this proposed transfer technique, high-performance c-Si-based complementary metal-oxide-semiconductor (CMOS) transistors were successfully fabricated on the PET substrate. The key processes are the thermal oxidation and subsequent hydrogen annealing of the SOI layer on the midair cavity. These processes ensure a good MOS interface, and the SiO2 layer works as a “blocking” layer that blocks contamination from PET. The fabricated n- and p-channel c-Si thin-film transistors (TFTs) on the PET substrate showed field-effect mobilities of 568 and 103 cm2 V-1 s-1, respectively.

  8. Carbon nanotube network thin-film transistors on flexible/stretchable substrates

    Science.gov (United States)

    Takei, Kuniharu; Takahashi, Toshitake; Javey, Ali

    2016-03-29

    This disclosure provides systems, methods, and apparatus for flexible thin-film transistors. In one aspect, a device includes a polymer substrate, a gate electrode disposed on the polymer substrate, a dielectric layer disposed on the gate electrode and on exposed portions of the polymer substrate, a carbon nanotube network disposed on the dielectric layer, and a source electrode and a drain electrode disposed on the carbon nanotube network.

  9. Graphene-based electrodes for enhanced organic thin film transistors based on pentacene.

    Science.gov (United States)

    Basu, Sarbani; Lee, Mu Chen; Wang, Yeong-Her

    2014-08-21

    This paper presents 6,13-bis(triisopropylsilylethynyl)pentacene (TIPS-pentacene) and pentacene-based organic thin film transistors (OTFTs) with monolayer graphene source-drain (S-D) electrodes. The electrodes are patterned using conventional photolithographic techniques combined with reactive ion etching. The monolayer graphene film grown by chemical vapor deposition on Cu foil was transferred on a Si dioxide surface using a polymer-supported transfer method to fabricate bottom-gate, bottom-contact OTFTs. The pentacene OTFTs with graphene S-D contacts exhibited superior performance with a mobility of 0.1 cm(2) V(-1) s(-1) and an on-off ratio of 10(5) compared with OTFTs with Au-based S-D contacts, which had a mobility of 0.01 cm(2) V(-1) s(-1) and an on-off ratio of 10(3). The crystallinity, grain size, and microscopic defects (or the number of layers of graphene films) of the TIPS-pentacene/pentacene films were analyzed by X-ray diffraction spectroscopy, atomic force microscopy, and Raman spectroscopy, respectively. The feasibility of using graphene as an S-D electrode in OTFTs provides an alternative material with high carrier injection efficiency, chemical stability, and excellent interface properties with organic semiconductors, thus exhibiting improved device performance of C-based electronic OTFTs at a reduced cost.

  10. Modeling drain current of indium zinc oxide thin film transistors prepared by solution deposition technique

    Science.gov (United States)

    Qiang, Lei; Liang, Xiaoci; Cai, Guangshuo; Pei, Yanli; Yao, Ruohe; Wang, Gang

    2018-06-01

    Indium zinc oxide (IZO) thin film transistor (TFT) deposited by solution method is of considerable technological interest as it is a key component for the fabrication of flexible and cheap transparent electronic devices. To obtain a principal understanding of physical properties of solution-processed IZO TFT, a new drain current model that account for the charge transport is proposed. The formulation is developed by incorporating the effect of gate voltage on mobility and threshold voltage with the carrier charges. It is demonstrated that in IZO TFTs the below threshold regime should be divided into two sections: EC - EF > 3kT and EC - EF ≤ 3kT, where kT is the thermal energy, EF and EC represent the Fermi level and the conduction band edge, respectively. Additionally, in order to describe conduction mechanisms more accurately, the extended mobility edge model is conjoined, which can also get rid of the complicated and lengthy computations. The good agreement between measured and calculated results confirms the efficiency of this model for the design of integrated large-area thin film circuits.

  11. Effects of the F4TCNQ-Doped Pentacene Interlayers on Performance Improvement of Top-Contact Pentacene-Based Organic Thin-Film Transistors

    OpenAIRE

    Ching-Lin Fan; Wei-Chun Lin; Hsiang-Sheng Chang; Yu-Zuo Lin; Bohr-Ran Huang

    2016-01-01

    In this paper, the top-contact (TC) pentacene-based organic thin-film transistor (OTFT) with a tetrafluorotetracyanoquinodimethane (F4TCNQ)-doped pentacene interlayer between the source/drain electrodes and the pentacene channel layer were fabricated using the co-evaporation method. Compared with a pentacene-based OTFT without an interlayer, OTFTs with an F4TCNQ:pentacene ratio of 1:1 showed considerably improved electrical characteristics. In addition, the dependence of the OTFT performance ...

  12. Experimental and numerical investigation of contact-area-limited doping for top-contact pentacene thin-film transistors with Schottky contact.

    Science.gov (United States)

    Noda, Kei; Wada, Yasuo; Toyabe, Toru

    2015-10-28

    Effects of contact-area-limited doping for pentacene thin-film transistors with a bottom-gate, top-contact configuration were investigated. The increase in the drain current and the effective field-effect mobility was achieved by preparing hole-doped layers underneath the gold contact electrodes by coevaporation of pentacene and 2,3,5,6-tetrafluoro-7,7,8,8-tetracyanoquinodimethane (F4TCNQ), confirmed by using a thin-film organic transistor advanced simulator (TOTAS) incorporating Schottky contact with a thermionic field emission (TFE) model. Although the simulated electrical characteristics fit the experimental results well only in the linear regime of the transistor operation, the barrier height for hole injection and the gate-voltage-dependent hole mobility in the pentacene transistors were evaluated with the aid of the device simulation. This experimental data analysis with the simulation indicates that the highly-doped semiconducting layers prepared in the contact regions can enhance the charge carrier injection into the active semiconductor layer and concurrent trap filling in the transistor channel, caused by the mitigation of a Schottky energy barrier. This study suggests that both the contact-area-limited doping and the device simulation dealing with Schottky contact are indispensable in designing and developing high-performance organic thin-film transistors.

  13. High conductivity and transparent aluminum-based multi-layer source/drain electrodes for thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zhang, Hongke; Fang, Zhiqiang; Ning, Honglong; Zheng, Zeke; Li, Xiaoqing; Zhang, Xiaochen; Cai, Wei; Lu, Xubing; Peng, Junbiao

    2018-02-01

    In this study, high conductivity and transparent multi-layer (AZO/Al/AZO-/Al/AZO) source/drain (S/D) electrodes for thin film transistors were fabricated via conventional physical vapor deposition approaches, without toxic elements or further thermal annealing process. The 68 nm-thick multi-layer films with excellent optical properties (transparency: 82.64%), good electrical properties (resistivity: 6.64  ×  10-5 Ω m, work function: 3.95 eV), and superior surface roughness (R q   =  0.757 nm with scanning area of 5  ×  5 µm2) were fabricated as the S/D electrodes. Significantly, comprehensive performances of AZO films are enhanced by the insertion of ultra-thin Al layers. The optimal transparent TFT with this multi-layer S/D electrodes exhibited a decent electrical performance with a saturation mobility (µ sat) of 3.2 cm2 V-1 s-1, an I on/I off ratio of 1.59  ×  106, a subthreshold swing of 1.05 V/decade. The contact resistance of AZO/Al/AZO/Al/AZO multi-layer electrodes is as low as 0.29 MΩ. Moreover, the average visible light transmittance of the unpatterned multi-layers constituting a whole transparent TFT could reach 72.5%. The high conductivity and transparent multi-layer S/D electrodes for transparent TFTs possessed great potential for the applications of the green and transparent displays industry.

  14. Process Simulation and Characterization of Substrate Engineered Silicon Thin Film Transistor for Display Sensors and Large Area Electronics

    International Nuclear Information System (INIS)

    Hashmi, S M; Ahmed, S

    2013-01-01

    Design, simulation, fabrication and post-process qualification of substrate-engineered Thin Film Transistors (TFTs) are carried out to suggest an alternate manufacturing process step focused on display sensors and large area electronics applications. Damage created by ion implantation of Helium and Silicon ions into single-crystalline n-type silicon substrate provides an alternate route to create an amorphized region responsible for the fabrication of TFT structures with controllable and application-specific output parameters. The post-process qualification of starting material and full-cycle devices using Rutherford Backscattering Spectrometry (RBS) and Proton or Particle induced X-ray Emission (PIXE) techniques also provide an insight to optimize the process protocols as well as their applicability in the manufacturing cycle

  15. Nano-crystallization in ZnO-doped In{sub 2}O{sub 3} thin films via excimer laser annealing for thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Fujii, Mami N., E-mail: f-mami@ms.naist.jp; Ishikawa, Yasuaki; Bermundo, Juan Paolo Soria; Uraoka, Yukiharu [Nara Institute of Science and Technology, 8916-5 Takayama, Ikoma, Nara 630-0192 (Japan); Ishihara, Ryoichi; Cingel, Johan van der; Mofrad, Mohammad R. T. [Delft University of Technology, Feldmannweg 17, P.O. Box 5053, 2600 GB Delft (Netherlands); Kawashima, Emi; Tomai, Shigekazu; Yano, Koki [Idemitsu Kosan Co., Ltd., 1280 Kami-izumi, Sodegaura, Chiba, 299-0293 (Japan)

    2016-06-15

    In a previous work, we reported the high field effect mobility of ZnO-doped In{sub 2}O{sub 3} (IZO) thin film transistors (TFTs) irradiated by excimer laser annealing (ELA) [M. Fujii et al., Appl. Phys. Lett. 102, 122107 (2013)]. However, a deeper understanding of the effect of ELA on the IZO film characteristics based on crystallinity, carrier concentrations, and optical properties is needed to control localized carrier concentrations for fabricating self-aligned structures in the same oxide film and to adequately explain the physical characteristics. In the case of as-deposited IZO film used as the channel, a high carrier concentration due to a high density of oxygen vacancies was observed; such a film does not show the required TFT characteristics but can act as a conductive film. We achieved a decrease in the carrier concentration of IZO films by crystallization using ELA. This means that ELA can form localized conductive or semi-conductive areas on the IZO film. We confirmed that the reason for the carrier concentration decrease was the decrease of oxygen-deficient regions and film crystallization. The annealed IZO films showed nano-crystalline phase, and the temperature at the substrate was substantially less than the temperature limit for flexible films such as plastic, which is 50°C. This paves the way for the formation of self-aligned structures and separately formed conductive and semi-conductive regions in the same oxide film.

  16. Improvements in the bias illumination stability of amorphous InGaZnO thin-film transistors by using thermal treatments

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Woo-Byung; Ryu, Sang Ouk [Dankook University, Cheonan (Korea, Republic of); Lee, Dong-Keun [Korea Advanced Nano Fab Center, Suwon (Korea, Republic of)

    2014-07-15

    The a-IGZO deposited by using the rf sputtering method features a conductive or an insulator characteristic based on amount of oxygen. We demonstrated that a post-treatment affects the resistance patterns of particular-sized InGaZnO(IGZO) thin films in a-IGZO thin-film transistors (TFTs). Post-annealing shifted the driving voltage of a-IGZO TFT to positive or negative values, depending on the annealing temperatures. Post-annealing may introduce oxygen vacancies or desorbed oxygen in the IGZO thin film. The changed driving voltage of IGZO TFTs coincides with the shift of the resistance pattern of IGZO. The fabricated a-IGZO TFTs exhibited a field effect mobility of 6.2 cm{sup 2}/Vs, an excellent subthreshold gate swing of 0.32 V/decade, and a high I{sub on/off} ratio of > 10{sup 9}. Under positive bias illumination stress (PBIS) and negative bias illumination stress (NBIS), after 3,600 seconds, the device threshold voltage shifted about 0.2 V and 0.3 V, respectively.

  17. Morphological and crystalline characterization of pulsed laser deposited pentacene thin films for organic transistor applications

    Science.gov (United States)

    Pereira, Antonio; Bonhommeau, Sébastien; Sirotkin, Sergey; Desplanche, Sarah; Kaba, Mamadouba; Constantinescu, Catalin; Diallo, Abdou Karim; Talaga, David; Penuelas, Jose; Videlot-Ackermann, Christine; Alloncle, Anne-Patricia; Delaporte, Philippe; Rodriguez, Vincent

    2017-10-01

    We show that high-quality pentacene (P5) thin films of high crystallinity and low surface roughness can be produced by pulsed laser deposition (PLD) without inducing chemical degradation of the molecules. By using Raman spectroscopy and X-ray diffraction measurements, we also demonstrate that the deposition of P5 on Au layers result in highly disordered P5 thin films. While the P5 molecules arrange within the well-documented 1.54-nm thin-film phase on high-purity fused silica substrates, this ordering is indeed destroyed upon introducing an Au interlayer. This observation may be one explanation for the low electrical performances measured in P5-based organic thin film transistors (OTFTs) deposited by laser-induced forward transfer (LIFT).

  18. Titanyl phthalocyanine ambipolar thin film transistors making use of carbon nanotube electrodes

    International Nuclear Information System (INIS)

    Coppedè, Nicola; Tarabella, Giuseppe; Ranzieri, Paolo; Iannotta, Salvatore; Valitova, Irina; Cicoira, Fabio; Mahvash, Farzaneh; Santato, Clara; Martel, Richard

    2014-01-01

    The capability of efficiently injecting charge carriers into organic films and finely tuning their morphology and structure is crucial to improve the performance of organic thin film transistors (OTFTs). In this work, we investigate OTFTs employing carbon nanotubes (CNTs) as the source-drain electrodes and, as the organic semiconductor, thin films of titanyl phthalocyanine (TiOPc) grown by supersonic molecular beam deposition (SuMBD). While CNT electrodes have shown an unprecedented ability to improve charge injection in OTFTs, SuMBD is an effective technique to tune film morphology and structure. Varying the substrate temperature during deposition, we were able to grow both amorphous (low substrate temperature) and polycrystalline (high substrate temperature) films of TiOPc. Regardless of the film morphology and structure, CNT electrodes led to superior charge injection and transport performance with respect to benchmark Au electrodes. Vacuum annealing of polycrystalline TiOPc films with CNT electrodes yielded ambipolar OTFTs. (paper)

  19. Indium-gallium-zinc-oxide thin-film transistor with a planar split dual-gate structure

    Science.gov (United States)

    Liu, Yu-Rong; Liu, Jie; Song, Jia-Qi; Lai, Pui-To; Yao, Ruo-He

    2017-12-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) with a planar split dual gate (PSDG) structure has been proposed, fabricated and characterized. Experimental results indicate that the two independent gates can provide dynamical control of device characteristics such as threshold voltage, sub-threshold swing, off-state current and saturation current. The transconductance extracted from the output characteristics of the device increases from 4.0 × 10-6S to 1.6 × 10-5S for a change of control gate voltage from -2 V to 2 V, and thus the device could be used in a variable-gain amplifier. A significant advantage of the PSDG structure is its flexibility in controlling the device performance according to the need of practical applications.

  20. Charge carrier mobility in thin films of organic semiconductors by the gated van der Pauw method

    Science.gov (United States)

    Rolin, Cedric; Kang, Enpu; Lee, Jeong-Hwan; Borghs, Gustaaf; Heremans, Paul; Genoe, Jan

    2017-01-01

    Thin film transistors based on high-mobility organic semiconductors are prone to contact problems that complicate the interpretation of their electrical characteristics and the extraction of important material parameters such as the charge carrier mobility. Here we report on the gated van der Pauw method for the simple and accurate determination of the electrical characteristics of thin semiconducting films, independently from contact effects. We test our method on thin films of seven high-mobility organic semiconductors of both polarities: device fabrication is fully compatible with common transistor process flows and device measurements deliver consistent and precise values for the charge carrier mobility and threshold voltage in the high-charge carrier density regime that is representative of transistor operation. The gated van der Pauw method is broadly applicable to thin films of semiconductors and enables a simple and clean parameter extraction independent from contact effects. PMID:28397852

  1. Anion-induced N-doping of naphthalenediimide polymer semiconductor in organic thin-film transistors

    KAUST Repository

    Han, Yang

    2018-03-13

    Molecular doping is an important strategy to improve the charge transport properties of organic semiconductors in various electronic devices. Compared to p-type dopants, the development of n-type dopants is especially challenging due to poor dopant stability against atmospheric conditions. In this article, we report the n-doping of the milestone naphthalenediimide-based conjugated polymer P(NDI2OD-T2) in organic thin film transistor devices by soluble anion dopants. The addition of the dopants resulted in the formation of stable radical anions in thin films, as confirmed by EPR spectroscopy. By tuning the dopant concentration via simple solution mixing, the transistor parameters could be readily controlled. Hence the contact resistance between the electrodes and the semiconducting polymer could be significantly reduced, which resulted in the transistor behaviour approaching the desirable gate voltage-independent model. Reduced hysteresis was also observed, thanks to the trap filling by the dopant. Under optimal doping concentrations the channel on-current was increased several fold whilst the on/off ratio was simultaneously increased by around one order of magnitude. Hence doping with soluble organic salts appears to be a promising route to improve the charge transport properties of n-type organic semiconductors.

  2. Anion-induced N-doping of naphthalenediimide polymer semiconductor in organic thin-film transistors

    KAUST Repository

    Han, Yang; Fei, Zhuping; Lin, Yen-Hung; Martin, Jaime; Tuna, Floriana; Anthopoulos, Thomas D.; Heeney, Martin

    2018-01-01

    Molecular doping is an important strategy to improve the charge transport properties of organic semiconductors in various electronic devices. Compared to p-type dopants, the development of n-type dopants is especially challenging due to poor dopant stability against atmospheric conditions. In this article, we report the n-doping of the milestone naphthalenediimide-based conjugated polymer P(NDI2OD-T2) in organic thin film transistor devices by soluble anion dopants. The addition of the dopants resulted in the formation of stable radical anions in thin films, as confirmed by EPR spectroscopy. By tuning the dopant concentration via simple solution mixing, the transistor parameters could be readily controlled. Hence the contact resistance between the electrodes and the semiconducting polymer could be significantly reduced, which resulted in the transistor behaviour approaching the desirable gate voltage-independent model. Reduced hysteresis was also observed, thanks to the trap filling by the dopant. Under optimal doping concentrations the channel on-current was increased several fold whilst the on/off ratio was simultaneously increased by around one order of magnitude. Hence doping with soluble organic salts appears to be a promising route to improve the charge transport properties of n-type organic semiconductors.

  3. Simulation model for electron irradiated IGZO thin film transistors

    Science.gov (United States)

    Dayananda, G. K.; Shantharama Rai, C.; Jayarama, A.; Kim, Hyun Jae

    2018-02-01

    An efficient drain current simulation model for the electron irradiation effect on the electrical parameters of amorphous In-Ga-Zn-O (IGZO) thin-film transistors is developed. The model is developed based on the specifications such as gate capacitance, channel length, channel width, flat band voltage etc. Electrical parameters of un-irradiated IGZO samples were simulated and compared with the experimental parameters and 1 kGy electron irradiated parameters. The effect of electron irradiation on the IGZO sample was analysed by developing a mathematical model.

  4. Facile fabrication of high-performance InGaZnO thin film transistor using hydrogen ion irradiation at room temperature

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Byung Du [School of Electrical and Electronic Engineering, 50, Yonsei University, Seoul 120-749 (Korea, Republic of); Park, Jin-Seong [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Chung, K. B., E-mail: kbchung@dongguk.edu [Division of Physics and Semiconductor Science, Dongguk University, Seoul 100-715 (Korea, Republic of)

    2014-10-20

    Device performance of InGaZnO (IGZO) thin film transistors (TFTs) are investigated as a function of hydrogen ion irradiation dose at room temperature. Field effect mobility is enhanced, and subthreshold gate swing is improved with the increase of hydrogen ion irradiation dose, and there is no thermal annealing. The electrical device performance is correlated with the electronic structure of IGZO films, such as chemical bonding states, features of the conduction band, and band edge states below the conduction band. The decrease of oxygen deficient bonding and the changes in electronic structure of the conduction band leads to the improvement of device performance in IGZO TFT with an increase of the hydrogen ion irradiation dose.

  5. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  6. Wavy Architecture Thin-Film Transistor for Ultrahigh Resolution Flexible Displays

    KAUST Repository

    Hanna, Amir Nabil

    2017-11-13

    A novel wavy-shaped thin-film-transistor (TFT) architecture, capable of achieving 70% higher drive current per unit chip area when compared with planar conventional TFT architectures, is reported for flexible display application. The transistor, due to its atypical architecture, does not alter the turn-on voltage or the OFF current values, leading to higher performance without compromising static power consumption. The concept behind this architecture is expanding the transistor\\'s width vertically through grooved trenches in a structural layer deposited on a flexible substrate. Operation of zinc oxide (ZnO)-based TFTs is shown down to a bending radius of 5 mm with no degradation in the electrical performance or cracks in the gate stack. Finally, flexible low-power LEDs driven by the respective currents of the novel wavy, and conventional coplanar architectures are demonstrated, where the novel architecture is able to drive the LED at 2 × the output power, 3 versus 1.5 mW, which demonstrates the potential use for ultrahigh resolution displays in an area efficient manner.

  7. Detection of saliva-range glucose concentrations using organic thin-film transistors

    International Nuclear Information System (INIS)

    Elkington, D.; Belcher, W. J.; Dastoor, P. C.; Zhou, X. J.

    2014-01-01

    We describe the development of a glucose sensor through direct incorporation of an enzyme (glucose oxidase) into the gate of an organic thin film transistor (OTFT). We show that glucose diffusion is the key determinant of the device response time and present a mechanism of glucose sensing in these devices that involves protonic doping of the transistor channel via enzymatic oxidation of glucose. The integrated OTFT sensor is sensitive across 4 decades of glucose concentration; a range that encompasses both the blood and salivary glucose concentration levels. As such, this work acts as a proof-of-concept for low-cost printed biosensors for salivary glucose.

  8. Detection of saliva-range glucose concentrations using organic thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Elkington, D.; Belcher, W. J.; Dastoor, P. C.; Zhou, X. J. [Centre for Organic Electronics, University of Newcastle, Callaghan, New South Wales 2308 (Australia)

    2014-07-28

    We describe the development of a glucose sensor through direct incorporation of an enzyme (glucose oxidase) into the gate of an organic thin film transistor (OTFT). We show that glucose diffusion is the key determinant of the device response time and present a mechanism of glucose sensing in these devices that involves protonic doping of the transistor channel via enzymatic oxidation of glucose. The integrated OTFT sensor is sensitive across 4 decades of glucose concentration; a range that encompasses both the blood and salivary glucose concentration levels. As such, this work acts as a proof-of-concept for low-cost printed biosensors for salivary glucose.

  9. Fabrication and simulation of organic transistors and functional circuits

    Energy Technology Data Exchange (ETDEWEB)

    Taylor, D. Martin, E-mail: d.m.taylor@bangor.ac.uk [School of Electronic Engineering, Bangor University, Dean Street, Bangor, Gwynedd LL57 1UT (United Kingdom); Patchett, Eifion R.; Williams, Aled [School of Electronic Engineering, Bangor University, Dean Street, Bangor, Gwynedd LL57 1UT (United Kingdom); Ding, Ziqian; Assender, Hazel E. [Department of Materials, Oxford University, Parks Road, Oxford OX1 3PH (United Kingdom); Morrison, John J.; Yeates, Stephen G. [School of Chemistry, University of Manchester, Oxford Road, Manchester M13 9PL (United Kingdom)

    2015-07-29

    Highlights: • Development of roll-to-roll fabrication protocol for organic TFTs and circuits. • Bottom-gate polystyrene/DNTT TFTs much better than top-gate TFTs. • High-yield and high mobility with polystyrene-buffered TPGDA. • Fabrication of functional circuits – ring oscillators and logic gates. • New baseline process allows TFT parameter extraction and circuit simulation. - Abstract: We report the development of a vacuum-evaporation route for the roll-to-roll fabrication of functioning organic circuits. A number of key findings and observations are highlighted which influenced the eventual fabrication protocol adopted. Initially, the role of interface roughness in determining carrier mobility in thin film transistors (TFTs) is investigated. Then it is shown that TFT yield is higher for devices fabricated on a flash-evaporated-plasma-polymerised tri(propyleneglycol) diacrylate (TPGDA) gate dielectric than for TFTs based on a spin-coated polystyrene (PS) dielectric. However, a degradation in mobility is observed which is attributed to the highly polar TPGDA surface. It is shown that high mobility, low gate-leakage currents and excellent stability are restored when the surface of TPGDA was buffered with a thin, spin-coated PS film. The resulting baseline process allowed arrays of functional circuits such as ring oscillators, NOR/NAND logic gates and S–R latches to be fabricated with high yield and their performance to be simulated.

  10. Coffee-Ring Defined Short Channels for Inkjet-Printed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Li, Yuzhi; Lan, Linfeng; Xiao, Peng; Sun, Sheng; Lin, Zhenguo; Song, Wei; Song, Erlong; Gao, Peixiong; Wu, Weijing; Peng, Junbiao

    2016-08-03

    Short-channel electronic devices several micrometers in length are difficult to implement by direct inkjet printing due to the limitation of position accuracy of the common inkjet printer system and the spread of functional ink on substrates. In this report, metal oxide thin-film transistors (TFTs) with channel lengths of 3.5 ± 0.7 μm were successfully fabricated with a common inkjet printer without any photolithography steps. Hydrophobic CYTOP coffee stripes, made by inkjet-printing and plasma-treating processes, were utilized to define the channel area of TFTs with channel lengths as short as ∼3.5 μm by dewetting the inks of the source/drain (S/D) precursors. Furthermore, by introduction of an ultrathin layer of PVA to modify the S/D surfaces, the spreading of precursor ink of the InOx semiconductor layer was well-controlled. The inkjet-printed short-channel TFTs exhibited a maximum mobility of 4.9 cm(2) V(-1) s(-1) and an on/off ratio of larger than 10(9). This approach of fabricating short-channel TFTs by inkjet printing will promote the large-area fabrication of short-channel TFTs in a cost-effective manner.

  11. Fabrication and properties of SmFe2-PZT magnetoelectric thin films

    KAUST Repository

    Giouroudi, Ioanna

    2013-05-17

    Magnetoelectric (ME) thin film composites are attracting a continually increasing interest due to their unique features and potential applications in multifunctional microdevices and integrated units such as sensors, actuators and energy harvesting modules. By combining piezoelectric and highly magnetostrictive thin films, the potentialities of these materials increase. In this paper we report the fabrication of SmFe2 and PZT thin films and the investigation of their properties. First of all, a ~ 400 nm thin SmFe film was deposited on top of Si/SiO2 substrate by magnetron sputter deposition. Afterwards, a 140 nm Pt bottom electrode was sputtered on top of the SmFe film forming a bottom electrode. Spin coating was employed for the deposition of the 150 nm thin PZT layer. A PZT solution with 10 %Pb excess was utilized for this fabrication step. Finally, circular Pt top electrodes were sputtered as top electrodes. This paper focuses on the microstructure of the individual films characterized by X-Ray diffractometer (XRD) and scanning electron microscopy (SEM). A piezoelectric evaluation system, aixPES, with TF2000E analyzer component was used for the electric hysteresis measurements of PZT thin films and a vibrating sample magnetometer (VSM) was employed for the magnetic characterization of the SmFe. The developed thin films and the fabricated double layer SmFe-PZT exhibit both good ferromagnetic and piezoelectric responses which predict a promising ME composite structure. The quantitative chemical composition of the samples was confirmed by energy dispersive spectroscopy (EDX). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  12. Fabrication and properties of SmFe2-PZT magnetoelectric thin films

    KAUST Repository

    Giouroudi, Ioanna; Alnassar, Mohammed; Kosel, Jü rgen

    2013-01-01

    Magnetoelectric (ME) thin film composites are attracting a continually increasing interest due to their unique features and potential applications in multifunctional microdevices and integrated units such as sensors, actuators and energy harvesting modules. By combining piezoelectric and highly magnetostrictive thin films, the potentialities of these materials increase. In this paper we report the fabrication of SmFe2 and PZT thin films and the investigation of their properties. First of all, a ~ 400 nm thin SmFe film was deposited on top of Si/SiO2 substrate by magnetron sputter deposition. Afterwards, a 140 nm Pt bottom electrode was sputtered on top of the SmFe film forming a bottom electrode. Spin coating was employed for the deposition of the 150 nm thin PZT layer. A PZT solution with 10 %Pb excess was utilized for this fabrication step. Finally, circular Pt top electrodes were sputtered as top electrodes. This paper focuses on the microstructure of the individual films characterized by X-Ray diffractometer (XRD) and scanning electron microscopy (SEM). A piezoelectric evaluation system, aixPES, with TF2000E analyzer component was used for the electric hysteresis measurements of PZT thin films and a vibrating sample magnetometer (VSM) was employed for the magnetic characterization of the SmFe. The developed thin films and the fabricated double layer SmFe-PZT exhibit both good ferromagnetic and piezoelectric responses which predict a promising ME composite structure. The quantitative chemical composition of the samples was confirmed by energy dispersive spectroscopy (EDX). © (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  13. Role of ZrO2 incorporation in the suppression of negative bias illumination-induced instability in Zn-Sn-O thin film transistors

    International Nuclear Information System (INIS)

    Yang, Bong Seob; Oh, Seungha; Lee, Ung Soo; Kim, Yoon Jang; Oh, Myeong Sook; Hwang, Cheol Seong; Kim, Hyeong Joon; Huh, Myung Soo; Jeong, Jae Kyeong

    2011-01-01

    Thin film transistors (TFTs) with In and Ga-free multicomponent Zn-Sn-Zr-O (ZTZO) channel layers were fabricated using the cosputtering approach. The incorporation of ZrO 2 into the Zn-Sn-O (ZTO) films increased the contact resistance, which led to the degradation of the transport properties. In contrast, the threshold voltage shift under negative bias illumination stress (NBIS) was largely improved from -12.5 V (ZTO device) to -4.2 V (ZTZO device). This improvement was attributed to the reduction in the oxygen vacancy defects in the ZTZO film, suggesting that the photoinduced transition from V O to V O 2+ was responsible for the NBIS-induced instability.

  14. Indium-tin-oxide thin film transistor biosensors for label-free detection of avian influenza virus H5N1

    International Nuclear Information System (INIS)

    Guo, Di; Zhuo, Ming; Zhang, Xiaoai; Xu, Cheng; Jiang, Jie; Gao, Fu; Wan, Qing; Li, Qiuhong; Wang, Taihong

    2013-01-01

    Highlights: ► A highly selective label-free biosensor is established based on indium-tin-oxide thin-film transistors (ITO TFTs). ► AI H5N1 virus was successfully detected through shift in threshold voltage and field-effect mobility of ITO TFT. ► The ITO TFT is applied in biosensor for the first time and shows good reusability and stability. ► Fabrication of the platform is simple with low cost, which is suitable for mass commercial production. -- Abstract: As continuous outbreak of avian influenza (AI) has become a threat to human health, economic development and social stability, it is urgently necessary to detect the highly pathogenic avian influenza H5N1 virus quickly. In this study, we fabricated indium-tin-oxide thin-film transistors (ITO TFTs) on a glass substrate for the detecting of AI H5N1. The ITO TFT is fabricated by a one-shadow-mask process in which a channel layer can be simultaneously self-assembled between ITO source/drain electrodes during magnetron sputtering deposition. Monoclonal anti-H5N1 antibodies specific for AI H5N1 virus were covalently immobilized on the ITO channel by (3-glycidoxypropyl)trimethoxysilane. The introduction of target AI H5N1 virus affected the electronic properties of the ITO TFT, which caused a change in the resultant threshold voltage (V T ) and field-effect mobility. The changes of I D –V G curves were consistent with an n-type field effect transistor behavior affected by nearby negatively charged AI H5N1 viruses. The transistor based sensor demonstrated high selectivity and stability for AI H5N1 virus sensing. The sensor showed linear response to AI H5N1 in the concentrations range from 5 × 10 −9 g mL −1 to 5 × 10 −6 g mL −1 with a detection limit of 0.8 × 10 −10 g mL −1 . Moreover, the ITO TFT biosensors can be repeatedly used through the washing processes. With its excellent electric properties and the potential for mass commercial production, ITO TFTs can be promising candidates for the

  15. Controlling the Performance of P-type Cu2O/SnO Bilayer Thin-Film Transistors by Adjusting the Thickness of the Copper Oxide Layer

    KAUST Repository

    Al-Jawhari, Hala A.

    2014-11-11

    The effect of copper oxide layer thickness on the performance of Cu2O/SnO bilayer thin-film transistors was investigated. By using sputtered Cu2O films produced at an oxygen partial pressure, Opp, of 10% as the upper layer and 3% Opp SnO films as the lower layer we built a matrix of bottom-gate Cu2O/SnO bilayer thin-film transistors of different thickness. We found that the thickness of the Cu2O layer is of major importance in oxidation of the SnO layer underneath. The thicker the Cu2O layer, the more the underlying SnO layer is oxidized, and, hence, the more transistor mobility is enhanced at a specific temperature. Both device performance and the annealing temperature required could be adjusted by controlling the thickness of each layer of Cu2O/SnO bilayer thin-film transistors.

  16. Recent Advances of Solution-Processed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Xu, Wangying; Li, Hao; Xu, Jian-Bin; Wang, Lei

    2018-03-06

    Solution-processed metal oxide thin-film transistors (TFTs) are considered as one of the most promising transistor technologies for future large-area flexible electronics. This review surveys the recent advances in solution-based oxide TFTs, including n-type oxide semiconductors, oxide dielectrics and p-type oxide semiconductors. Firstly, we provide an introduction on oxide TFTs and the TFT configurations and operating principles. Secondly, we present the recent progress in solution-processed n-type transistors, with a special focus on low-temperature and large-area solution processed approaches as well as novel non-display applications. Thirdly, we give a detailed analysis of the state-of-the-art solution-processed oxide dielectrics for low-voltage electronics. Fourthly, we discuss the recent progress in solution-based p-type oxide semiconductors, which will enable the highly desirable future low-cost large-area complementary circuits. Finally, we draw the conclusions and outline the perspectives over the research field.

  17. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  18. Fabrication of high crystalline SnS and SnS2 thin films, and their switching device characteristics

    Science.gov (United States)

    Choi, Hyeongsu; Lee, Jeongsu; Shin, Seokyoon; Lee, Juhyun; Lee, Seungjin; Park, Hyunwoo; Kwon, Sejin; Lee, Namgue; Bang, Minwook; Lee, Seung-Beck; Jeon, Hyeongtag

    2018-05-01

    Representative tin sulfide compounds, tin monosulfide (SnS) and tin disulfide (SnS2) are strong candidates for future nanoelectronic devices, based on non-toxicity, low cost, unique structures and optoelectronic properties. However, it is insufficient for synthesizing of tin sulfide thin films using vapor phase deposition method which is capable of fabricating reproducible device and securing high quality films, and their device characteristics. In this study, we obtained highly crystalline SnS thin films by atomic layer deposition and obtained highly crystalline SnS2 thin films by phase transition of the SnS thin films. The SnS thin film was transformed into SnS2 thin film by annealing at 450 °C for 1 h in H2S atmosphere. This phase transition was confirmed by x-ray diffractometer and x-ray photoelectron spectroscopy, and we studied the cause of the phase transition. We then compared the film characteristics of these two tin sulfide thin films and their switching device characteristics. SnS and SnS2 thin films had optical bandgaps of 1.35 and 2.70 eV, and absorption coefficients of about 105 and 104 cm‑1 in the visible region, respectively. In addition, SnS and SnS2 thin films exhibited p-type and n-type semiconductor characteristics. In the images of high resolution-transmission electron microscopy, SnS and SnS2 directly showed a highly crystalline orthorhombic and hexagonal layered structure. The field effect transistors of SnS and SnS2 thin films exhibited on–off drain current ratios of 8.8 and 2.1 × 103 and mobilities of 0.21 and 0.014 cm2 V‑1 s‑1, respectively. This difference in switching device characteristics mainly depends on the carrier concentration because it contributes to off-state conductance and mobility. The major carrier concentrations of the SnS and SnS2 thin films were 6.0 × 1016 and 8.7 × 1013 cm‑3, respectively, in this experiment.

  19. High Stability Performance of Quinary Indium Gallium Zinc Aluminum Oxide Films and Thin-Film Transistors Deposited Using Vapor Cooling Condensation Method

    Science.gov (United States)

    Lin, Yung-Hao; Lee, Ching-Ting

    2017-08-01

    High-quality indium gallium zinc aluminum oxide (IGZAO) thin films with various Al contents have been deposited using the vapor cooling condensation method. The electron mobility of the IGZAO films was improved by 89.4% on adding Al cation to IGZO film. The change in the electron concentration and mobility of the IGZAO films was 7.3% and 7.0%, respectively, when the temperature was changed from 300 K to 225 K. These experimental results confirm the high performance and stability of the IGZAO films. The performance stability mechanisms of IGZAO thin-film transistors (TFTs) were investigated in comparison with IGZO TFTs.

  20. Impact of regioregularity on thin-film transistor and photovoltaic cell performances of pentacene-containing polymers

    KAUST Repository

    Jiang, Ying

    2012-01-01

    Regioregular pentacene-containing polymers were synthesized with alkylated bithiophene (BT) and cyclopentadithiophene (CPDT) as comonomers. Among them, 2,9-conjugated polymers PnBT-2,9 and PnCPDT-2,9 achieved the best performance in transistor and photovoltaic devices respectively. The former achieved the most highly ordered structures in thin films, yielding ambipolar transistor behavior with hole and electron mobilities up to 0.03 and 0.02 cm 2 V -1 s -1 on octadecylsilane-treated substrates. The latter achieved photovoltaic power conversion efficiencies up to 0.33%. The impact of regioregularity and direction of conjugation-extension (2,9 vs. 2,10), on thin-film order and device performance has been demonstrated for the pentacene-containing polymers for the first time, providing insight towards future functional material design. © 2012 The Royal Society of Chemistry.

  1. High mobility polymer gated organic field effect transistor using zinc ...

    Indian Academy of Sciences (India)

    Organic thin film transistors were fabricated using evaporated zinc phthalocyanine as the active layer. Parylene film ... At room temperature, these transistors exhibit p-type conductivity with field-effect ... Keywords. Organic semiconductor; field effect transistor; phthalocyanine; high mobility. ... The evaporation rate was kept at ...

  2. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  3. Subthreshold Schottky-barrier thin-film transistors with ultralow power and high intrinsic gain

    Science.gov (United States)

    Lee, Sungsik; Nathan, Arokia

    2016-10-01

    The quest for low power becomes highly compelling in newly emerging application areas related to wearable devices in the Internet of Things. Here, we report on a Schottky-barrier indium-gallium-zinc-oxide thin-film transistor operating in the deep subthreshold regime (i.e., near the OFF state) at low supply voltages (400) that was both bias and geometry independent. The transistor reported here is useful for sensor interface circuits in wearable devices where high current sensitivity and ultralow power are vital for battery-less operation.

  4. Fabrication of In(0.75)Zn(1.5)Sn(1.0) (IZTO) Thin-Film Transistors Using Solution-Processable Materials and PZT Inkjet-Printing.

    Science.gov (United States)

    Lee, Tai-Kuang; Liuand, Chao-Te; Lee, Wen-Hsi

    2017-01-01

    Recently, Thin Film Transistors (TFTs) have been studied widely because of potential applications in low cost, low-temperature process and flexible displays. They can be fabricated by easy processes based on solution methods. But the mobility of organic TFTs is lower and the threshold voltage is higher than amorphous Si TFTs. In order to enhance the channel mobility and satisfy with the requirement of low-cost fabrication, we prepare a low-cost, mask-free, reduced material wastage, deposited technology using transparent, directly printable, air-stable semiconductor slurries and dielectric solutions. In our investigations, we attempt to obtain a high performance and low-cost TFT via preparing materials, designing device structure, and using PZT inkjet-printing technology. A stable and non-precipitated metal oxide ink with appropriate doping was prepared for the fabrication of an InxZn1.5Sn1.0 (IZTO) by PZT inkjet-printing. The soluble direct-printing process is a powerful tool for material research and implies that the printable materials and the printing technology enable the use of all-printed low-cost flexible displays and other transparent electronic applications. Transparent materials including dielectric PVP, conductive carbon nanotube (CNT) and active IZTO were employed into the fabrication of our PZT inkjet-printing process. After annealed at 180 °C, The experimental all-printed TFT exhibit the carrier mobility of 0.194 cm2/Vs, sub-threshold slope of 20 V/decade, and the threshold voltage of 5 V, initially. All-inkjet-printed films have great transparency, potentially in transparent electronics and the transmittance pattern in visible part of the spectrum (400–700 nm) is over 80%.

  5. Influence of indium doping on the properties of zinc tin oxide films and its application to transparent thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Mu Hee; Ma, Tae Young, E-mail: tyma@gnu.ac.kr

    2014-01-01

    In this study, the effects of indium (In) doping on the properties of zinc tin oxide (ZTO) films are reported. ZTO films were prepared by RF magnetron sputtering followed by In layer deposition, for use as the diffusion source. In order to protect the In layer from peeling, a second ZTO film was deposited on the In film. The annealing at 400 °C for 30 min was carried out to diffuse In atoms into the ZTO films. The structural, optical, and elemental properties of the annealed ZTO/In/ZTO films were investigated by X-ray diffraction, UV/vis spectrophotometry, and X-ray photoluminescence spectroscopy, respectively. The ZTO transparent thin film transistors employing the ZTO/In/ZTO films as the source/drain were prepared, and the effects of the In doped source/drain on the threshold voltage and mobility were characterized and analyzed. - Highlights: • We successfully doped zinc tin oxide (ZTO) films using In as a diffusion source. • Indium (In) was diffused in both directions with the diffusion coefficient of ∼ 4.3 × 10{sup −16} cm{sup 2}/s. • The mobility of ZTO thin film transistor was increased 1.6-times by adopting the In-diffused source/drain.

  6. Solution-processed p-type copper(I) thiocyanate (CuSCN) for low-voltage flexible thin-film transistors and integrated inverter circuits

    KAUST Repository

    Petti, Luisa

    2017-03-17

    We report on low operating voltage thin-film transistors (TFTs) and integrated inverters based on copper(I) thiocyanate (CuSCN) layers processed from solution at low temperature on free-standing plastic foils. As-fabricated coplanar bottom-gate and staggered top-gate TFTs exhibit hole-transporting characteristics with average mobility values of 0.0016 cm2 V−1 s−1 and 0.013 cm2 V−1 s−1, respectively, current on/off ratio in the range 102–104, and maximum operating voltages between −3.5 and −10 V, depending on the gate dielectric employed. The promising TFT characteristics enable fabrication of unipolar NOT gates on flexible free-standing plastic substrates with voltage gain of 3.4 at voltages as low as −3.5 V. Importantly, discrete CuSCN transistors and integrated logic inverters remain fully functional even when mechanically bent to a tensile radius of 4 mm, demonstrating the potential of the technology for flexible electronics.

  7. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  8. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  9. Effects of neutral particle beam on nano-crystalline silicon thin films, with application to thin film transistor backplane for flexible active matrix organic light emitting diodes

    International Nuclear Information System (INIS)

    Jang, Jin Nyoung; Song, Byoung Chul; Lee, Dong Hyeok; Yoo, Suk Jae; Lee, Bonju; Hong, MunPyo

    2011-01-01

    A novel deposition process for nano-crystalline silicon (nc-Si) thin films was developed using neutral beam assisted chemical vapor deposition (NBaCVD) technology for the application of the thin film transistor (TFT) backplane of flexible active matrix organic light emitting diode (AMOLED). During the formation of a nc-Si thin film, the energetic particles enhance nano-sized crystalline rather microcrystalline Si in thin films. Neutral Particle Beam (NPB) affects the crystallinity in two ways: (1) NPB energy enhances nano-crystallinity through kinetic energy transfer and chemical annealing, and (2) heavier NPB (such as Ar) induces damage and amorphization through energetic particle impinging. Nc-Si thin film properties effectively can be changed by the reflector bias. As increase of NPB energy limits growing the crystalline, the performance of TFT supports this NPB behavior. The results of nc-Si TFT by NBaCVD demonstrate the technical potentials of neutral beam based processes for achieving high stability and reduced leakage in TFT backplanes for AMOLEDs.

  10. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    Directory of Open Access Journals (Sweden)

    Imas Noviyana

    2017-06-01

    Full Text Available Top-contact bottom-gate thin film transistors (TFTs with zinc-rich indium zinc tin oxide (IZTO active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C.

  11. Flexible suspended gate organic thin-film transistors for ultra-sensitive pressure detection

    Science.gov (United States)

    Zang, Yaping; Zhang, Fengjiao; Huang, Dazhen; Gao, Xike; di, Chong-An; Zhu, Daoben

    2015-03-01

    The utilization of organic devices as pressure-sensing elements in artificial intelligence and healthcare applications represents a fascinating opportunity for the next-generation electronic products. To satisfy the critical requirements of these promising applications, the low-cost construction of large-area ultra-sensitive organic pressure devices with outstanding flexibility is highly desired. Here we present flexible suspended gate organic thin-film transistors (SGOTFTs) as a model platform that enables ultra-sensitive pressure detection. More importantly, the unique device geometry of SGOTFTs allows the fine-tuning of their sensitivity by the suspended gate. An unprecedented sensitivity of 192 kPa-1, a low limit-of-detection pressure of <0.5 Pa and a short response time of 10 ms were successfully realized, allowing the real-time detection of acoustic waves. These excellent sensing properties of SGOTFTs, together with their advantages of facile large-area fabrication and versatility in detecting various pressure signals, make SGOTFTs a powerful strategy for spatial pressure mapping in practical applications.

  12. Highly stable thin film transistors using multilayer channel structure

    KAUST Repository

    Nayak, Pradipta K.

    2015-03-09

    We report highly stable gate-bias stress performance of thin film transistors (TFTs) using zinc oxide (ZnO)/hafnium oxide (HfO2) multilayer structure as the channel layer. Positive and negative gate-bias stress stability of the TFTs was measured at room temperature and at 60°C. A tremendous improvement in gate-bias stress stability was obtained in case of the TFT with multiple layers of ZnO embedded between HfO2 layers compared to the TFT with a single layer of ZnO as the semiconductor. The ultra-thin HfO2 layers act as passivation layers, which prevent the adsorption of oxygen and water molecules in the ZnO layer and hence significantly improve the gate-bias stress stability of ZnO TFTs.

  13. Fabrication of hydroxyapatite thin films for biomedical applications using RF magnetron sputtering

    International Nuclear Information System (INIS)

    Yamaguchi, Tetsuro; Tanaka, Yoshikazu; Ide-Ektessabi, Ari

    2006-01-01

    The calcium phosphate thin films for medical applications require similar chemical properties as those of natural bone as well as a uniform surface without any defect, such as cracks and pinholes. In this study, the calcium phosphate thin films were fabricated using RF magnetron sputtering deposition technique at discharge power of 200W, 300W and 400W. The target used for the deposition was sintered HAp. RBS analysis showed that the Ca/P ratio increased with the discharge power becoming close to that of Ca/P=1.67 in ideal HAp. XPS analysis revealed the presence of PO 4 3- and OH - bonds in the calcium phosphate films fabricated. The chemical properties of the calcium phosphate thin films were similar to those of ideal HAp. The AFM results revealed that the thin films prepared had a uniform surface

  14. Low-Concentration Indium Doping in Solution-Processed Zinc Oxide Films for Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Xue Zhang

    2017-07-01

    Full Text Available We investigated the influence of low-concentration indium (In doping on the chemical and structural properties of solution-processed zinc oxide (ZnO films and the electrical characteristics of bottom-gate/top-contact In-doped ZnO thin-film transistors (TFTs. The thermogravimetry and differential scanning calorimetry analysis results showed that thermal annealing at 400 °C for 40 min produces In-doped ZnO films. As the In content of ZnO films was increased from 1% to 9%, the metal-oxygen bonding increased from 5.56% to 71.33%, while the metal-hydroxyl bonding decreased from 72.03% to 9.63%. The X-ray diffraction peaks and field-emission scanning microscope images of the ZnO films with different In concentrations revealed a better crystalline quality and reduced grain size of the solution-processed ZnO thin films. The thickness of the In-doped ZnO films also increased when the In content was increased up to 5%; however, the thickness decreased on further increasing the In content. The field-effect mobility and on/off current ratio of In-doped ZnO TFTs were notably affected by any change in the In concentration. Considering the overall TFT performance, the optimal In doping concentration in the solution-processed ZnO semiconductor was determined to be 5% in this study. These results suggest that low-concentration In incorporation is crucial for modulating the morphological characteristics of solution-processed ZnO thin films and the TFT performance.

  15. Fully transparent thin-film transistor devices based on SnO2 nanowires.

    Science.gov (United States)

    Dattoli, Eric N; Wan, Qing; Guo, Wei; Chen, Yanbin; Pan, Xiaoqing; Lu, Wei

    2007-08-01

    We report on studies of field-effect transistor (FET) and transparent thin-film transistor (TFT) devices based on lightly Ta-doped SnO2 nano-wires. The nanowire-based devices exhibit uniform characteristics with average field-effect mobilities exceeding 100 cm2/V x s. Prototype nano-wire-based TFT (NW-TFT) devices on glass substrates showed excellent optical transparency and transistor performance in terms of transconductance, bias voltage range, and on/off ratio. High on-currents and field-effect mobilities were obtained from the NW-TFT devices even at low nanowire coverage. The SnO2 nanowire-based TFT approach offers a number of desirable properties such as low growth cost, high electron mobility, and optical transparency and low operation voltage, and may lead to large-scale applications of transparent electronics on diverse substrates.

  16. Electrical characteristics of top contact pentacene organic thin film

    Indian Academy of Sciences (India)

    Organic thin film transistors (OTFTs) were fabricated using pentacene as the active layer with two different gate dielectrics, namely SiO2 and poly(methyl methacrylate) (PMMA), in top contact geometry for comparative studies. OTFTs with SiO2 as dielectric and gold deposited on the rough side of highly doped silicon (n+ -Si) ...

  17. Tungsten oxide proton conducting films for low-voltage transparent oxide-based thin-film transistors

    International Nuclear Information System (INIS)

    Zhang, Hongliang; Wan, Qing; Wan, Changjin; Wu, Guodong; Zhu, Liqiang

    2013-01-01

    Tungsten oxide (WO x ) electrolyte films deposited by reactive magnetron sputtering showed a high room temperature proton conductivity of 1.38 × 10 −4 S/cm with a relative humidity of 60%. Low-voltage transparent W-doped indium-zinc-oxide thin-film transistors gated by WO x -based electrolytes were self-assembled on glass substrates by one mask diffraction method. Enhancement mode operation with a large current on/off ratio of 4.7 × 10 6 , a low subthreshold swing of 108 mV/decade, and a high field-effect mobility 42.6 cm 2 /V s was realized. Our results demonstrated that WO x -based proton conducting films were promising gate dielectric candidates for portable low-voltage oxide-based devices.

  18. Wireless thin film transistor based on micro magnetic induction coupling antenna.

    Science.gov (United States)

    Jun, Byoung Ok; Lee, Gwang Jun; Kang, Jong Gu; Kim, Seunguk; Choi, Ji-Woong; Cha, Seung Nam; Sohn, Jung Inn; Jang, Jae Eun

    2015-12-22

    A wireless thin film transistor (TFT) structure in which a source/drain or a gate is connected directly to a micro antenna to receive or transmit signals or power can be an important building block, acting as an electrical switch, a rectifier or an amplifier, for various electronics as well as microelectronics, since it allows simple connection with other devices, unlike conventional wire connections. An amorphous indium gallium zinc oxide (α-IGZO) TFT with magnetic antenna structure was fabricated and studied for this purpose. To enhance the induction coupling efficiency while maintaining the same small antenna size, a magnetic core structure consisting of Ni and nanowires was formed under the antenna. With the micro-antenna connected to a source/drain or a gate of the TFT, working electrical signals were well controlled. The results demonstrated the device as an alternative solution to existing wire connections which cause a number of problems in various fields such as flexible/wearable devices, body implanted devices, micro/nano robots, and sensors for the 'internet of things' (IoT).

  19. Wireless thin film transistor based on micro magnetic induction coupling antenna

    Science.gov (United States)

    Jun, Byoung Ok; Lee, Gwang Jun; Kang, Jong Gu; Kim, Seunguk; Choi, Ji-Woong; Cha, Seung Nam; Sohn, Jung Inn; Jang, Jae Eun

    2015-12-01

    A wireless thin film transistor (TFT) structure in which a source/drain or a gate is connected directly to a micro antenna to receive or transmit signals or power can be an important building block, acting as an electrical switch, a rectifier or an amplifier, for various electronics as well as microelectronics, since it allows simple connection with other devices, unlike conventional wire connections. An amorphous indium gallium zinc oxide (α-IGZO) TFT with magnetic antenna structure was fabricated and studied for this purpose. To enhance the induction coupling efficiency while maintaining the same small antenna size, a magnetic core structure consisting of Ni and nanowires was formed under the antenna. With the micro-antenna connected to a source/drain or a gate of the TFT, working electrical signals were well controlled. The results demonstrated the device as an alternative solution to existing wire connections which cause a number of problems in various fields such as flexible/wearable devices, body implanted devices, micro/nano robots, and sensors for the ‘internet of things’ (IoT).

  20. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  1. Flexible Electronics: Integration Processes for Organic and Inorganic Semiconductor-Based Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2015-07-01

    Full Text Available Flexible and transparent electronics have been studied intensively during the last few decades. The technique establishes the possibility of fabricating innovative products, from flexible displays to radio-frequency identification tags. Typically, large-area polymeric substrates such as polypropylene (PP or polyethylene terephthalate (PET are used, which produces new requirements for the integration processes. A key element for flexible and transparent electronics is the thin-film transistor (TFT, as it is responsible for the driving current in memory cells, digital circuits or organic light-emitting devices (OLEDs. In this paper, we discuss some fundamental concepts of TFT technology. Additionally, we present a comparison between the use of the semiconducting organic small-molecule pentacene and inorganic nanoparticle semiconductors in order to integrate TFTs suitable for flexible electronics. Moreover, a technique for integration with a submicron resolution suitable for glass and foil substrates is presented.

  2. Nonlinear Transport in Organic Thin Film Transistors with Soluble Small Molecule Semiconductor.

    Science.gov (United States)

    Kim, Hyeok; Song, Dong-Seok; Kwon, Jin-Hyuk; Jung, Ji-Hoon; Kim, Do-Kyung; Kim, SeonMin; Kang, In Man; Park, Jonghoo; Tae, Heung-Sik; Battaglini, Nicolas; Lang, Philippe; Horowitz, Gilles; Bae, Jin-Hyuk

    2016-03-01

    Nonlinear transport is intensively explained through Poole-Frenkel (PF) transport mechanism in organic thin film transistors with solution-processed small molecules, which is, 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene. We outline a detailed electrical study that identifies the source to drain field dependent mobility. Devices with diverse channel lengths enable the extensive exhibition of field dependent mobility due to thermal activation of carriers among traps.

  3. Ultra-low power thin film transistors with gate oxide formed by nitric acid oxidation method

    International Nuclear Information System (INIS)

    Kobayashi, H.; Kim, W. B.; Matsumoto, T.

    2011-01-01

    We have developed a low temperature fabrication method of SiO 2 /Si structure by use of nitric acid, i.e., nitric acid oxidation of Si (NAOS) method, and applied it to thin film transistors (TFT). A silicon dioxide (SiO 2 ) layer formed by the NAOS method at room temperature possesses 1.8 nm thickness, and its leakage current density is as low as that of thermally grown SiO 2 layer with the same thickness formed at ∼900 deg C. The fabricated TFTs possess an ultra-thin NAOS SiO 2 /CVD SiO 2 stack gate dielectric structure. The ultrathin NAOS SiO 2 layer effectively blocks a gate leakage current, and thus, the thickness of the gate oxide layer can be decreased from 80 to 20 nm. The thin gate oxide layer enables to decrease the operation voltage to 2 V (cf. the conventional operation voltage of TFTs with 80 nm gate oxide: 12 V) because of the low threshold voltages, i.e., -0.5 V for P-ch TFTs and 0.5 V for N-ch TFTs, and thus the consumed power decreases to 1/36 of that of the conventional TFTs. The drain current increases rapidly with the gate voltage, and the sub-threshold voltage is ∼80 mV/dec. The low sub-threshold swing is attributable to the thin gate oxide thickness and low interface state density of the NAOS SiO 2 layer. (authors)

  4. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  5. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  6. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  7. Wavy Architecture Thin-Film Transistor for Ultrahigh Resolution Flexible Displays

    KAUST Repository

    Hanna, Amir Nabil; Kutbee, Arwa Talal; Subedi, Ram Chandra; Ooi, Boon S.; Hussain, Muhammad Mustafa

    2017-01-01

    A novel wavy-shaped thin-film-transistor (TFT) architecture, capable of achieving 70% higher drive current per unit chip area when compared with planar conventional TFT architectures, is reported for flexible display application. The transistor, due to its atypical architecture, does not alter the turn-on voltage or the OFF current values, leading to higher performance without compromising static power consumption. The concept behind this architecture is expanding the transistor's width vertically through grooved trenches in a structural layer deposited on a flexible substrate. Operation of zinc oxide (ZnO)-based TFTs is shown down to a bending radius of 5 mm with no degradation in the electrical performance or cracks in the gate stack. Finally, flexible low-power LEDs driven by the respective currents of the novel wavy, and conventional coplanar architectures are demonstrated, where the novel architecture is able to drive the LED at 2 × the output power, 3 versus 1.5 mW, which demonstrates the potential use for ultrahigh resolution displays in an area efficient manner.

  8. Novel top-contact monolayer pentacene-based thin-film transistor for ammonia gas detection.

    Science.gov (United States)

    Mirza, Misbah; Wang, Jiawei; Li, Dexing; Arabi, S Atika; Jiang, Chao

    2014-04-23

    We report on the fabrication of an organic field-effect transistor (OFET) of a monolayer pentacene thin film with top-contact electrodes for the aim of ammonia (NH3) gas detection by monitoring changes in its drain current. A top-contact configuration, in which source and drain electrodes on a flexible stamp [poly(dimethylsiloxane)] were directly contacted with the monolayer pentacene film, was applied to maintain pentacene arrangement ordering and enhance the monolayer OFET detection performance. After exposure to NH3 gas, the carrier mobility at the monolayer OFET channel decreased down to one-third of its original value, leading to a several orders of magnitude decrease in the drain current, which tremendously enhanced the gas detection sensitivity. This sensitivity enhancement to a limit of the 10 ppm level was attributed to an increase of charge trapping in the carrier channel, and the amount of trapped states was experimentally evaluated by the threshold voltage shift induced by the absorbed NH3 molecular analyte. In contrast, a conventional device with a 50-nm-thick pentacene layer displayed much higher mobility but lower response to NH3 gas, arising from the impediment of analyte penetrating into the conductive channel, owing to the thick pentacene film.

  9. Performance enhancement of pentacene-based organic thin-film transistors using 6,13-pentacenequinone as a carrier injection interlayer

    Science.gov (United States)

    Fan, Ching-Lin; Lin, Wei-Chun; Chen, Hao-Wei

    2018-06-01

    This work demonstrates pentacene-based organic thin-film transistors (OTFTs) fabricated by inserting a 6,13-pentacenequinone (PQ) carrier injection layer between the source/drain (S/D) metal Au electrodes and pentacene channel layer. Compared to devices without a PQ layer, the performance characteristics including field-effect mobility, threshold voltage, and On/Off current ratio were significantly improved for the device with a 5-nm-thick PQ interlayer. These improvements are attributed to significant reduction of hole barrier height at the Au/pentacene channel interfaces. Therefore, it is believed that using PQ as the carrier injection layer is a good candidate to improve the pentacene-based OTFTs electrical performance.

  10. MIS field effect transistor with barium titanate thin film as a gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Firek, P., E-mail: pfirek@elka.pw.edu.p [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Werbowy, A.; Szmidt, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland)

    2009-11-25

    The properties of barium titanate (BaTiO{sub 3}, BT) like, e.g. high dielectric constant and resistivity, allow it to find numerous applications in field of microelectronics. In this work silicon metal insulator semiconductor field effect transistor (MISFET) structures with BaTiO{sub 3} (containing La{sub 2}O{sub 3} admixture) thin films in a role of gate insulator were investigated. The films were produced by means of radio frequency plasma sputtering (RF PS) of sintered BaTiO{sub 3} + La{sub 2}O{sub 3} (2 wt.%) target. In the paper transfer and output current-voltage (I-V), transconductance and output conductance characteristics of obtained transistors are presented and discussed. Basic parameters of these devices like, e.g. threshold voltage (V{sub TH}), are determined and discussed.

  11. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    International Nuclear Information System (INIS)

    Hanna, A. N.; Ghoneim, M. T.; Bahabry, R. R.; Hussain, A. M.; Hussain, M. M.

    2013-01-01

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions

  12. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    KAUST Repository

    Hanna, Amir; Ghoneim, Mohamed T.; Bahabry, Rabab R.; Hussain, Aftab M.; Hussain, Muhammad Mustafa

    2013-01-01

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions.

  13. Zinc oxide integrated area efficient high output low power wavy channel thin film transistor

    KAUST Repository

    Hanna, Amir

    2013-11-26

    We report an atomic layer deposition based zinc oxide channel material integrated thin film transistor using wavy channel architecture allowing expansion of the transistor width in the vertical direction using the fin type features. The experimental devices show area efficiency, higher normalized output current, and relatively lower power consumption compared to the planar architecture. This performance gain is attributed to the increased device width and an enhanced applied electric field due to the architecture when compared to a back gated planar device with the same process conditions.

  14. Low-Temperature Solution-Processed Gate Dielectrics for High-Performance Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Jaekyun Kim

    2015-10-01

    Full Text Available A low-temperature solution-processed high-k gate dielectric layer for use in a high-performance solution-processed semiconducting polymer organic thin-film transistor (OTFT was demonstrated. Photochemical activation of sol-gel-derived AlOx films under 150 °C permitted the formation of a dense film with low leakage and relatively high dielectric-permittivity characteristics, which are almost comparable to the results yielded by the conventionally used vacuum deposition and high temperature annealing method. Octadecylphosphonic acid (ODPA self-assembled monolayer (SAM treatment of the AlOx was employed in order to realize high-performance (>0.4 cm2/Vs saturation mobility and low-operation-voltage (<5 V diketopyrrolopyrrole (DPP-based OTFTs on an ultra-thin polyimide film (3-μm thick. Thus, low-temperature photochemically-annealed solution-processed AlOx film with SAM layer is an attractive candidate as a dielectric-layer for use in high-performance organic TFTs operated at low voltages.

  15. Physical characterization of amorphous In-Ga-Zn-O thin-film transistors with direct-contact asymmetric graphene electrode

    Directory of Open Access Journals (Sweden)

    Jaewook Jeong

    2014-09-01

    Full Text Available High performance a-IGZO thin-film transistors (TFTs are fabricated using an asymmetric graphene drain electrode structure. A-IGZO TFTs (channel length = 3 μm were successfully demonstrated with a saturation field-effect mobility of 6.6 cm2/Vs without additional processes between the graphene and a-IGZO layer. The graphene/a-IGZO junction exhibits Schottky characteristics and the contact property is affected not only by the Schottky barrier but also by the parasitic resistance from the depletion region under the graphene electrode. Therefore, to utilize the graphene layer as S/D electrodes for a-IGZO TFTs, an asymmetric electrode is essential, which can be easily applied to the conventional pixel electrode structure.

  16. Channel formation in single-monolayer pentacene thin film transistors

    International Nuclear Information System (INIS)

    Park, B-N; Seo, Soonjoo; Evans, Paul G

    2007-01-01

    The geometrical arrangement of single-molecule-high islands and the contact between them have large roles in determining the electrical properties of field effect transistors (FETs) based on monolayer-scale pentacene thin films. As the pentacene coverage increases through the submonolayer regime there is a percolation transition where islands come into contact and a simultaneous rapid onset of current. At coverages just above the percolation threshold, the electrical properties vary with geometrical changes in the contacts between the pentacene islands. At higher coverages, the FET mobility is much lower than the mobility measured by the van der Pauw method because of high contact resistances in monolayer-scale pentacene film devices. An increase in the van der Pauw mobility of holes as a function of pentacene coverage shows that second layer islands take part in charge transport

  17. Indium-tin-oxide thin film transistor biosensors for label-free detection of avian influenza virus H5N1

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Di; Zhuo, Ming [Key Laboratory for Micro-Nano Optoelectronic Devices of Ministry of Education, State Key Laboratory for Chemo/Biosensing and Chemometrics, Hunan University, Changsha 410082 (China); Zhang, Xiaoai [State Key Laboratory of Pathogen and Biosecurity, Beijing Institute of Microbiology and Epidemiology, Beijing (China); Xu, Cheng; Jiang, Jie [Key Laboratory for Micro-Nano Optoelectronic Devices of Ministry of Education, State Key Laboratory for Chemo/Biosensing and Chemometrics, Hunan University, Changsha 410082 (China); Gao, Fu [State Key Laboratory of Pathogen and Biosecurity, Beijing Institute of Microbiology and Epidemiology, Beijing (China); Wan, Qing, E-mail: wanqing7686@hotmail.com [Key Laboratory for Micro-Nano Optoelectronic Devices of Ministry of Education, State Key Laboratory for Chemo/Biosensing and Chemometrics, Hunan University, Changsha 410082 (China); Li, Qiuhong, E-mail: liqiuhong2004@hotmail.com [Key Laboratory for Micro-Nano Optoelectronic Devices of Ministry of Education, State Key Laboratory for Chemo/Biosensing and Chemometrics, Hunan University, Changsha 410082 (China); Wang, Taihong, E-mail: thwang@hnu.cn [Key Laboratory for Micro-Nano Optoelectronic Devices of Ministry of Education, State Key Laboratory for Chemo/Biosensing and Chemometrics, Hunan University, Changsha 410082 (China)

    2013-04-22

    Highlights: ► A highly selective label-free biosensor is established based on indium-tin-oxide thin-film transistors (ITO TFTs). ► AI H5N1 virus was successfully detected through shift in threshold voltage and field-effect mobility of ITO TFT. ► The ITO TFT is applied in biosensor for the first time and shows good reusability and stability. ► Fabrication of the platform is simple with low cost, which is suitable for mass commercial production. -- Abstract: As continuous outbreak of avian influenza (AI) has become a threat to human health, economic development and social stability, it is urgently necessary to detect the highly pathogenic avian influenza H5N1 virus quickly. In this study, we fabricated indium-tin-oxide thin-film transistors (ITO TFTs) on a glass substrate for the detecting of AI H5N1. The ITO TFT is fabricated by a one-shadow-mask process in which a channel layer can be simultaneously self-assembled between ITO source/drain electrodes during magnetron sputtering deposition. Monoclonal anti-H5N1 antibodies specific for AI H5N1 virus were covalently immobilized on the ITO channel by (3-glycidoxypropyl)trimethoxysilane. The introduction of target AI H5N1 virus affected the electronic properties of the ITO TFT, which caused a change in the resultant threshold voltage (V{sub T}) and field-effect mobility. The changes of I{sub D}–V{sub G} curves were consistent with an n-type field effect transistor behavior affected by nearby negatively charged AI H5N1 viruses. The transistor based sensor demonstrated high selectivity and stability for AI H5N1 virus sensing. The sensor showed linear response to AI H5N1 in the concentrations range from 5 × 10{sup −9} g mL{sup −1} to 5 × 10{sup −6} g mL{sup −1} with a detection limit of 0.8 × 10{sup −10} g mL{sup −1}. Moreover, the ITO TFT biosensors can be repeatedly used through the washing processes. With its excellent electric properties and the potential for mass commercial production, ITO TFTs

  18. Dimethylaluminum hydride for atomic layer deposition of Al2O3 passivation for amorphous InGaZnO thin-film transistors

    Science.gov (United States)

    Corsino, Dianne C.; Bermundo, Juan Paolo S.; Fujii, Mami N.; Takahashi, Kiyoshi; Ishikawa, Yasuaki; Uraoka, Yukiharu

    2018-06-01

    Atomic layer deposition (ALD) of Al2O3 using dimethylaluminum hydride (DMAH) was demonstrated as an effective passivation for amorphous InGaZnO thin-film transistors (TFTs). Compared with the most commonly used precursor, trimethylaluminum, TFTs fabricated with DMAH showed improved stability, resulting from the lower amount of oxygen vacancies, and hence fewer trap sites, as shown by X-ray photoelectron spectroscopy (XPS) depth profiling analysis. We found that prolonged plasma exposure during ALD can eliminate the hump phenomenon, which is only present for DMAH. The higher Al2O3 deposition rate when using DMAH is in line with the requirements of emerging techniques, such as spatial ALD, for improving fabrication throughput.

  19. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    Science.gov (United States)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  20. Fabrication of Amorphous Indium Gallium Zinc Oxide Thin Film Transistor by using Focused Ion Beam

    Science.gov (United States)

    Zhu, Wencong

    Compared with other transparent semiconductors, amorphous indium gallium zinc oxide (a-IGZO) has both good uniformity and high electron mobility, which make it as a good candidate for displays or large-scale transparent circuit. The goal of this research is to fabricate alpha-IGZO thin film transistor (TFT) with channel milled by focused ion beam (FIB). TFTs with different channel geometries can be achieved by applying different milling strategies, which facilitate modifying complex circuit. Technology Computer-Aided Design (TCAD) was also introduced to understand the effect of trapped charges on the device performance. The investigation of the trapped charge at IGZO/SiO2 interface was performed on the IGZO TFT on p-Silicon substrate with thermally grown SiO2 as dielectric. The subgap density-of-state model was used for the simulation, which includes conduction band-tail trap states and donor-like state in the subgap. The result shows that the de-trapping and donor-state ionization determine the interface trapped charge density at various gate biases. Simulation of IGZO TFT with FIB defined channel on the same substrate was also applied. The drain and source were connected intentionally during metal deposition and separated by FIB milling. Based on the simulation, the Ga ions in SiO2 introduced by the ion beam was drifted by gate bias and affects the saturation drain current. Both side channel and direct channel transparent IGZO TFTs were fabricated on the glass substrate with coated ITO. Higher ion energy (30 keV) was used to etch through the substrate between drain and source and form side channels at the corner of milled trench. Lower ion energy (16 keV) was applied to stop the milling inside IGZO thin film and direct channel between drain and source was created. Annealing after FIB milling removed the residual Ga ions and the devices show switch feature. Direct channel shows higher saturation drain current (~10-6 A) compared with side channel (~10-7 A) because

  1. Transfer-free fabrication of graphene transistors

    OpenAIRE

    Wessely, P.J.; Wessely, F.; Birinci, E.; Schwalke, U.; Riedinger, B.

    2012-01-01

    The authors invented a method to fabricate graphene transistors on oxidized silicon wafers without the need to transfer graphene layers. To stimulate the growth of graphene layers on oxidized silicon, a catalyst system of nanometer thin aluminum/nickel double layer is used. This catalyst system is structured via liftoff before the wafer enters the catalytic chemical vapor deposition (CCVD) chamber. In the subsequent methane-based growth process, monolayer graphene field-effect transistors and...

  2. Temperature Dependence of Field-Effect Mobility in Organic Thin-Film Transistors: Similarity to Inorganic Transistors.

    Science.gov (United States)

    Okada, Jun; Nagase, Takashi; Kobayashi, Takashi; Naito, Hiroyoshi

    2016-04-01

    Carrier transport in solution-processed organic thin-film transistors (OTFTs) based on dioctylbenzothienobenzothiophene (C8-BTBT) has been investigated in a wide temperature range from 296 to 10 K. The field-effect mobility shows thermally activated behavior whose activation energy becomes smaller with decreasing temperature. The temperature dependence of field-effect mobility found in C8-BTBT is similar to that of others materials: organic semiconducting polymers, amorphous oxide semiconductors and hydrogenated amorphous silicon. These results indicate that hopping transport between isoenergetic localized states becomes dominated in a low temperature regime in these materials.

  3. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2014-08-01

    Full Text Available Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV exposure and backside-lift-off (BLO schemes can not only prevent the damage when etching the source/drain (S/D electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs exhibit comparable field-effect mobility (9.5 cm2/V·s, threshold voltage (3.39 V, and subthreshold swing (0.3 V/decade. The delay time of an inverter fabricated using the proposed process was considerably decreased.

  4. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme.

    Science.gov (United States)

    Fan, Ching-Lin; Shang, Ming-Chi; Li, Bo-Jyun; Lin, Yu-Zuo; Wang, Shea-Jue; Lee, Win-Der

    2014-08-11

    Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV) exposure and backside-lift-off (BLO) schemes can not only prevent the damage when etching the source/drain (S/D) electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs) exhibit comparable field-effect mobility (9.5 cm²/V·s), threshold voltage (3.39 V), and subthreshold swing (0.3 V/decade). The delay time of an inverter fabricated using the proposed process was considerably decreased.

  5. Silicon on insulator self-aligned transistors

    Science.gov (United States)

    McCarthy, Anthony M.

    2003-11-18

    A method for fabricating thin-film single-crystal silicon-on-insulator (SOI) self-aligned transistors. Standard processing of silicon substrates is used to fabricate the transistors. Physical spaces, between the source and gate, and the drain and gate, introduced by etching the polysilicon gate material, are used to provide connecting implants (bridges) which allow the transistor to perform normally. After completion of the silicon substrate processing, the silicon wafer is bonded to an insulator (glass) substrate, and the silicon substrate is removed leaving the transistors on the insulator (glass) substrate. Transistors fabricated by this method may be utilized, for example, in flat panel displays, etc.

  6. Effects of vacuum annealing on the optical and electrical properties of p-type copper-oxide thin-film transistors

    International Nuclear Information System (INIS)

    Sohn, Joonsung; Song, Sang-Hun; Kwon, Hyuck-In; Nam, Dong-Woo; Cho, In-Tak; Lee, Jong-Ho; Cho, Eou-Sik

    2013-01-01

    We have investigated the effects of vacuum annealing on the optical and electrical properties of the p-type copper-oxide thin-film transistors (TFTs). The vacuum annealing of the copper-oxide thin-film was performed using the RF magnetron sputter at various temperatures. From the x-ray diffraction and UV-vis spectroscopy, it is demonstrated that the high-temperature vacuum annealing reduces the copper-oxide phase from CuO to Cu 2 O, and increases the optical transmittance in the visible part of the spectrum. The fabricated copper-oxide TFT does not exhibit the switching behavior under low-temperature vacuum annealing conditions. However, as the annealing temperature increases, the drain current begins to be modulated by a gate voltage, and the TFT exhibits a high current on–off ratio over 10 4 as the vacuum annealing temperature increases over 450 °C. These results show that the vacuum annealing process can be an effective method of simultaneously improving the optical and electrical performances in p-type copper-oxide TFTs. (paper)

  7. The influence of sputtering power and O2/Ar flow ratio on the performance and stability of Hf-In-Zn-O thin film transistors under illumination

    International Nuclear Information System (INIS)

    Kim, Hyun-Suk; Park, Kyung-Bae; Son, Kyoung Seok; Park, Joon Seok; Maeng, Wan-Joo; Kim, Tae Sang; Lee, Kwang-Hee; Kim, Eok Su; Lee, Jiyoul; Suh, Joonki; Seon, Jong-Baek; Ryu, Myung Kwan; Lee, Sang Yoon; Lee, Kimoon; Im, Seongil

    2010-01-01

    The performance and stability of amorphous HfInZnO thin film transistors under visible light illumination were studied. The extent of device degradation upon negative bias stress with the presence of visible light is found to be strongly sensitive to the extent of photoelectric effect in the oxide semiconductor. Highly stable devices were fabricated by optimizing the deposition conditions of HfInZnO films, where the combination of high sputtering power and high O 2 /Ar gas flow ratio was found to result in the highest stability under bias stress experiments.

  8. Flip-flop logic circuit based on fully solution-processed organic thin film transistor devices with reduced variations in electrical performance

    Science.gov (United States)

    Takeda, Yasunori; Yoshimura, Yudai; Adib, Faiz Adi Ezarudin Bin; Kumaki, Daisuke; Fukuda, Kenjiro; Tokito, Shizuo

    2015-04-01

    Organic reset-set (RS) flip-flop logic circuits based on pseudo-CMOS inverters have been fabricated using full solution processing at a relatively low process temperatures of 150 °C or less. The work function for printed silver electrodes was increased from 4.7 to 5.4 eV through surface modification with a self-assembled monolayer (SAM) material. A bottom-gate, bottom-contact organic thin-film transistor (OTFT) device using a solution-processable small-molecular semiconductor material exhibited field-effect mobility of 0.40 cm2 V-1 s-1 in the saturation region and a threshold voltage (VTH) of -2.4 V in ambient air operation conditions. In order to reduce the variations in mobility and VTH, we designed a circuit with six transistors arranged in parallel, in order to average out their electrical characteristics. As a result, we have succeeded in reducing these variations without changing the absolute values of the mobility and VTH. The fabricated RS flip-flop circuits were functioned well and exhibited short delay times of 3.5 ms at a supply voltage of 20 V.

  9. Effects of germane flow rate in electrical properties of a-SiGe:H films for ambipolar thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Dominguez, Miguel, E-mail: madominguezj@gmail.com [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Rosales, Pedro, E-mail: prosales@inaoep.mx [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Torres, Alfonso [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Flores, Francisco [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Molina, Joel; Moreno, Mario [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Luna, Jose [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Orduña, Abdu [Centro de Investigación en Biotecnología Aplicada (CIBA), IPN, Tlaxcala, Tlaxcala 72197 (Mexico)

    2014-07-01

    In this work, the study of germane flow rate in electrical properties of a-SiGe:H films is presented. The a-SiGe:H films deposited by low frequency plasma-enhanced chemical vapor deposition at 300 °C were characterized by Fourier transform infrared spectroscopy, measurements of temperature dependence of conductivity and UV–visible spectroscopic ellipsometry. After finding the optimum germane flow rate conditions, a-SiGe:H films were deposited at 200 °C and analyzed. The use of a-SiGe:H films at 200 °C as active layer of low-temperature ambipolar thin-film transistors (TFTs) was demonstrated. The inverted staggered a-SiGe:H TFTs with Spin-On Glass as gate insulator were fabricated. These results suggest that there is an optimal Ge content in the a-SiGe:H films that improves its electrical properties. - Highlights: • As the GeH{sub 4} flow rate increases the content of oxygen decreases. • Ge-H bonds show the highest value in a-SiGe:H films with GeH{sub 4} flow of 105 sccm. • Films with GeH{sub 4} flow of 105 sccm show the highest activation energy. • An optimum incorporation of germanium is obtained with GeH{sub 4} flow rate of 105 sccm. • At 200 °C the optimum condition of the a-SiGe:H films remain with no changes.

  10. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    Science.gov (United States)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  11. In-Ga-Zn-oxide thin-film transistors with Sb2TeOx gate insulators fabricated by reactive sputtering using a metallic Sb2Te target

    International Nuclear Information System (INIS)

    Cheong, Woo-Seok

    2011-01-01

    Using reactive sputtering, we made transparent amorphous Sb 2 TeO x thin films from a metallic Sb 2 Te target in an oxidizing atmosphere. In-Ga-Zn-oxide thin-film transistors (IGZO TFTs) with Sb 2 TeO x gate insulators deposited at room temperature showed a large hysteresis with a counter clockwise direction, which was caused by mobile charges in the gate insulators. The problems of the mobile charges was solved by using Sb 2 TeO x films formed at 250 .deg. C. After the IGZO TFT had been annealed at 200 .deg. C for 1 hour in an O 2 ambient, the mobility of the IGZO TFT was 22.41 cm 2 /Vs, and the drain current on-off ratio was ∼10 8 .

  12. Effect of active layer deposition temperature on the performance of sputtered amorphous In—Ga—Zn—O thin film transistors

    International Nuclear Information System (INIS)

    Wu Jie; Shi Junfei; Dong Chengyuan; Chen Yuting; Zhou Daxiang; Hu Zhe; Zhan Runze; Zou Zhongfei

    2014-01-01

    The effect of active layer deposition temperature on the electrical performance of amorphous InGaZnO (a-IGZO) thin film transistors (TFTs) is investigated. With increasing annealing temperature, TFT performance is firstly improved and then degraded generally. Here TFTs with best performance defined as ''optimized-annealed'' are selected to study the effect of active layer deposition temperature. The field effect mobility reaches maximum at deposition temperature of 150 °C while the room-temperature fabricated device shows the best subthreshold swing and off-current. From Hall measurement results, the carrier concentration is much higher for intentional heated a-IGZO films, which may account for the high off-current in the corresponding TFT devices. XPS characterization results also reveal that deposition temperature affects the atomic ratio and O1s spectra apparently. Importantly, the variation of field effect mobility of a-IGZO TFTs with deposition temperature does not coincide with the tendencies in Hall mobility of a-IGZO thin films. Based on the further analysis of the experimental results on a-IGZO thin films and the corresponding TFT devices, the trap states at front channel interface rather than IGZO bulk layer properties may be mainly responsible for the variations of field effect mobility and subthreshold swing with IGZO deposition temperature. (semiconductor devices)

  13. Ga–Ge–Te amorphous thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Němec, P.; Nazabal, V.; Dussauze, M.; Ma, H.-L.; Bouyrie, Y.; Zhang, X.-H.

    2013-01-01

    UV pulsed laser deposition was employed for the fabrication of amorphous Ga–Ge–Te thin films. The local structure of the bulk glasses as well as corresponding thin films was studied using Raman scattering spectroscopy; the main structural motifs were found to be [GeTe 4 ], eventually [GaTe 4 ] corner-sharing tetrahedra and disordered Te chains. Optical functions of the films (refractive index, extinction coefficient) were characterized by variable angle spectroscopic ellipsometry. Photostability experiments showed all Ga–Ge–Te laser deposited films to be stable against 1550 nm laser irradiation in an as-deposited state. In an annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75 . This particular composition was further studied from the point of view of thermal stability and stability against ageing in as-deposited state. - Highlights: ► Pulsed laser deposition was used for fabrication of amorphous Ga–Ge–Te thin films. ► GeTe 4 , eventually GaTe 4 tetrahedra and disordered Te chains form the film structure. ► Optical functions of Ge–Ga–Te films were characterized by spectroscopic ellipsometry. ► All as-deposited Ga–Ge–Te thin films are stable against 1550 nm irradiation. ► In annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75

  14. Structures and electronic properties of thin-films of polycyclic aromatic hydrocarbons

    International Nuclear Information System (INIS)

    Natsume, Yutaka; Minakata, Takashi; Aoyagi, Takeshi

    2009-01-01

    We report the fabrication and characterization of organic thin-film transistors (TFTs) using several polycyclic aromatic hydrocarbons (PAHs). Pentacene, ovalene, dibenzocoronene and hexabenzocoronene were deposited as organic semiconductors on silicon wafers with gold electrodes as the bottom-contact configuration of the TFTs. The pentacene TFT showed the highest field-effect mobility of more than 0.1 cm 2 /Vs in comparison with the other PAHs. The results clarified that the high field-effect mobility of the pentacene thin film is due to large grain size and intrinsic electronic properties

  15. Bias Stability Enhancement in Thin-Film Transistor with a Solution-Processed ZrO2 Dielectric as Gate Insulator

    Directory of Open Access Journals (Sweden)

    Shangxiong Zhou

    2018-05-01

    Full Text Available In this paper, a high-k metal-oxide film (ZrO2 was successfully prepared by a solution-phase method, and whose physical properties were measured by X-ray diffraction (XRD, X-ray reflectivity (XRR and atomic force microscopy (AFM. Furthermore, indium–gallium–zinc oxide thin-film transistors (IGZO-TFTs with high-k ZrO2 dielectric layers were demonstrated, and the electrical performance and bias stability were investigated in detail. By spin-coating 0.3 M precursor six times, a dense ZrO2 film, with smoother surface and fewer defects, was fabricated. The TFT devices with optimal ZrO2 dielectric exhibit a saturation mobility up to 12.7 cm2 V−1 s−1, and an on/off ratio as high as 7.6 × 105. The offset of the threshold voltage was less than 0.6 V under positive and negative bias stress for 3600 s.

  16. A Confined Fabrication of Perovskite Quantum Dots in Oriented MOF Thin Film.

    Science.gov (United States)

    Chen, Zheng; Gu, Zhi-Gang; Fu, Wen-Qiang; Wang, Fei; Zhang, Jian

    2016-10-26

    Organic-inorganic hybrid lead organohalide perovskites are inexpensive materials for high-efficiency photovoltaic solar cells, optical properties, and superior electrical conductivity. However, the fabrication of their quantum dots (QDs) with uniform ultrasmall particles is still a challenge. Here we use oriented microporous metal-organic framework (MOF) thin film prepared by liquid phase epitaxy approach as a template for CH 3 NH 3 PbI 2 X (X = Cl, Br, and I) perovskite QDs fabrication. By introducing the PbI 2 and CH 3 NH 3 X (MAX) precursors into MOF HKUST-1 (Cu 3 (BTC) 2 , BTC = 1,3,5-benzene tricarboxylate) thin film in a stepwise approach, the resulting perovskite MAPbI 2 X (X = Cl, Br, and I) QDs with uniform diameters of 1.5-2 nm match the pore size of HKUST-1. Furthermore, the photoluminescent properties and stability in the moist air of the perovskite QDs loaded HKUST-1 thin film were studied. This confined fabrication strategy demonstrates that the perovskite QDs loaded MOF thin film will be insensitive to air exposure and offers a novel means of confining the uniform size of the similar perovskite QDs according to the oriented porous MOF materials.

  17. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Bhansali, Unnat. S.; Alshareef, Husam N.

    2012-01-01

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility

  18. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  19. Solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a polymer dielectric on a flexible substrate

    International Nuclear Information System (INIS)

    Shin, Sang-Il; Kwon, Jae-Hong; Ju, Byeong-Kwon; Kang, Hochul

    2008-01-01

    The authors report the fabrication of solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a cross-linked poly-4-vinylphenol (PVP) dielectric on a polyethersulphone (PES) substrate. The device exhibited useful electrical characteristics, including a saturation field effect mobility of 2.08 × 10 −2 cm 2 V −1 s −1 , a current on/off ratio of 10 5 , a threshold voltage of −2 V and an excellent subthreshold slope of 0.86 V/dec. It was demonstrated that the significant improvement in the subthreshold slope of TIPS-pentacene TFTs could be attributed to a decreased carrier trap density at the PVP/TIPS-pentacene film interface. Furthermore, a 1,2,3,4-tetrahydronaphthalene (Tetralin) solvent used in this study had a high boiling point, which had a positive effect on the morphology and the molecular ordering of the TIPS-pentacene film

  20. Understanding mobility degeneration mechanism in organic thin-film transistors (OTFT)

    Science.gov (United States)

    Wang, Wei; Wang, Long; Xu, Guangwei; Gao, Nan; Wang, Lingfei; Ji, Zhuoyu; Lu, Congyan; Lu, Nianduan; Li, Ling; Liu, Miwng

    2017-08-01

    Mobility degradation at high gate bias is often observed in organic thin film transistors. We propose a mechanism for this confusing phenomenon, based on the percolation theory with the presence of disordered energy landscape with an exponential density of states. Within a simple model we show how the surface states at insulator/organic interface trap a portion of channel carriers, and result in decrease of mobility as well as source/drain current with gate voltage. Depending on the competition between the carrier accumulation and surface trapping effect, two different carrier density dependences of mobility are obtained, in excellent agreement with experiment data.

  1. Temperature-dependent gate-swing hysteresis of pentacene thin film transistors

    Directory of Open Access Journals (Sweden)

    Yow-Jon Lin

    2014-10-01

    Full Text Available The temperature-dependent hysteresis-type transfer characteristics of pentacene-based organic thin film transistors (OTFTs were researched. The temperature-dependent transfer characteristics exhibit hopping conduction behavior. The fitting data for the temperature-dependent off-to-on and on-to-off transfer characteristics of OTFTs demonstrate that the hopping distance (ah and the barrier height for hopping (qϕt control the carrier flow, resulting in the hysteresis-type transfer characteristics of OTFTs. The hopping model gives an explanation of the gate-swing hysteresis and the roles played by qϕt and ah.

  2. Memory operation devices based on light-illumination ambipolar carbon-nanotube thin-film-transistors

    International Nuclear Information System (INIS)

    Aïssa, B.; Nedil, M.; Kroeger, J.; Haddad, T.; Rosei, F.

    2015-01-01

    We report the memory operation behavior of a light illumination ambipolar single-walled carbon nanotube thin film field-effect transistors devices. In addition to the high electronic-performance, such an on/off transistor-switching ratio of 10 4 and an on-conductance of 18 μS, these memory devices have shown a high retention time of both hole and electron-trapping modes, reaching 2.8 × 10 4  s at room temperature. The memory characteristics confirm that light illumination and electrical field can act as an independent programming/erasing operation method. This could be a fundamental step toward achieving high performance and stable operating nanoelectronic memory devices

  3. Array of organic thin film transistors integrated with organic light emitting diodes on a plastic substrate

    International Nuclear Information System (INIS)

    Ryu, Gi-Seong; Choe, Ki-Beom; Song, Chung-Kun

    2006-01-01

    In order to demonstrate the possible application of an organic thin film transistor (OTFT) to a flexible active matrix organic light emitting diode (OLED) an array of 64 x 64 pixels was fabricated on a 4-in. size poly-ethylene-terephehalate substrate. Each pixel was composed of one OTFT integrated with one OLED. OTFTs successfully drove OLEDs by varying current in a wide range and some images were displayed on the array by emitting green light. The OTFTs used poly(4-vinylphenol) for the gate and pentacene for the semiconductor taking account compatibility with the PET substrate. The average mobility in the array was 0.2 cm 2 /V.s, which was reduced from 1.0 cm 2 /V.s in a single OTFT, and its variation over the entire substrate was 10%

  4. Periodically pulsed wet annealing approach for low-temperature processable amorphous InGaZnO thin film transistors with high electrical performance and ultrathin thickness

    OpenAIRE

    Kim, Ye Kyun; Ahn, Cheol Hyoun; Yun, Myeong Gu; Cho, Sung Woon; Kang, Won Jun; Cho, Hyung Koun

    2016-01-01

    In this paper, a simple and controllable ?wet pulse annealing? technique for the fabrication of flexible amorphous InGaZnO thin film transistors (a-IGZO TFTs) processed at low temperature (150??C) by using scalable vacuum deposition is proposed. This method entailed the quick injection of water vapor for 0.1?s and purge treatment in dry ambient in one cycle; the supply content of water vapor was simply controlled by the number of pulse repetitions. The electrical transport characteristics rev...

  5. Effect of Ti Doping to Maintain Structural Disorder in InOx-Based Thin-Film Transistors Fabricated by RF Magnetron Sputtering

    Science.gov (United States)

    Aikawa, Shinya

    2017-12-01

    The effect of Ti doping in an indium oxide (InOx)-based semiconductor is investigated for the thin-film transistor (TFT) property and crystal structure of the film. InOx and Ti-doped InOx (InTiOx) films deposited by RF magnetron sputtering under the same O2 partial pressure conditions were systematically compared. The TFT behavior of the InOx showed higher conductivity than that of the InTiOx and was drastically changed to metallic conduction after annealing at 150 °C. Under the annealing conditions when the electrical transition to the metallic behavior occurred, the InOx film was crystallized. The X-ray diffraction analysis revealed that the shrinkage of the In2O3 unit cell is pronounced in the case of InOx films. Thus, Ti dopants may play the role as a suppressor for shrinkage of the unit cell, i.e. maintaining neighboring In-In distances, in addition to suppression of oxygen vacancies. The In-In distance, which is related to the overlapping of In 5 s orbitals, is considered to be one of the key factor for which InOx-based materials are utilized as conducting films or semiconducting channels.

  6. Inverter Circuits Using ZnO Nanoparticle Based Thin-Film Transistors for Flexible Electronic Applications.

    Science.gov (United States)

    Vidor, Fábio F; Meyers, Thorsten; Hilleringmann, Ulrich

    2016-08-23

    Innovative systems exploring the flexibility and the transparency of modern semiconducting materials are being widely researched by the scientific community and by several companies. For a low-cost production and large surface area applications, thin-film transistors (TFTs) are the key elements driving the system currents. In order to maintain a cost efficient integration process, solution based materials are used as they show an outstanding tradeoff between cost and system complexity. In this paper, we discuss the integration process of ZnO nanoparticle TFTs using a high- k resin as gate dielectric. The performance in dependence on the transistor structure has been investigated, and inverted staggered setups depict an improved performance over the coplanar device increasing both the field-effect mobility and the I ON / I OFF ratio. Aiming at the evaluation of the TFT characteristics for digital circuit applications, inverter circuits using a load TFT in the pull-up network and an active TFT in the pull-down network were integrated. The inverters show reasonable switching characteristics and V / V gains. Conjointly, the influence of the geometry ratio and the supply voltage on the devices have been analyzed. Moreover, as all integration steps are suitable to polymeric templates, the fabrication process is fully compatible to flexible substrates.

  7. Inverter Circuits Using ZnO Nanoparticle Based Thin-Film Transistors for Flexible Electronic Applications

    Directory of Open Access Journals (Sweden)

    Fábio F. Vidor

    2016-08-01

    Full Text Available Innovative systems exploring the flexibility and the transparency of modern semiconducting materials are being widely researched by the scientific community and by several companies. For a low-cost production and large surface area applications, thin-film transistors (TFTs are the key elements driving the system currents. In order to maintain a cost efficient integration process, solution based materials are used as they show an outstanding tradeoff between cost and system complexity. In this paper, we discuss the integration process of ZnO nanoparticle TFTs using a high-k resin as gate dielectric. The performance in dependence on the transistor structure has been investigated, and inverted staggered setups depict an improved performance over the coplanar device increasing both the field-effect mobility and the ION/IOFF ratio. Aiming at the evaluation of the TFT characteristics for digital circuit applications, inverter circuits using a load TFT in the pull-up network and an active TFT in the pull-down network were integrated. The inverters show reasonable switching characteristics and V/V gains. Conjointly, the influence of the geometry ratio and the supply voltage on the devices have been analyzed. Moreover, as all integration steps are suitable to polymeric templates, the fabrication process is fully compatible to flexible substrates.

  8. Characterization of Ag nanostructures fabricated by laser-induced dewetting of thin films

    Energy Technology Data Exchange (ETDEWEB)

    Nikov, Ru.G., E-mail: rumen_nikov24@abv.bg [Institute of Electronics, Bulgarian Academy of Sciences, Tzarigradsko Chaussee 72, Sofia 1784 (Bulgaria); Nedyalkov, N.N.; Atanasov, P.A. [Institute of Electronics, Bulgarian Academy of Sciences, Tzarigradsko Chaussee 72, Sofia 1784 (Bulgaria); Hirsch, D.; Rauschenbach, B. [Leibniz Institute of Surface Modification (IOM), 15 Permoserstrasse, D-04318 Leipzig (Germany); Grochowska, K.; Sliwinski, G. [Centre for Plasma and Laser Engineering, The Szewalski Institute, Polish Academy of Sciences, 14 Fiszera St., 80-231 Gdansk (Poland)

    2016-06-30

    Highlights: • Laser processing of Ag films produces nanoparticles with narrow size distribution. • The parameters of the nanoparticle array depend on the environment at annealing. • Raman analysis indicates that the fabricated structures can be used in SERS. - Abstract: The paper presents results on laser nanostructuring of Ag thin films. The thin films are deposited on glass substrates by pulsed laser deposition technology. The as fabricated films are then annealed by nanosecond laser pulses delivered by Nd:YAG laser system operated at λ = 355 nm. The film modification is studied as a function of the film thickness and the parameters of the laser irradiation as pulse number and laser fluence. In order to estimate the influence of the environment on the characteristics of the fabricated structures the Ag films are annealed in different surrounding media: water, air and vacuum. It is found that at certain conditions the laser treatment may lead to decomposition of the films into a monolayer of nanoparticles with narrow size distribution. The optical properties of the fabricated nanostructures are investigated on the basis of transmission spectra taken by optical spectrometer. In the measured spectra plasmon resonance band is observed as its shape and position vary depending on the processing conditions. The fabricated structures are covered with Rhodamine 6G and tested as active substrates for Surface Enhanced Raman Spectroscopy (SERS).

  9. Low-temperature formation of source–drain contacts in self-aligned amorphous oxide thin-film transistors

    NARCIS (Netherlands)

    Nag, M.; Muller, R.N.; Steudel, S.; Smout, S.; Bhoolokam, A.; Myny, K.; Schols, S.; Genoe, J.; Cobb, B.; Kumar, Abhishek; Gelinck, G.H.; Fukui, Y.; Groeseneken, G.; Heremans, P.

    2015-01-01

    We demonstrated self-aligned amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistors (TFTs) where the source–drain (S/D) regions were made conductive via chemical reduction of the a-IGZO via metallic calcium (Ca). Due to the higher chemical reactivity of Ca, the process can be operated at

  10. Fabrication of organic-inorganic perovskite thin films for planar solar cells via pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Yangang; Zhang, Xiaohang; Gong, Yunhui; Shin, Jongmoon; Wachsman, Eric D.; Takeuchi, Ichiro, E-mail: takeuchi@umd.edu [Department of Materials Science and Engineering, University of Maryland, College Park, Maryland 20740 (United States); Yao, Yangyi; Hsu, Wei-Lun; Dagenais, Mario [Department of Electrical and Computer Engineering, University of Maryland, College Park, Maryland 20740 (United States)

    2016-01-15

    We report on fabrication of organic-inorganic perovskite thin films using a hybrid method consisting of pulsed laser deposition (PLD) of lead iodide and spin-coating of methylammonium iodide. Smooth and highly crystalline CH{sub 3}NH{sub 3}PbI{sub 3} thin films have been fabricated on silicon and glass coated substrates with fluorine doped tin oxide using this PLD-based hybrid method. Planar perovskite solar cells with an inverted structure have been successfully fabricated using the perovskite films. Because of its versatility, the PLD-based hybrid fabrication method not only provides an easy and precise control of the thickness of the perovskite thin films, but also offers a straightforward platform for studying the potential feasibility in using other metal halides and organic salts for formation of the organic-inorganic perovskite structure.

  11. Fabrication of organic-inorganic perovskite thin films for planar solar cells via pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    Yangang Liang

    2016-01-01

    Full Text Available We report on fabrication of organic-inorganic perovskite thin films using a hybrid method consisting of pulsed laser deposition (PLD of lead iodide and spin-coating of methylammonium iodide. Smooth and highly crystalline CH3NH3PbI3 thin films have been fabricated on silicon and glass coated substrates with fluorine doped tin oxide using this PLD-based hybrid method. Planar perovskite solar cells with an inverted structure have been successfully fabricated using the perovskite films. Because of its versatility, the PLD-based hybrid fabrication method not only provides an easy and precise control of the thickness of the perovskite thin films, but also offers a straightforward platform for studying the potential feasibility in using other metal halides and organic salts for formation of the organic-inorganic perovskite structure.

  12. Impact of soft annealing on the performance of solution-processed amorphous zinc tin oxide thin-film transistors

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    It is demonstrated that soft annealing duration strongly affects the performance of solution-processed amorphous zinc tin oxide thin-film transistors. Prolonged soft annealing times are found to induce two important changes in the device: (i) a

  13. Highly reliable photosensitive organic-inorganic hybrid passivation layers for a-InGaZnO thin-film transistors

    Science.gov (United States)

    Bermundo, Juan Paolo; Ishikawa, Yasuaki; Yamazaki, Haruka; Nonaka, Toshiaki; Fujii, Mami N.; Uraoka, Yukiharu

    2015-07-01

    We report the fabrication of a photosensitive hybrid passivation material on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs) that greatly enhance its stability and improve its electrical characteristics. The hybrid passivation based on polysilsesquioxane is transparent and fabricated using a simple solution process. Because the passivation is photosensitive, dry etching was never performed during TFT fabrication. TFTs passivated with this material had a small threshold voltage shift of 0.5 V during positive bias stress, 0.5 V during negative bias stress, and -2.5 V during negative bias illumination stress. Furthermore, TFTs passivated by this layer were stable after being subjected to high relative humidity stress — confirming the superb barrier ability of the passivation. Analysis of secondary ion mass spectrometry showed that a large amount of hydrogen, carbon, and fluorine can be found in the channel region. We show that both hydrogen and fluorine reduced oxygen vacancies and that fluorine stabilized weak oxygen and hydroxide bonds. These results demonstrate the large potential of photosensitive hybrid passivation layers as effective passivation materials.

  14. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  15. Thin-film morphology of inkjet-printed single-droplet organic transistors using polarized Raman spectroscopy: effect of blending TIPS-pentacene with insulating polymer

    NARCIS (Netherlands)

    James, D.T.; Kjellander, B.K.C.; Smaal, W.T.T.; Gelinck, G.H.; Combe, C.; McCulloch, I.; Wilson, R.; Burroughes, J.H.; Bradley, D.D.C.; Kim, J.S.

    2011-01-01

    We report thin-film morphology studies of inkjet-printed single-droplet organic thin-film transistors (OTFTs) using angle-dependent polarized Raman spectroscopy. We show this to be an effective technique to determine the degree of molecular order as well as to spatially resolve the orientation of

  16. Flexible integrated diode-transistor logic (DTL) driving circuits based on printed carbon nanotube thin film transistors with low operation voltage.

    Science.gov (United States)

    Liu, Tingting; Zhao, Jianwen; Xu, Weiwei; Dou, Junyan; Zhao, Xinluo; Deng, Wei; Wei, Changting; Xu, Wenya; Guo, Wenrui; Su, Wenming; Jie, Jiansheng; Cui, Zheng

    2018-01-03

    Fabrication and application of hybrid functional circuits have become a hot research topic in the field of printed electronics. In this study, a novel flexible diode-transistor logic (DTL) driving circuit is proposed, which was fabricated based on a light emitting diode (LED) integrated with printed high-performance single-walled carbon nanotube (SWCNT) thin-film transistors (TFTs). The LED, which is made of AlGaInP on GaAs, is commercial off-the-shelf, which could generate free electrical charges upon white light illumination. Printed top-gate TFTs were made on a PET substrate by inkjet printing high purity semiconducting SWCNTs (sc-SWCNTs) ink as the semiconductor channel materials, together with printed silver ink as the top-gate electrode and printed poly(pyromellitic dianhydride-co-4,4'-oxydianiline) (PMDA/ODA) as gate dielectric layer. The LED, which is connected to the gate electrode of the TFT, generated electrical charge when illuminated, resulting in biased gate voltage to control the TFT from "ON" status to "OFF" status. The TFTs with a PMDA/ODA gate dielectric exhibited low operating voltages of ±1 V, a small subthreshold swing of 62-105 mV dec -1 and ON/OFF ratio of 10 6 , which enabled DTL driving circuits to have high ON currents, high dark-to-bright current ratios (up to 10 5 ) and good stability under repeated white light illumination. As an application, the flexible DTL driving circuit was connected to external quantum dot LEDs (QLEDs), demonstrating its ability to drive and to control the QLED.

  17. Fabrication of high-quality single-crystal Cu thin films using radio-frequency sputtering.

    Science.gov (United States)

    Lee, Seunghun; Kim, Ji Young; Lee, Tae-Woo; Kim, Won-Kyung; Kim, Bum-Su; Park, Ji Hun; Bae, Jong-Seong; Cho, Yong Chan; Kim, Jungdae; Oh, Min-Wook; Hwang, Cheol Seong; Jeong, Se-Young

    2014-08-29

    Copper (Cu) thin films have been widely used as electrodes and interconnection wires in integrated electronic circuits, and more recently as substrates for the synthesis of graphene. However, the ultra-high vacuum processes required for high-quality Cu film fabrication, such as molecular beam epitaxy (MBE), restricts mass production with low cost. In this work, we demonstrated high-quality Cu thin films using a single-crystal Cu target and radio-frequency (RF) sputtering technique; the resulting film quality was comparable to that produced using MBE, even under unfavorable conditions for pure Cu film growth. The Cu thin film was epitaxially grown on an Al2O3 (sapphire) (0001) substrate, and had high crystalline orientation along the (111) direction. Despite the 10(-3) Pa vacuum conditions, the resulting thin film was oxygen free due to the high chemical stability of the sputtered specimen from a single-crystal target; moreover, the deposited film had >5× higher adhesion force than that produced using a polycrystalline target. This fabrication method enabled Cu films to be obtained using a simple, manufacturing-friendly process on a large-area substrate, making our findings relevant for industrial applications.

  18. Synthesis, characterization of the pentacene and fabrication of pentacene field-effect transistors

    International Nuclear Information System (INIS)

    Tao Chunlan; Zhang Xuhui; Dong Maojun; Sun Shuo; Ou Guping; Zhang Fujia; Liu Yiyang; Zhang Haoli

    2008-01-01

    A comprehensive understanding of the organic semiconductor material pentacene is meaningful for organic field-effect transistors (OFETs). Thin films of pentacene are the most mobile molecular films known to date. This paper reported that the pentacene sample was successfully synthesized. The purity of pentacene is up to 95%. The results of a joint experimental investigation based on a combination of infrared absorption spectra, mass spectra (MS), element analysis, x-ray diffraction (XRD) and atom force microscopy (AFM). The authors fabricated OFET with the synthesized pentacene. Its field effect mobility is about 1.23 cm 2 /(V·s) and on-off ratio is above 10 6

  19. Rapid fabrication of hierarchically structured supramolecular nanocomposite thin films in one minute

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Ting; Kao, Joseph

    2016-11-08

    Functional nanocomposites containing nanoparticles of different chemical compositions may exhibit new properties to meet demands for advanced technology. It is imperative to simultaneously achieve hierarchical structural control and to develop rapid, scalable fabrication to minimize degradation of nanoparticle properties and for compatibility with nanomanufacturing. The assembly kinetics of supramolecular nanocomposite in thin films is governed by the energetic cost arising from defects, the chain mobility, and the activation energy for inter-domain diffusion. By optimizing only one parameter, the solvent fraction in the film, the assembly kinetics can be precisely tailored to produce hierarchically structured thin films of supramolecular nanocomposites in approximately one minute. Moreover, the strong wavelength dependent optical anisotropy in the nanocomposite highlights their potential applications for light manipulation and information transmission. The present invention opens a new avenue in designing manufacture-friendly continuous processing for the fabrication of functional nanocomposite thin films.

  20. Material engineering to fabricate rare earth erbium thin films for exploring nuclear energy sources

    Science.gov (United States)

    Banerjee, A.; Abhilash, S. R.; Umapathy, G. R.; Kabiraj, D.; Ojha, S.; Mandal, S.

    2018-04-01

    High vacuum evaporation and cold-rolling techniques to fabricate thin films of the rare earth lanthanide-erbium have been discussed in this communication. Cold rolling has been used for the first time to successfully fabricate films of enriched and highly expensive erbium metal with areal density in the range of 0.5-1.0 mg/cm2. The fabricated films were used as target materials in an advanced nuclear physics experiment. The experiment was designed to investigate isomeric states in the heavy nuclei mass region for exploring physics related to nuclear energy sources. The films fabricated using different techniques varied in thickness as well as purity. Methods to fabricate films with thickness of the order of 0.9 mg/cm2 were different than those of 0.4 mg/cm2 areal density. All the thin films were characterized using multiple advanced techniques to accurately ascertain levels of contamination as well as to determine their exact surface density. Detailed fabrication methods as well as characterization techniques have been discussed.

  1. Fabrication of cerium-doped yttrium aluminum garnet thin films by a mist CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Murai, Shunsuke, E-mail: murai@dipole7.kuic.kyoto-u.ac.jp; Sato, Takafumi; Yao, Situ; Kamakura, Ryosuke; Fujita, Koji; Tanaka, Katsuhisa

    2016-02-15

    We synthesized thin films, consisting of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce), using the mist chemical vapor deposition (CVD) method, which allows the fabrication of high-quality thin films under atmospheric conditions without the use of vacuum equipment. Under a deposition rate of approximately 1 μm/h, the obtained thin films had a typical thickness of 2 μm. The XRD analysis indicated that the thin films consisted of single-phase YAG:Ce. The Rutherford backscattering confirmed the stoichiometry; the composition of the film was determined to be (Y, Ce){sub 3}Al{sub 5}O{sub 12}, with a Ce content of Ce/(Y+Ce)=2.5%. The YAG:Ce thin films exhibited fluorescence due to the 5d–4f electronic transitions characteristic of the Ce ions occupying the eight-coordinated dodecahedral sites in the YAG lattice. - Highlights: • We have synthesized thin films of yttrium aluminum garnet doped with Ce{sup 3+} (YAG:Ce) by using a mist chemical vapor deposition (CVD) method for the first time. • The thickness of the single-phase and stoichiometric thin film obtained by 2 h deposition and following heat treatments is 2 μm. • The thin film is porous but optically transparent, and shows yellow fluorescence upon irradiation with a blue light. • Mist-CVD is a green and sustainable technique that allows fabrication of high-quality thin films at atmospheric conditions without vacuum equipment.

  2. Fabrication of yttrium-doped barium zirconate thin films with sub-micrometer thickness by a sol–gel spin coating method

    Energy Technology Data Exchange (ETDEWEB)

    Xie, Hanlin; Su, Pei-Chen, E-mail: peichensu@ntu.edu.sg

    2015-06-01

    A modified sol–gel process was developed for the fabrication of sub-micrometer scale yttrium-doped barium zirconate (BZY) thin film at much lower processing temperatures. The film was fabricated by direct spin-coating of the sol on a Si{sub 3}N{sub 4} passivated Si substrate, followed by low temperature thermal annealing at 1000 °C, and single BZY phase without barium carbonate residue was obtained. A 200 nm-thick thin film without obvious through-film cracks was fabricated with optimized process parameters of sol concentration and heating rate. The stoichiometry of the BZY thin film was well-controlled and no Ba evaporation was observed due to the low processing temperature. The combination of sol–gel and spin coating method can be a promising alternative to vacuum-based thin film deposition techniques for the fabrication of sub-micrometer scale BZY thin film. - Highlights: • A sol–gel spin coating method was developed for the fabrication of BZY thin films. • The processing temperature was much lower compared to powder-based sintering. • Sub-micrometer scale BZY thin film with well-controlled stoichiometry was obtained.

  3. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  4. Application of pentacene thin-film transistors with controlled threshold voltages to enhancement/depletion inverters

    Science.gov (United States)

    Takahashi, Hajime; Hanafusa, Yuki; Kimura, Yoshinari; Kitamura, Masatoshi

    2018-03-01

    Oxygen plasma treatment has been carried out to control the threshold voltage in organic thin-film transistors (TFTs) having a SiO2 gate dielectric prepared by rf sputtering. The threshold voltage linearly changed in the range of -3.7 to 3.1 V with the increase in plasma treatment time. Although the amount of change is smaller than that for organic TFTs having thermally grown SiO2, the tendency of the change was similar to that for thermally grown SiO2. To realize different plasma treatment times on the same substrate, a certain region on the SiO2 surface was selected using a shadow mask, and was treated with oxygen plasma. Using the process, organic TFTs with negative threshold voltages and those with positive threshold voltages were fabricated on the same substrate. As a result, enhancement/depletion inverters consisting of the organic TFTs operated at supply voltages of 5 to 15 V.

  5. Densification effects on solution-processed indium-gallium-zinc-oxide films and their thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Rim, You Seung; Kim, Hyun Jae [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2014-09-15

    We report the effects of high-pressure annealing (HPA) on solution-processed InGaZnO (IGZO) thin-film transistors (TFTs). HPA increased the density of IGZO films. In particular, annealing in O{sub 2} at 1.0 MPa and 350 C resulted in a high-density and low-porosity IGZO film, as characterized using X-ray reflectivity (XRR) and ellipsometry measurements. This was attributed to the oxidative and compressive effects on the oxygen-deficient solution-processed IGZO film. TFTs annealed in O{sub 2} at 1.0 MPa and 350 C exhibited an increase in the field-effect mobility by a factor of approximately five compared with TFTs annealed in air at 0.1 MPa and 350 C. Furthermore, improvements in reliability under negative and positive bias stresses were also observed following HPA. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Memory operation devices based on light-illumination ambipolar carbon-nanotube thin-film-transistors

    Energy Technology Data Exchange (ETDEWEB)

    Aïssa, B., E-mail: aissab@emt.inrs.ca [Qatar Environment and Energy Research Institute (QEERI), Qatar Foundation, P.O. Box 5825, Doha (Qatar); Centre Energie, Matériaux et Télécommunications, INRS, 1650, Boulevard Lionel-Boulet Varennes, Quebec J3X 1S2 (Canada); Nedil, M. [Telebec Wireless Underground Communication Laboratory, UQAT, 675, 1ère Avenue, Val d' Or, Quebec J9P 1Y3 (Canada); Kroeger, J. [NanoIntegris & Raymor Nanotech, Raymor Industries Inc., 3765 La Vérendrye, Boisbriand, Quebec J7H 1R8 (Canada); Haddad, T. [Department of Mechanical Engineering, McGill University, Montreal, Quebec H3A 0B8 (Canada); Rosei, F. [Centre Energie, Matériaux et Télécommunications, INRS, 1650, Boulevard Lionel-Boulet Varennes, Quebec J3X 1S2 (Canada)

    2015-09-28

    We report the memory operation behavior of a light illumination ambipolar single-walled carbon nanotube thin film field-effect transistors devices. In addition to the high electronic-performance, such an on/off transistor-switching ratio of 10{sup 4} and an on-conductance of 18 μS, these memory devices have shown a high retention time of both hole and electron-trapping modes, reaching 2.8 × 10{sup 4} s at room temperature. The memory characteristics confirm that light illumination and electrical field can act as an independent programming/erasing operation method. This could be a fundamental step toward achieving high performance and stable operating nanoelectronic memory devices.

  7. Grain Boundary Induced Bias Instability in Soluble Acene-Based Thin-Film Transistors

    Science.gov (United States)

    Nguyen, Ky V.; Payne, Marcia M.; Anthony, John E.; Lee, Jung Hun; Song, Eunjoo; Kang, Boseok; Cho, Kilwon; Lee, Wi Hyoung

    2016-01-01

    Since the grain boundaries (GBs) within the semiconductor layer of organic field-effect transistors (OFETs) have a strong influence on device performance, a substantial number of studies have been devoted to controlling the crystallization characteristics of organic semiconductors. We studied the intrinsic effects of GBs within 5,11-bis(triethylsilylethynyl) anthradithiophene (TES-ADT) thin films on the electrical properties of OFETs. The GB density was easily changed by controlling nulceation event in TES-ADT thin films. When the mixing time was increased, the number of aggregates in as-spun TES-ADT thin films were increased and subsequent exposure of the films to 1,2-dichloroethane vapor led to a significant increase in the number of nuleation sites, thereby increasing the GB density of TES-ADT spherulites. The density of GBs strongly influences the angular spread and crystallographic orientation of TES-ADT spherulites. Accordingly, the FETs with higher GB densities showed much poorer electrical characteristics than devices with lower GB density. Especially, GBs provide charge trapping sites which are responsible for bias-stress driven electrical instability. Dielectric surface treatment with a polystyrene brush layer clarified the GB-induced charge trapping by reducing charge trapping at the semiconductor-dielectric interface. Our study provides an understanding on GB induced bias instability for the development of high performance OFETs. PMID:27615358

  8. Comprehensive review on the development of high mobility in oxide thin film transistors

    Science.gov (United States)

    Choi, Jun Young; Lee, Sang Yeol

    2017-11-01

    Oxide materials are one of the most advanced key technology in the thin film transistors (TFTs) for the high-end of device applications. Amorphous oxide semiconductors (AOSs) have leading technique for flat panel display (FPD), active matrix organic light emitting display (AMOLED) and active matrix liquid crystal display (AMLCD) due to their excellent electrical characteristics, such as field effect mobility ( μ FE ), subthreshold swing (S.S) and threshold voltage ( V th ). Covalent semiconductor like amorphous silicon (a-Si) is attributed to the anti-bonding and bonding states of Si hybridized orbitals. However, AOSs have not grain boundary and excellent performances originated from the unique characteristics of AOS which is the direct orbital overlap between s orbitals of neighboring metal cations. High mobility oxide TFTs have gained attractive attention during the last few years and today in display industries. It is progressively developed to increase the mobility either by exploring various oxide semiconductors or by adopting new TFT structures. Mobility of oxide thin film transistor has been rapidly increased from single digit to higher than 100 cm2/V·s in a decade. In this review, we discuss on the comprehensive review on the mobility of oxide TFTs in a decade and propose bandgap engineering and novel structure to enhance the electrical characteristics of oxide TFTs.

  9. Anomalous degradation behaviors under illuminated gate bias stress in a-Si:H thin film transistor

    International Nuclear Information System (INIS)

    Tsai, Ming-Yen; Chang, Ting-Chang; Chu, Ann-Kuo; Hsieh, Tien-Yu; Lin, Kun-Yao; Wu, Yi-Chun; Huang, Shih-Feng; Chiang, Cheng-Lung; Chen, Po-Lin; Lai, Tzu-Chieh; Lo, Chang-Cheng; Lien, Alan

    2014-01-01

    This study investigates the impact of gate bias stress with and without light illumination in a-Si:H thin film transistors. It has been observed that the I–V curve shifts toward the positive direction after negative and positive gate bias stress due to interface state creation at the gate dielectric. However, this study found that threshold voltages shift negatively and that the transconductance curve maxima are anomalously degraded under illuminated positive gate bias stress. In addition, threshold voltages shift positively under illuminated negative gate bias stress. These degradation behaviors can be ascribed to charge trapping in the passivation layer dominating degradation instability and are verified by a double gate a-Si:H device. - Highlights: • There is abnormal V T shift induced by illuminated gate bias stress in a-Si:H thin film transistors. • Electron–hole pair is generated via trap-assisted photoexcitation. • Abnormal transconductance hump is induced by the leakage current from back channel. • Charge trapping in the passivation layer is likely due to the fact that a constant voltage has been applied to the top gate

  10. Design and Simulation of a 6-Bit Successive-Approximation ADC Using Modeled Organic Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Huyen Thanh Pham

    2016-01-01

    Full Text Available We have demonstrated a method for using proper models of pentacene P-channel and fullerene N-channel thin-film transistors (TFTs in order to design and simulate organic integrated circuits. Initially, the transistors were fabricated, and we measured their main physical and electrical parameters. Then, these organic TFTs (OTFTs were modeled with support of an organic process design kit (OPDK added in Cadence. The key specifications of the modeled elements were extracted from measured data, whereas the fitting ones were elected to replicate experimental curves. The simulating process proves that frequency responses of the TFTs cover all biosignal frequency ranges; hence, it is reasonable to deploy the elements to design integrated circuits used in biomedical applications. Complying with complementary rules, the organic circuits work properly, including logic gates, flip-flops, comparators, and analog-to-digital converters (ADCs as well. The proposed successive-approximation-register (SAR ADC consumes a power of 883.7 µW and achieves an ENOB of 5.05 bits, a SNR of 32.17 dB at a supply voltage of 10 V, and a sampling frequency of about 2 KHz.

  11. Ordering of pentacene in organic thin film transistors induced by irradiation of infrared light

    International Nuclear Information System (INIS)

    Wang, C. H.; Chen, S. W.; Hwang, J.

    2009-01-01

    The device performances of pentacene-based organic thin film transistors (OTFTs) were greatly improved by irradiation of infrared light. The field effect mobility and maximum drain current increase from 0.20±0.01 to 0.57±0.02 cm 2 /V s and 1.14x10 -5 to 4.91x10 -5 A, respectively. The (001) peak of the pentacene 'thin film' phase increases in intensity by 4.5 times after infrared irradiation at 50 W for 2 h. Two types of crystal orientations, i.e., 'crystal I' (2θ=5.91 deg.) and 'crystal II' (2θ=5.84 deg.), coexist in the pentacene. The improvement of the characteristics of OTFTs is attributed to crystallization and crystal reorientation induced by infrared light.

  12. Effects of the composition of sputtering target on the stability of InGaZnO thin film transistor

    International Nuclear Information System (INIS)

    Huh, Jun-Young; Jeon, Jae-Hong; Choe, Hee-Hwan; Lee, Kang-Woong; Seo, Jong-Huyn; Ryu, Min-Ki; Park, Sang-Hee Ko; Hwang, Chi-Sun; Cheong, Woo-Seok

    2011-01-01

    In this study, we investigated the electrical characteristics and the stability of amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) from the viewpoint of active layer composition. Active layers of TFTs were deposited by r.f. sputtering. Two kinds of sputtering targets, which have different compositional ratios of In:Ga:Zn, were used to make variations in the active layer composition. All the fabricated IGZO TFTs showed more excellent characteristics than conventional amorphous silicon TFTs. However, in accordance with the Ga content, IGZO TFTs showed somewhat different electrical characteristics in values such as the threshold voltage and the field effect mobility. The device stability was also dependent on the Ga content, but had trade-off relation with the electrical characteristics.

  13. Long-Term Synaptic Plasticity Emulated in Modified Graphene Oxide Electrolyte Gated IZO-Based Thin-Film Transistors.

    Science.gov (United States)

    Yang, Yi; Wen, Juan; Guo, Liqiang; Wan, Xiang; Du, Peifu; Feng, Ping; Shi, Yi; Wan, Qing

    2016-11-09

    Emulating neural behaviors at the synaptic level is of great significance for building neuromorphic computational systems and realizing artificial intelligence. Here, oxide-based electric double-layer (EDL) thin-film transistors were fabricated using 3-triethoxysilylpropylamine modified graphene oxide (KH550-GO) electrolyte as the gate dielectrics. Resulting from the EDL effect and electrochemical doping between mobile protons and the indium-zinc-oxide channel layer, long-term synaptic plasticity was emulated in our devices. Synaptic functions including long-term memory, synaptic temporal integration, and dynamic filters were successfully reproduced. In particular, spike rate-dependent plasticity (SRDP), one of the basic learning rules of long-term plasticity in the neural network where the synaptic weight changes according to the rate of presynaptic spikes, was emulated in our devices. Our results may facilitate the development of neuromorphic computational systems.

  14. Origin of switching current transients in TIPS-pentacene based organic thin-film transistor with polymer dielectric

    Science.gov (United States)

    Singh, Subhash; Mohapatra, Y. N.

    2017-06-01

    We have investigated switch-on drain-source current transients in fully solution-processed thin film transistors based on 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) using cross-linked poly-4-vinylphenol as a dielectric. We show that the nature of the transient (increasing or decreasing) depends on both the temperature and the amplitude of the switching pulse at the gate. The isothermal transients are analyzed spectroscopically in a time domain to extract the degree of non-exponentiality and its possible origin in trap kinetics. We propose a phenomenological model in which the exchange of electrons between interfacial ions and traps controls the nature of the drain current transients dictated by the Fermi level position. The origin of interfacial ions is attributed to the essential fabrication step of UV-ozone treatment of the dielectric prior to semiconductor deposition.

  15. Fabrication and nano-imprintabilities of Zr-, Pd- and Cu-based glassy alloy thin films

    International Nuclear Information System (INIS)

    Takenaka, Kana; Saidoh, Noriko; Nishiyama, Nobuyuki; Inoue, Akihisa

    2011-01-01

    With the aim of investigating nano-imprintability of glassy alloys in a film form, Zr 49 Al 11 Ni 8 Cu 32 , Pd 39 Cu 29 Ni 13 P 19 and Cu 38 Zr 47 Al 9 Ag 6 glassy alloy thin films were fabricated on Si substrate by a magnetron sputtering method. These films exhibit a very smooth surface, a distinct glass transition phenomenon and a large supercooled liquid region of about 80 K, which are suitable for imprinting materials. Moreover, thermal nano-imprintability of these obtained films is demonstrated by using a dot array mold with a dot diameter of 90 nm. Surface observations revealed that periodic nano-hole arrays with a hole diameter of 90 nm were successfully imprinted on the surface of these films. Among them, Pd-based glassy alloy thin film indicated more precise pattern imprintability, namely, flatter residual surface plane and sharper hole edge. It is said that these glassy alloy thin films, especially Pd-based glassy alloy thin film, are one of the promising materials for fabricating micro-machines and nano-devices by thermal imprinting.

  16. Fabrication of PDMS/SWCNT thin films as saturable absorbers

    International Nuclear Information System (INIS)

    Hernandez-Romano, I; Sanchez-Mondragon, J J; Davila-Rodriguez, J; Delfyett, P J; May-Arrioja, D A

    2011-01-01

    We present a novel technique to fabricate a saturable absorber thin film based on Polydimethylsiloxane doped with Single Wall Carbon Nanotubes. Using this film a passive mode-locked fiber laser in a standard ring cavity configuration was built by inserting the film between two angled connectors. Self-starting passively mode-locked laser operation was easily observed. The generated pulses have a width of 1.26 ps at a repetition rate of 22.7 MHz with an average power of 4.89 mW.

  17. In situ characterization of the film coverage and the charge transport in the alkylated-organic thin film transistor

    Science.gov (United States)

    Watanabe, Takeshi; Koganezawa, Tomoyuki; Kikuchi, Mamoru; Muraoka, Hiroki; Ogawa, Satoshi; Yoshimoto, Noriyuki; Hirosawa, Ichiro

    2018-03-01

    We propose an in situ experimental method of investigating the correlations of the film coverage of the organic semiconductor layers and charge transport properties of organic thin film transistors during vacuum deposition. The coverage of each monolayer was estimated using the intensity of off-specular diffuse scattering and diffraction. Experimental data were obtained from the in situ measurements of two-dimensional grazing incidence X-ray scattering and charge transport. The source-drain current increased over the film coverage of the first monolayer (= 0.48). This is in agreement with the critical percolation coverage, indicating that the conductivities of the first and second monolayers are different.

  18. Dual-gate photo thin-film transistor: a “smart” pixel for high- resolution and low-dose X-ray imaging

    Science.gov (United States)

    Wang, Kai; Ou, Hai; Chen, Jun

    2015-06-01

    Since its emergence a decade ago, amorphous silicon flat panel X-ray detector has established itself as a ubiquitous platform for an array of digital radiography modalities. The fundamental building block of a flat panel detector is called a pixel. In all current pixel architectures, sensing, storage, and readout are unanimously kept separate, inevitably compromising resolution by increasing pixel size. To address this issue, we hereby propose a “smart” pixel architecture where the aforementioned three components are combined in a single dual-gate photo thin-film transistor (TFT). In other words, the dual-gate photo TFT itself functions as a sensor, a storage capacitor, and a switch concurrently. Additionally, by harnessing the amplification effect of such a thin-film transistor, we for the first time created a single-transistor active pixel sensor. The proof-of-concept device had a W/L ratio of 250μm/20μm and was fabricated using a simple five-mask photolithography process, where a 130nm transparent ITO was used as the top photo gate, and a 200nm amorphous silicon as the absorbing channel layer. The preliminary results demonstrated that the photocurrent had been increased by four orders of magnitude due to light-induced threshold voltage shift in the sub-threshold region. The device sensitivity could be simply tuned by photo gate bias to specifically target low-level light detection. The dependence of threshold voltage on light illumination indicated that a dynamic range of at least 80dB could be achieved. The "smart" pixel technology holds tremendous promise for developing high-resolution and low-dose X-ray imaging and may potentially lower the cancer risk imposed by radiation, especially among paediatric patients.

  19. Dual-gate photo thin-film transistor: a “smart” pixel for high- resolution and low-dose X-ray imaging

    International Nuclear Information System (INIS)

    Wang, Kai; Ou, Hai; Chen, Jun

    2015-01-01

    Since its emergence a decade ago, amorphous silicon flat panel X-ray detector has established itself as a ubiquitous platform for an array of digital radiography modalities. The fundamental building block of a flat panel detector is called a pixel. In all current pixel architectures, sensing, storage, and readout are unanimously kept separate, inevitably compromising resolution by increasing pixel size. To address this issue, we hereby propose a “smart” pixel architecture where the aforementioned three components are combined in a single dual-gate photo thin-film transistor (TFT). In other words, the dual-gate photo TFT itself functions as a sensor, a storage capacitor, and a switch concurrently. Additionally, by harnessing the amplification effect of such a thin-film transistor, we for the first time created a single-transistor active pixel sensor. The proof-of-concept device had a W/L ratio of 250μm/20μm and was fabricated using a simple five-mask photolithography process, where a 130nm transparent ITO was used as the top photo gate, and a 200nm amorphous silicon as the absorbing channel layer. The preliminary results demonstrated that the photocurrent had been increased by four orders of magnitude due to light-induced threshold voltage shift in the sub-threshold region. The device sensitivity could be simply tuned by photo gate bias to specifically target low-level light detection. The dependence of threshold voltage on light illumination indicated that a dynamic range of at least 80dB could be achieved. The 'smart' pixel technology holds tremendous promise for developing high-resolution and low-dose X-ray imaging and may potentially lower the cancer risk imposed by radiation, especially among paediatric patients. (paper)

  20. Mapping Charge Carrier Density in Organic Thin-Film Transistors by Time-Resolved Photoluminescence Lifetime Studies

    DEFF Research Database (Denmark)

    Leißner, Till; Jensen, Per Baunegaard With; Liu, Yiming

    2017-01-01

    The device performance of organic transistors is strongly influenced by the charge carrier distribution. A range of factors effect this distribution, including injection barriers at the metal-semiconductor interface, the morphology of the organic film, and charge traps at the dielectric/organic...... interface or at grain boundaries. In our comprehensive experimental and analytical work we demonstrate a method to characterize the charge carrier density in organic thin-film transistors using time-resolved photoluminescence spectroscopy. We developed a numerical model that describes the electrical...... and optical responses consistently. We determined the densities of free and trapped holes at the interface between the organic layer and the SiO2 gate dielectric by comparison to electrical measurements. Furthermore by applying fluorescence lifetime imaging microscopy we determine the local charge carrier...

  1. Solution-processed small molecule-polymer blend organic thin-film transistors with hole mobility greater than 5 cm 2/Vs

    KAUST Repository

    Smith, Jeremy N.; Zhang, Weimin; Sougrat, Rachid; Zhao, Kui; Li, Ruipeng; Cha, Dong Kyu; Amassian, Aram; Heeney, Martin J.; McCulloch, Iain A.; Anthopoulos, Thomas D.

    2012-01-01

    Using phase-separated organic semiconducting blends containing a small molecule, as the hole transporting material, and a conjugated amorphous polymer, as the binder material, we demonstrate solution-processed organic thin-film transistors with superior performance characteristics that include; hole mobility >5 cm 2/Vs, current on/off ratio ≥10 6 and narrow transistor parameter spread. These exceptional characteristics are attributed to the electronic properties of the binder polymer and the advantageous nanomorphology of the blend film. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Solution-processed small molecule-polymer blend organic thin-film transistors with hole mobility greater than 5 cm 2/Vs

    KAUST Repository

    Smith, Jeremy N.

    2012-04-10

    Using phase-separated organic semiconducting blends containing a small molecule, as the hole transporting material, and a conjugated amorphous polymer, as the binder material, we demonstrate solution-processed organic thin-film transistors with superior performance characteristics that include; hole mobility >5 cm 2/Vs, current on/off ratio ≥10 6 and narrow transistor parameter spread. These exceptional characteristics are attributed to the electronic properties of the binder polymer and the advantageous nanomorphology of the blend film. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Roll-to-roll compatible organic thin film transistor manufacturing technique by printing, lamination, and laser ablation

    International Nuclear Information System (INIS)

    Hassinen, Tomi; Ruotsalainen, Teemu; Laakso, Petri; Penttilä, Raimo; Sandberg, Henrik G.O.

    2014-01-01

    We present roll-to-roll printing compatible techniques for manufacturing organic thin film transistors using two separately processed foils that are laminated together. The introduction of heat-assisted lamination opens up possibilities for material and processing combinations. The lamination of two separately processed substrates together will allow usage of pre-patterned electrodes on both substrates and materials with non-compatible solvents. Also, the surface microstructure is formed differently when laminating dry films together compared to film formation from liquid phase. Demonstrator transistors, inverters and ring oscillators were produced using lamination techniques. Finally, a roll-to-roll compatible lamination concept is proposed where also the source and drain electrodes are patterned by laser ablation. The demonstrator transistors have shown very good lifetime in air, which is contributed partly to the good material combination and partly to the enhanced interface formation in heat-assisted lamination process. - Highlights: • A roll-to-roll compatible lamination technique for printed electronics is proposed. • Laser ablation allows highly defined metal top and bottom electrodes. • Method opens up processing possibilities for incompatible materials and solvents. • Shearing forces may enhance molecular orientation and packing. • An air stable polymer transistor is demonstrated with a lifetime of years

  4. Transport Mechanisms in Organic Thin-Film Transistors

    Science.gov (United States)

    Fung, A. W. P.

    1996-03-01

    Recent success in fabricating field-effect transistors with polycrystalline α-sexithiophene (α-6T) has allowed us to study charge transport in this organic semiconductor. The appealing structural property that the oligomer chains are seated almost perpendicular to the substrate provides a model π-conjugated system which we find exhibits band transport at low temperatures. We observe a behavioral transition around 50K which is consistent with the metal-insulator transition in Holstein's small-polaron theory. The fact that we can observe intrinsic behavior means that the ambient-temperature mobility obtained in these transistors is optimal for α-6T. Agreement with the Holstein theory provides us with a prescription for rational design of materials for organic transistor applications. Work done in collaboration with L. Torsi, A. Dodabalapur, L. J. Rothberg and H. E. Katz.

  5. Room-Temperature Fabrication of High-Performance Amorphous In-Ga-Zn-O/Al2O3 Thin-Film Transistors on Ultrasmooth and Clear Nanopaper.

    Science.gov (United States)

    Ning, Honglong; Zeng, Yong; Kuang, Yudi; Zheng, Zeke; Zhou, Panpan; Yao, Rihui; Zhang, Hongke; Bao, Wenzhong; Chen, Gang; Fang, Zhiqiang; Peng, Junbiao

    2017-08-23

    Integrating biodegradable cellulose nanopaper into oxide thin-film transistors (TFTs) for next generation flexible and green flat panel displays has attracted great interest because it offers a viable solution to address the rapid increase of electronic waste that poses a growing ecological problem. However, a compromise between device performance and thermal annealing remains an obstacle for achieving high-performance nanopaper TFTs. In this study, a high-performance bottom-gate IGZO/Al 2 O 3 TFT with a dual-layer channel structure was initially fabricated on a highly transparent, clear, and ultrasmooth nanopaper substrate via conventional physical vapor deposition approaches, without further thermal annealing processing. Purified nanofibrillated cellulose with a width of approximately 3.7 nm was used to prepare nanopaper with excellent optical properties (92% transparency, 0.85% transmission haze) and superior surface roughness (Rq is 1.8 nm over a 5 × 5 μm 2 scanning area). More significantly, a bilayer channel structure (IGZO/Al 2 O 3 ) was adopted to fabricate high performance TFT on this nanopaper substrate without thermal annealing and the device exhibits a saturation mobility of 15.8 cm 2 /(Vs), an I on /I off ratio of 4.4 × 10 5 , a threshold voltage (V th ) of -0.42 V, and a subthreshold swing (SS) of 0.66 V/dec. The room-temperature fabrication of high-performance IGZO/Al 2 O 3 TFTs on such nanopaper substrate without thermal annealing treatment brings industry a step closer to realizing inexpensive, flexible, lightweight, and green paper displays.

  6. Thermoelectric effects of amorphous Ga-Sn-O thin film

    Science.gov (United States)

    Matsuda, Tokiyoshi; Uenuma, Mutsunori; Kimura, Mutsumi

    2017-07-01

    The thermoelectric effects of an amorphous Ga-Sn-O (a-GTO) thin film have been evaluated as a physical parameter of a novel oxide semiconductor. Currently, a-GTO thin films are greatly desired not only because they do not contain rare metals and are therefore free from problems on the exhaustion of resources and the increase in cost but also because their initial characteristics and performance stabilities are excellent when they are used in thin-film transistors. In this study, an a-GTO thin film was deposited on a quartz substrate by RF magnetron sputtering and postannealing was performed in air at 350 °C for 1 h using an annealing furnace. The Seebeck coefficient and electrical conductivity of the a-GTO thin film were -137 µV/K and 31.8 S/cm at room temperature, and -183 µV/K and 43.8 S/cm at 397 K, respectively, and as a result, the power factor was 1.47 µW/(cm·K2) at 397 K; these values were roughly as high as those of amorphous In-Ga-Zn-O (a-IGZO) thin films. Therefore, a-GTO thin films will be a candidate material for thermoelectric devices fabricated in a large area at a low cost by controlling the carrier mobility, carrier density, device structures, and so forth.

  7. Development of p-type amorphous Cu{sub 1−x}B{sub x}O{sub 2−δ} thin films and fabrication of pn hetero junction

    Energy Technology Data Exchange (ETDEWEB)

    Sanal, K.C., E-mail: sanalcusat@gmail.com [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala 682022 (India); Inter University Center for Nanomaterials and Devices (IUCND), Cochin University of Science and Technology, Kerala 682022 (India); Center for Advanced Materials, Cochin University of Science and Technology, Kerala 682022 (India); Jayaraj, M.K., E-mail: mkj@cusat.ac.in [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala 682022 (India); Center for Advanced Materials, Cochin University of Science and Technology, Kerala 682022 (India)

    2014-07-01

    Highlights: • Growth of p-type semiconducting amorphous Cu{sub 1−x}B{sub x}O{sub 2−δ} thin films by co-sputtering. • Atomic percentage of Cu{sub 1−x}B{sub x}O{sub 2−δ} thin films from the XPS analysis. • Variation of bandgap with boron concentration in Cu{sub 1−x}B{sub x}O{sub 2−δ} thin films. • Demonstration of p–n hetero junctions fabricated in the structure n-Si/p-Cu{sub 1−x}B{sub x}O{sub 2−δ}/Au. - Abstract: Transparent conducting amorphous p type Cu{sub 1−x}B{sub x}O{sub 2−δ} thin films were grown by RF magnetron co-sputtering at room temperature, using copper and boron targets in oxygen atmosphere. The structural, electrical as well as optical properties were studied. Composition of the films was analyzed by XPS. Amorphous structure of as deposited films was confirmed by GXRD. Surface morphology of the films was analyzed by AFM studies. p-Type nature and concentration of carriers were investigated by Hall effect measurement. Band gap of the films was found to increase with the atomic content of boron in the film. A p–n hetero junction using p-type Cu{sub 1−x}B{sub x}O{sub 2−δ} and n-type silicon was fabricated in the structure n-Si/p-Cu{sub 1−x}B{sub x}O{sub 2−δ}/Au which showed rectifying behavior. As deposited amorphous Cu{sub 1−x}B{sub x}O{sub 2−δ} thin films with lower carrier concentration can be used as a channel layer for thin film transistors.

  8. High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation

    Science.gov (United States)

    Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun

    2018-05-01

    The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.

  9. High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation

    Science.gov (United States)

    Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun

    2018-02-01

    The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.

  10. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  11. High-performance vertical organic transistors.

    Science.gov (United States)

    Kleemann, Hans; Günther, Alrun A; Leo, Karl; Lüssem, Björn

    2013-11-11

    Vertical organic thin-film transistors (VOTFTs) are promising devices to overcome the transconductance and cut-off frequency restrictions of horizontal organic thin-film transistors. The basic physical mechanisms of VOTFT operation, however, are not well understood and VOTFTs often require complex patterning techniques using self-assembly processes which impedes a future large-area production. In this contribution, high-performance vertical organic transistors comprising pentacene for p-type operation and C60 for n-type operation are presented. The static current-voltage behavior as well as the fundamental scaling laws of such transistors are studied, disclosing a remarkable transistor operation with a behavior limited by injection of charge carriers. The transistors are manufactured by photolithography, in contrast to other VOTFT concepts using self-assembled source electrodes. Fluorinated photoresist and solvent compounds allow for photolithographical patterning directly and strongly onto the organic materials, simplifying the fabrication protocol and making VOTFTs a prospective candidate for future high-performance applications of organic transistors. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Fabrication of flexible polymer dispersed liquid crystal films using conducting polymer thin films as the driving electrodes

    International Nuclear Information System (INIS)

    Kim, Yang-Bae; Park, Sucheol; Hong, Jin-Who

    2009-01-01

    Conducting polymers exhibit good mechanical and interfacial compatibility with plastic substrates. We prepared an optimized coating formulation based on poly(3,4-ethylenedioxythiophene) (PEDOT) and 3-(trimethoxysilyl)propyl acrylate and fabricated a transparent electrode on poly(ethylene terephthalate) (PET) substrate. The surface resistances and transmittance of the prepared thin films were 500-600 Ω/□ and 87% at 500 nm, respectively. To evaluate the performance of the conducting polymer electrode, we fabricated a five-layer flexible polymer-dispersed liquid crystal (PDLC) device as a PET-PEDOT-PDLC-PEDOT-PET flexible film. The prepared PDLC device exhibited a low driving voltage (15 VAC), high contrast ratio (60:1), and high transmittance in the ON state (60%), characteristics that are comparable with those of conventional PDLC film based on indium tin oxide electrodes. The fabrication of conducting polymer thin films as the driving electrodes in this study showed that such films can be used as a substitute for an indium tin oxide electrode, which further enhances the flexibility of PDLC film

  13. IGZO thin film transistor biosensors functionalized with ZnO nanorods and antibodies.

    Science.gov (United States)

    Shen, Yi-Chun; Yang, Chun-Hsu; Chen, Shu-Wen; Wu, Shou-Hao; Yang, Tsung-Lin; Huang, Jian-Jang

    2014-04-15

    We demonstrate a biosensor structure consisting of an IGZO (Indium-Gallium-Zinc-Oxide) TFT (thin film transistor) and an extended sensing pad. The TFT acts as the sensing and readout device, while the sensing pad ensures the isolation of biological solution from the transistor channel layer, and meanwhile increases the sensing area. The biosensor is functionalized by first applying ZnO nanorods to increase the surface area for attracting electrical charges of EGFR (epidermal growth factor receptor) antibodies. The device is able to selectively detect 36.2 fM of EGFR in the total protein solution of 0.1 ng/ml extracted from squamous cell carcinoma (SCC). Furthermore, the conjugation duration of the functionalized device with EGFR can be limited to 3 min, implying that the biosensor has the advantage for real-time detection. © 2013 Elsevier B.V. All rights reserved.

  14. Antimicrobial activity of biopolymer–antibiotic thin films fabricated by advanced pulsed laser methods

    Energy Technology Data Exchange (ETDEWEB)

    Cristescu, R., E-mail: rodica.cristescu@inflpr.ro [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, P.O. Box MG-36, Bucharest-Magurele (Romania); Popescu, C.; Dorcioman, G.; Miroiu, F.M.; Socol, G.; Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, P.O. Box MG-36, Bucharest-Magurele (Romania); Gittard, S.D.; Miller, P.R.; Narayan, R.J. [Biomedical Engineering, University of North Carolina and North Carolina State University, Chapel Hill, NC 27599-7575 (United States); Enculescu, M. [National Institute for Materials Physics, PO Box MG-7, Bucharest-Magurele (Romania); Chrisey, D.B. [Tulane University, Department of Physics and Engineering Physics, New Orleans, LA (United States)

    2013-08-01

    We report on thin film deposition by matrix assisted pulsed laser evaporation (MAPLE) of two polymer–drug composite thin film systems. A pulsed KrF* excimer laser source (λ = 248 nm, τ = 25 ns, ν = 10 Hz) was used to deposit composite thin films of poly(D,L-lactide) (PDLLA) containing several gentamicin concentrations. FTIR spectroscopy was used to demonstrate that MAPLE-transferred materials exhibited chemical structures similar to those of drop cast materials. Scanning electron microscopy data indicated that MAPLE may be used to fabricate thin films of good morphological quality. The activity of PDLLA–gentamicin composite thin films against Staphylococcus aureus bacteria was demonstrated using drop testing. The influence of drug concentration on microbial viability was also assessed. Our studies indicate that polymer–drug composite thin films prepared by MAPLE may be used to impart antimicrobial activity to implants, medical devices, and other contact surfaces.

  15. Dithienocoronenediimide-based copolymers as novel ambipolar semiconductors for organic thin-film transistors.

    Science.gov (United States)

    Usta, Hakan; Newman, Christopher; Chen, Zhihua; Facchetti, Antonio

    2012-07-17

    A new class of ambipolar donor-acceptor π-conjugated polymers based on a dithienocoronenediimide core is presented. Solution-processed top-gate/bottom-contact thin film transistors (TFTs) exhibit electron and hole mobilities of up to 0.30 cm(2)/V·s and 0.04 cm(2)/V·s, respectively, which are the highest reported to date for an ambipolar polymer in ambient conditions. The polymers presented here are the first examples of coronenediimide-based semiconductors showing high organic TFT performances. Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Effects of the F₄TCNQ-Doped Pentacene Interlayers on Performance Improvement of Top-Contact Pentacene-Based Organic Thin-Film Transistors.

    Science.gov (United States)

    Fan, Ching-Lin; Lin, Wei-Chun; Chang, Hsiang-Sheng; Lin, Yu-Zuo; Huang, Bohr-Ran

    2016-01-13

    In this paper, the top-contact (TC) pentacene-based organic thin-film transistor (OTFT) with a tetrafluorotetracyanoquinodimethane (F₄TCNQ)-doped pentacene interlayer between the source/drain electrodes and the pentacene channel layer were fabricated using the co-evaporation method. Compared with a pentacene-based OTFT without an interlayer, OTFTs with an F₄TCNQ:pentacene ratio of 1:1 showed considerably improved electrical characteristics. In addition, the dependence of the OTFT performance on the thickness of the F₄TCNQ-doped pentacene interlayer is weaker than that on a Teflon interlayer. Therefore, a molecular doping-type F₄TCNQ-doped pentacene interlayer is a suitable carrier injection layer that can improve the TC-OTFT performance and facilitate obtaining a stable process window.

  17. Review on thin-film transistor technology, its applications, and possible new applications to biological cells

    Science.gov (United States)

    Tixier-Mita, Agnès; Ihida, Satoshi; Ségard, Bertrand-David; Cathcart, Grant A.; Takahashi, Takuya; Fujita, Hiroyuki; Toshiyoshi, Hiroshi

    2016-04-01

    This paper presents a review on state-of-the-art of thin-film transistor (TFT) technology and its wide range of applications, not only in liquid crystal displays (TFT-LCDs), but also in sensing devices. The history of the evolution of the technology is first given. Then the standard applications of TFT-LCDs, and X-ray detectors, followed by state-of-the-art applications in the field of chemical and biochemical sensing are presented. TFT technology allows the fabrication of dense arrays of independent and transparent microelectrodes on large glass substrates. The potential of these devices as electrical substrates for biological cell applications is then described. The possibility of using TFT array substrates as new tools for electrical experiments on biological cells has been investigated for the first time by our group. Dielectrophoresis experiments and impedance measurements on yeast cells are presented here. Their promising results open the door towards new applications of TFT technology.

  18. Inert gas annealing effect in solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors

    Science.gov (United States)

    Lee, Seungwoon; Jeong, Jaewook

    2017-08-01

    In this paper, the annealing effect of solution-processed amorphous indium-gallium-zinc-oxide thin-film transistors (a-IGZO TFTs), under ambient He (He-device), is systematically analyzed by comparison with those under ambient O2 (O2-device) and N2 (N2-device), respectively. The He-device shows high field-effect mobility and low subthreshold slope owing to the minimization of the ambient effect. The degradation of the O2- and N2-device performances originate from their respective deep acceptor-like and shallow donor-like characteristics, which can be verified by comparison with the He-device. However, the three devices show similar threshold voltage instability under prolonged positive bias stress due to the effect of excess oxygen. Therefore, annealing in ambient He is the most suitable method for the fabrication of reference TFTs to study the various effects of the ambient during the annealing process in solution-processed a-IGZO TFTs.

  19. Benzothienobenzothiophene-based conjugated oligomers as semiconductors for stable organic thin-film transistors.

    Science.gov (United States)

    Yu, Han; Li, Weili; Tian, Hongkun; Wang, Haibo; Yan, Donghang; Zhang, Jingping; Geng, Yanhou; Wang, Fosong

    2014-04-09

    Two benzothienobenzothiophene (BTBT)-based conjugated oligomers, i.e., 2,2'-bi[1]benzothieno[3,2-b][1]benzothiophene (1) and 5,5'-bis([1]benzothieno[3,2-b][1]benzothiophen-2-yl)-2,2'-bithiophene (2), were prepared and characterized. Both oligomers exhibit excellent thermal stability, with 5% weight-loss temperatures (T(L)) above 370 °C; no phase transition was observed before decomposition. The highest occupied molecular orbital (HOMO) levels of 1 and 2 are -5.3 and -4.9 eV, respectively, as measured by ultraviolet photoelectron spectroscopy. Thin-film X-ray diffraction and atomic force microscopy characterizations indicate that both oligomers form highly crystalline films with large domain sizes on octadecyltrimethoxysilane-modified substrates. Organic thin-film transistors with top-contact and bottom-gate geometry based on 1 and 2 exhibited mobilities up to 2.12 cm(2)/V·s for 1 and 1.39 cm(2)/V·s for 2 in an ambient atmosphere. 1-based devices exhibited great air and thermal stabilities, as evidenced by the slight performance degradation after 2 months of storage under ambient conditions and after thermal annealing at temperatures below 250 °C.

  20. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene-graphene composite layers for flexible thin film transistors with a polymer gate dielectric.

    Science.gov (United States)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-02-28

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene-graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene-graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm(2) V(-1) s(-1) and a threshold voltage of -0.7 V at V(gs) = -40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm(2) V(-1) s(-1) and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies.

  1. Low thermal budget annealing technique for high performance amorphous In-Ga-ZnO thin film transistors

    Directory of Open Access Journals (Sweden)

    Joong-Won Shin

    2017-07-01

    Full Text Available In this paper, we investigate a low thermal budget post-deposition-annealing (PDA process for amorphous In-Ga-ZnO (a-IGZO oxide semiconductor thin-film-transistors (TFTs. To evaluate the electrical characteristics and reliability of the TFTs after the PDA process, microwave annealing (MWA and rapid thermal annealing (RTA methods were applied, and the results were compared with those of the conventional annealing (CTA method. The a-IGZO TFTs fabricated with as-deposited films exhibited poor electrical characteristics; however, their characteristics were improved by the proposed PDA process. The CTA-treated TFTs had excellent electrical properties and stability, but the CTA method required high temperatures and long processing times. In contrast, the fabricated RTA-treated TFTs benefited from the lower thermal budget due to the short process time; however, they exhibited poor stability. The MWA method uses a low temperature (100 °C and short annealing time (2 min because microwaves transfer energy directly to the substrate, and this method effectively removed the defects in the a-IGZO TFTs. Consequently, they had a higher mobility, higher on-off current ratio, lower hysteresis voltage, lower subthreshold swing, and higher interface trap density than TFTs treated with CTA or RTA, and exhibited excellent stability. Based on these results, low thermal budget MWA is a promising technology for use on various substrates in next generation displays.

  2. Low thermal budget annealing technique for high performance amorphous In-Ga-ZnO thin film transistors

    Science.gov (United States)

    Shin, Joong-Won; Cho, Won-Ju

    2017-07-01

    In this paper, we investigate a low thermal budget post-deposition-annealing (PDA) process for amorphous In-Ga-ZnO (a-IGZO) oxide semiconductor thin-film-transistors (TFTs). To evaluate the electrical characteristics and reliability of the TFTs after the PDA process, microwave annealing (MWA) and rapid thermal annealing (RTA) methods were applied, and the results were compared with those of the conventional annealing (CTA) method. The a-IGZO TFTs fabricated with as-deposited films exhibited poor electrical characteristics; however, their characteristics were improved by the proposed PDA process. The CTA-treated TFTs had excellent electrical properties and stability, but the CTA method required high temperatures and long processing times. In contrast, the fabricated RTA-treated TFTs benefited from the lower thermal budget due to the short process time; however, they exhibited poor stability. The MWA method uses a low temperature (100 °C) and short annealing time (2 min) because microwaves transfer energy directly to the substrate, and this method effectively removed the defects in the a-IGZO TFTs. Consequently, they had a higher mobility, higher on-off current ratio, lower hysteresis voltage, lower subthreshold swing, and higher interface trap density than TFTs treated with CTA or RTA, and exhibited excellent stability. Based on these results, low thermal budget MWA is a promising technology for use on various substrates in next generation displays.

  3. Current Enhancement with Contact-Area-Limited Doping for Bottom-Gate, Bottom-Contact Organic Thin-Film Transistors

    Science.gov (United States)

    Noda, Kei; Wakatsuki, Yusuke; Yamagishi, Yuji; Wada, Yasuo; Toyabe, Toru; Matsushige, Kazumi

    2013-02-01

    The current enhancement mechanism in contact-area-limited doping for bottom-gate, bottom-contact (BGBC) p-channel organic thin-film transistors (OTFTs) was investigated both by simulation and experiment. Simulation results suggest that carrier shortage and large potential drop occur in the source-electrode/channel interface region in a conventional BGBC OTFT during operation, which results in a decrease in the effective field-effect mobility. These phenomena are attributed to the low carrier concentration of active semiconductor layers in OTFTs and can be alleviated by contact-area-limited doping, where highly doped layers are prepared over source-drain electrodes. According to two-dimensional current distribution obtained from the device simulation, a current flow from the source electrode to the channel region via highly doped layers is generated in addition to the direct carrier injection from the source electrode to the channel, leading to the enhancement of the drain current and effective field-effect mobility. The expected current enhancement mechanism in contact-area-limited doping was experimentally confirmed in typical α-sexithiophene (α-6T) BGBC thin-film transistors.

  4. Current-Induced Joule Heating and Electrical Field Effects in Low Temperature Measurements on TIPS Pentacene Thin Film Transistors

    NARCIS (Netherlands)

    Nikiforov, G.O.; Venkateshvaran, D.; Mooser, S.; Meneau, A.; Strobel, T.; Kronemeijer, A.; Jiang, L.; Lee, M.J.; Sirringhaus, H.

    2016-01-01

    The channel temperature (Tch) of solution-processed 6,13-bis(triisopropylsilylethynyl)-pentacene (TIPS pentacene) thin film transistors (TFTs) is closely monitored in real time during current–voltage (I–V) measurements carried out in a He exchange gas cryostat at various base temperatures (Tb)

  5. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO2 thin films

    International Nuclear Information System (INIS)

    Yurchuk, Ekaterina

    2015-01-01

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO 2 ) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO 2 thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO 2 -based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  6. High-Performance Complementary Transistors and Medium-Scale Integrated Circuits Based on Carbon Nanotube Thin Films.

    Science.gov (United States)

    Yang, Yingjun; Ding, Li; Han, Jie; Zhang, Zhiyong; Peng, Lian-Mao

    2017-04-25

    Solution-derived carbon nanotube (CNT) network films with high semiconducting purity are suitable materials for the wafer-scale fabrication of field-effect transistors (FETs) and integrated circuits (ICs). However, it is challenging to realize high-performance complementary metal-oxide semiconductor (CMOS) FETs with high yield and stability on such CNT network films, and this difficulty hinders the development of CNT-film-based ICs. In this work, we developed a doping-free process for the fabrication of CMOS FETs based on solution-processed CNT network films, in which the polarity of the FETs was controlled using Sc or Pd as the source/drain contacts to selectively inject carriers into the channels. The fabricated top-gated CMOS FETs showed high symmetry between the characteristics of n- and p-type devices and exhibited high-performance uniformity and excellent scalability down to a gate length of 1 μm. Many common types of CMOS ICs, including typical logic gates, sequential circuits, and arithmetic units, were constructed based on CNT films, and the fabricated ICs exhibited rail-to-rail outputs because of the high noise margin of CMOS circuits. In particular, 4-bit full adders consisting of 132 CMOS FETs were realized with 100% yield, thereby demonstrating that this CMOS technology shows the potential to advance the development of medium-scale CNT-network-film-based ICs.

  7. Alkylated selenophene-based ladder-type monomers via a facile route for high performance thin-film transistor applications

    KAUST Repository

    Fei, Zhuping; Han, Yang; Gann, Eliot; Hodsden, Thomas; Chesman, Anthony; McNeill, Christopher R.; Anthopoulos, Thomas D.; Heeney, Martin

    2017-01-01

    We report the synthesis of two new selenophene containing ladder-type monomers, cyclopentadiselenophene (CDS) and indacenodiselenophene (IDSe), via a twofold and fourfold Pd catalyzed coupling with a 1,1-diborylmethane derivative. Co-polymers with benzothiadiazole (BT) were prepared in high yield by Suzuki polymerization to afford co-polymers which exhibited excellent solubility in a range of non-chlorinated solvents. The CDS co-polymer exhibited a band gap of just 1.18 eV, which is amongst the lowest reported for donor-acceptor polymers. Thin-film transistors were fabricated using environmentally benign, non-chlorinated solvents with the CDS and IDSe co-polymers exhibiting hole mobility up to 0.15 and 6.4 cm2 /Vs, respectively. This high performance was achieved without the undesirable peak in mobility often observed at low gate voltages due to parasitic contact resistance.

  8. Alkylated selenophene-based ladder-type monomers via a facile route for high performance thin-film transistor applications

    KAUST Repository

    Fei, Zhuping

    2017-05-26

    We report the synthesis of two new selenophene containing ladder-type monomers, cyclopentadiselenophene (CDS) and indacenodiselenophene (IDSe), via a twofold and fourfold Pd catalyzed coupling with a 1,1-diborylmethane derivative. Co-polymers with benzothiadiazole (BT) were prepared in high yield by Suzuki polymerization to afford co-polymers which exhibited excellent solubility in a range of non-chlorinated solvents. The CDS co-polymer exhibited a band gap of just 1.18 eV, which is amongst the lowest reported for donor-acceptor polymers. Thin-film transistors were fabricated using environmentally benign, non-chlorinated solvents with the CDS and IDSe co-polymers exhibiting hole mobility up to 0.15 and 6.4 cm2 /Vs, respectively. This high performance was achieved without the undesirable peak in mobility often observed at low gate voltages due to parasitic contact resistance.

  9. Low temperature cured poly-siloxane passivation for highly reliable a-InGaZnO thin-film transistors

    Science.gov (United States)

    Yoshida, Naofumi; Bermundo, Juan Paolo; Ishikawa, Yasuaki; Nonaka, Toshiaki; Taniguchi, Katsuto; Uraoka, Yukiharu

    2018-05-01

    Low temperature processable passivation materials are necessary to fabricate highly reliable amorphous InGaZnO (a-IGZO) thin-film transistors (TFT) on organic substrates for flexible device applications. We investigated 3 types of poly-siloxane (Poly-SX) passivation layers fabricated by a solution process and cured at low temperatures (180 °C) for a-IGZO TFTs. This passivation layer greatly improves the stability of the a-IGZO device even after being subjected to positive (PBS) and negative bias stress (NBS). The field effect mobility (μ) of MePhQ504010 passivated on the TFT reached 8.34 cm2/Vs and had a small threshold voltage shift of 0.9 V after PBS, -0.8 V after NBS without the hump phenomenon. Furthermore, we analyzed the hydrogen and hydroxide states in the a-IGZO layer by secondary ion mass spectrometry and X-ray photoelectron spectroscopy to determine the cause of excellent electrical properties despite the curing performed at a low temperature. These results show the potential of the solution processed Poly-SX passivation layer for flexible devices.

  10. Enhanced electrical properties in solution-processed InGaZnO thin-film transistors by viable hydroxyl group transfer process

    Science.gov (United States)

    Kim, Do-Kyung; Jeong, Hyeon-Seok; Kwon, Hyeok Bin; Kim, Young-Rae; Kang, Shin-Won; Bae, Jin-Hyuk

    2018-05-01

    We propose a simple hydroxyl group transfer method to improve the electrical characteristics of solution-processed amorphous InGaZnO (IGZO) thin-film transistors (TFTs). Tuned poly(dimethylsiloxane) elastomer, which has a hydroxyl group as a terminal chemical group, was adhered temporarily to an IGZO thin-film during the solidification step to transfer and supply sufficient hydroxyl groups to the IGZO thin-film. The transferred hydroxyl groups led to efficient hydrolysis and condensation reactions, resulting in a denser metal–oxygen–metal network being achieved in the IGZO thin-film compared to the conventional IGZO thin-film. In addition, it was confirmed that there was no morphological deformation, including to the film thickness and surface roughness. The hydroxyl group transferred IGZO based TFTs exhibited enhanced electrical properties (field-effect mobility of 2.21 cm2 V‑1 s‑1, and on/off current ratio of 106) compared to conventional IGZO TFTs (field-effect mobility of 0.73 cm2 V‑1 s‑1 and on/off current ratio of 105).

  11. Pentacene field-effect transistors by in situ and real time electrical characterization: Comparison between purified and non-purified thin films

    International Nuclear Information System (INIS)

    Liu, Shun-Wei; Wen, Je-Min; Lee, Chih-Chien; Su, Wei-Cheng; Wang, Wei-Lun; Chen, Ho-Chien; Lin, Chun-Feng

    2013-01-01

    We present an electrical characterization of the organic field-effect transistor with purified and non-purified pentacene by using in situ and real time measurements. The field-effect phenomenon was observed at the thickness of 1.5 nm (approximately one monolayer of pentacene) for purified pentacene, as compared to 3.0 nm for the non-purified counterpart. Moreover, the hole mobility is improved from 0.13 to 0.23 cm 2 /V s after the sublimation process to purify the pentacene. With atomic force microscopic measurements, the purified pentacene thin film exhibits a larger grain size and film coverage, resulting in better crystallinity of the thin film structure due to the absence of the impurities. This is further confirmed by X-ray diffraction patterns, which show higher intensities for the purified pentacene. - Highlights: • We present in-situ characterization for pentacene field-effect transistors. • The hole mobility is improved after the sublimation process to purify the pentacene. • Purified pentacene thin film exhibits a larger grain size and film coverage. • Hole mobility of pentacene is improved from 0.13 to 0.23 cm 2 /V s. • The discontinuity of grain boundary may cause the shift of threshold voltage

  12. Pentacene field-effect transistors by in situ and real time electrical characterization: Comparison between purified and non-purified thin films

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Shun-Wei, E-mail: swliu@mail.mcut.edu.tw [Department of Electronic Engineering, Ming Chi University of Technology, New Taipei City 24301, Taiwan, ROC (China); Wen, Je-Min; Lee, Chih-Chien; Su, Wei-Cheng; Wang, Wei-Lun; Chen, Ho-Chien [Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei, 10607 Taiwan, ROC (China); Lin, Chun-Feng [Department of Electronic Engineering, Ming Chi University of Technology, New Taipei City 24301, Taiwan, ROC (China)

    2013-05-01

    We present an electrical characterization of the organic field-effect transistor with purified and non-purified pentacene by using in situ and real time measurements. The field-effect phenomenon was observed at the thickness of 1.5 nm (approximately one monolayer of pentacene) for purified pentacene, as compared to 3.0 nm for the non-purified counterpart. Moreover, the hole mobility is improved from 0.13 to 0.23 cm{sup 2}/V s after the sublimation process to purify the pentacene. With atomic force microscopic measurements, the purified pentacene thin film exhibits a larger grain size and film coverage, resulting in better crystallinity of the thin film structure due to the absence of the impurities. This is further confirmed by X-ray diffraction patterns, which show higher intensities for the purified pentacene. - Highlights: • We present in-situ characterization for pentacene field-effect transistors. • The hole mobility is improved after the sublimation process to purify the pentacene. • Purified pentacene thin film exhibits a larger grain size and film coverage. • Hole mobility of pentacene is improved from 0.13 to 0.23 cm{sup 2}/V s. • The discontinuity of grain boundary may cause the shift of threshold voltage.

  13. Fabrication of bright and thin Zn₂SiO₄ luminescent film for electron beam excitation-assisted optical microscope.

    Science.gov (United States)

    Furukawa, Taichi; Kanamori, Satoshi; Fukuta, Masahiro; Nawa, Yasunori; Kominami, Hiroko; Nakanishi, Yoichiro; Sugita, Atsushi; Inami, Wataru; Kawata, Yoshimasa

    2015-07-13

    We fabricated a bright and thin Zn₂SiO₄ luminescent film to serve as a nanometric light source for high-spatial-resolution optical microscopy based on electron beam excitation. The Zn₂SiO₄ luminescent thin film was fabricated by annealing a ZnO film on a Si₃N₄ substrate at 1000 °C in N₂. The annealed film emitted bright cathodoluminescence compared with the as-deposited film. The film is promising for nano-imaging with electron beam excitation-assisted optical microscopy. We evaluated the spatial resolution of a microscope developed using this Zn₂SiO₄ luminescent thin film. This is the first report of the investigation and application of ZnO/Si₃N₄ annealed at a high temperature (1000 °C). The fabricated Zn₂SiO₄ film is expected to enable high-frame-rate dynamic observation with ultra-high resolution using our electron beam excitation-assisted optical microscopy.

  14. Ni-Cr thin film resistor fabrication for GaAs monolithic microwave integrated circuits

    International Nuclear Information System (INIS)

    Vinayak, Seema; Vyas, H.P.; Muraleedharan, K.; Vankar, V.D.

    2006-01-01

    Different Ni-Cr alloys were sputter-deposited on silicon nitride-coated GaAs substrates and covered with a spin-coated polyimide layer to develop thin film metal resistors for GaAs monolithic microwave integrated circuits (MMICs). The contact to the resistors was made through vias in the polyimide layer by sputter-deposited Ti/Au interconnect metal. The variation of contact resistance, sheet resistance (R S ) and temperature coefficient of resistance (TCR) of the Ni-Cr resistors with fabrication process parameters such as polyimide curing thermal cycles and surface treatment given to the wafer prior to interconnect metal deposition has been studied. The Ni-Cr thin film resistors exhibited lower R S and higher TCR compared to the as-deposited Ni-Cr film that was not subjected to thermal cycles involved in the MMIC fabrication process. The change in resistivity and TCR values of Ni-Cr films during the MMIC fabrication process was found to be dependent on the Ni-Cr alloy composition

  15. Ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory

    International Nuclear Information System (INIS)

    Han, Jinhua; Wang, Wei; Ying, Jun; Xie, Wenfa

    2014-01-01

    An ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory was demonstrated, with discrete distributed gold nanoparticles, tetratetracontane (TTC), pentacene as the floating-gate layer, tunneling layer, and active layer, respectively. The electron traps at the TTC/pentacene interface were significantly suppressed, which resulted in an ambipolar operation in present memory. As both electrons and holes were supplied in the channel and trapped in the floating-gate by programming/erasing operations, respectively, i.e., one type of charge carriers was used to overwrite the other, trapped, one, a large memory window, extending on both sides of the initial threshold voltage, was realized

  16. Ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jinhua; Wang, Wei, E-mail: wwei99@jlu.edu.cn; Ying, Jun; Xie, Wenfa [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, 2699 Qianjin Street, Changchun 130012 (China)

    2014-01-06

    An ambipolar organic thin-film transistor-based nano-floating-gate nonvolatile memory was demonstrated, with discrete distributed gold nanoparticles, tetratetracontane (TTC), pentacene as the floating-gate layer, tunneling layer, and active layer, respectively. The electron traps at the TTC/pentacene interface were significantly suppressed, which resulted in an ambipolar operation in present memory. As both electrons and holes were supplied in the channel and trapped in the floating-gate by programming/erasing operations, respectively, i.e., one type of charge carriers was used to overwrite the other, trapped, one, a large memory window, extending on both sides of the initial threshold voltage, was realized.

  17. Review of recent developments in amorphous oxide semiconductor thin-film transistor devices

    International Nuclear Information System (INIS)

    Park, Joon Seok; Maeng, Wan-Joo; Kim, Hyun-Suk; Park, Jin-Seong

    2012-01-01

    The present article is a review of the recent progress and major trends in the field of thin-film transistor (TFT) research involving the use of amorphous oxide semiconductors (AOS). First, an overview is provided on how electrical performance may be enhanced by the adoption of specific device structures and process schemes, the combination of various oxide semiconductor materials, and the appropriate selection of gate dielectrics and electrode metals in contact with the semiconductor. As metal oxide TFT devices are excellent candidates for switching or driving transistors in next generation active matrix liquid crystal displays (AMLCD) or active matrix organic light emitting diode (AMOLED) displays, the major parameters of interest in the electrical characteristics involve the field effect mobility (μ FE ), threshold voltage (V th ), and subthreshold swing (SS). A study of the stability of amorphous oxide TFT devices is presented next. Switching or driving transistors in AMLCD or AMOLED displays inevitably involves voltage bias or constant current stress upon prolonged operation, and in this regard many research groups have examined and proposed device degradation mechanisms under various stress conditions. The most recent studies involve stress experiments in the presence of visible light irradiating the semiconductor, and different degradation mechanisms have been proposed with respect to photon radiation. The last part of this review consists of a description of methods other than conventional vacuum deposition techniques regarding the formation of oxide semiconductor films, along with some potential application fields including flexible displays and information storage.

  18. Electrical properties of ZnO-based bottom-gate thin film transistors fabricated by using radio frequency magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Navamathavan, R. [Nano Thin Film Materials Laboratory, Department of Physics, Cheju National University, Jeju 690-756 (Korea, Republic of)], E-mail: n_mathavan@yahoo.com; Choi, Chi Kyu [Nano Thin Film Materials Laboratory, Department of Physics, Cheju National University, Jeju 690-756 (Korea, Republic of); Park, Seong-Ju [Nanophotonic Semiconductors Laboratory, Department of Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju 500-712 (Korea, Republic of)

    2009-05-05

    We report on enhancement-mode thin film transistors (TFTs) using ZnO as an active channel layer deposited by radio frequency (rf) magnetron sputtering at 300 deg. C. The TFT structure consisted of ZnO as a channel, SiN{sub x} as a gate insulator and indium tin oxide (ITO) as a gate which were deposited onto a Corning glass substrate. X-ray diffraction pattern revealed that dense columnar structure of closely packed ZnO nano grains along the c-axis. The transfer characteristics of a typical ZnO TFT exhibited a field effect mobility of 31 cm{sup 2}/V s, a drain current on/off ratio of 10{sup 4}, the low off-current value in the order of 10{sup -10} A, and a threshold voltage of 1.7 V. The transparent ZnO TFT exhibited n-channel enhancement mode behavior.

  19. High Stability Pentacene Transistors Using Polymeric Dielectric Surface Modifier.

    Science.gov (United States)

    Wang, Xiaohong; Lin, Guangqing; Li, Peng; Lv, Guoqiang; Qiu, Longzhen; Ding, Yunsheng

    2015-08-01

    1,6-bis(trichlorosilyl)hexane (C6Cl), polystyrene (PS), and cross-linked polystyrene (CPS) were investigated as gate dielectric modified layers for high performance organic transistors. The influence of the surface energy, roughness and morphology on the charge transport of the organic thin-film transistors (OTFTs) was investigated. The surface energy and roughness both affect the grain size of the pentacene films which will control the charge carrier mobility of the devices. Pentacene thin-film transistors fabricated on the CPS modified dielectric layers exhibited charge carrier mobility as high as 1.11 cm2 V-1 s-1. The bias stress stability for the CPS devices shows that the drain current only decays 1% after 1530 s and the mobility never decreases until 13530 s.

  20. Rapid thermal annealing of Ti-rich TiNi thin films: A new approach to fabricate patterned shape memory thin films

    International Nuclear Information System (INIS)

    Motemani, Y.; Tan, M.J.; White, T.J.; Huang, W.M.

    2011-01-01

    This paper reports the rapid thermal annealing (RTA) of Ti-rich TiNi thin films, synthesized by the co-sputtering of TiNi and Ti targets. Long-range order of aperiodic alloy could be achieved in a few seconds with the optimum temperature of 773 K. Longer annealing (773 K/240 s), transformed the film to a poorly ordered vitreous phase, suggesting a novel method for solid state amorphization. Reitveld refinement analyses showed significant differences in structural parameters of the films crystallized by rapid and conventional thermal annealing. Dependence of the elastic modulus on the valence electron density (VED) of the crystallized films was studied. It is suggested that RTA provides a new approach to fabricate patterned shape memory thin films.

  1. Growth of a single-wall carbon nanotube film and its patterning as an n-type field effect transistor device using an integrated circuit compatible process

    Energy Technology Data Exchange (ETDEWEB)

    Shiau, S H; Gau, C [Institute of Aeronautics and Astronautics, and Center for Micro/Nano Science and Technology, National Cheng Kung University, Tainan, Taiwan (China); Liu, C W; Dai, B T [National Nano Device Laboratories, No. 27, Nanke 3rd Road, Science-based Industrial Park, Hsin-shi, Tainan, Taiwan (China)], E-mail: gauc@mail.ncku.edu.tw

    2008-03-12

    This study presents the synthesis of a dense single-wall carbon nanotube (SWNT) network on a silicon substrate using alcohol as the source gas. The nanosize catalysts required are made by the reduction of metal compounds in ethanol. The key point in spreading the nanoparticles on the substrate, so that the SWNT network can be grown over the entire wafer, is making the substrate surface hydrophilic. This SWNT network is so dense that it can be treated like a thin film. Methods of patterning this SWNT film with integrated circuit compatible processes are presented and discussed for the first time in the literature. Finally, fabrication and characteristic measurements of a field effect transistor (FET) using this SWNT film are also demonstrated. This FET is shown to have better electronic properties than any other kind of thin film transistor. This thin film with good electronic properties can be readily applied in the processing of many other SWNT electronic devices.

  2. Leakage current suppression with a combination of planarized gate and overlap/off-set structure in metal-induced laterally crystallized polycrystalline-silicon thin-film transistors

    Science.gov (United States)

    Chae, Hee Jae; Seok, Ki Hwan; Lee, Sol Kyu; Joo, Seung Ki

    2018-04-01

    A novel inverted staggered metal-induced laterally crystallized (MILC) polycrystalline-silicon (poly-Si) thin-film transistors (TFTs) with a combination of a planarized gate and an overlap/off-set at the source-gate/drain-gate structure were fabricated and characterized. While the MILC process is advantageous for fabricating inverted staggered poly-Si TFTs, MILC TFTs reveal higher leakage current than TFTs crystallized by other processes due to their high trap density of Ni contamination. Due to this drawback, the planarized gate and overlap/off-set structure were applied to inverted staggered MILC TFTs. The proposed device shows drastic suppression of leakage current and pinning phenomenon by reducing the lateral electric field and the space-charge limited current from the gate to the drain.

  3. An analytical expression of electric potential and field of organic thin film transistors

    International Nuclear Information System (INIS)

    Pankalla, S; Glesner, M

    2012-01-01

    The two-dimensional electric potential and field of an organic thin-film transistor (OTFT) is derived by conformal mapping using the Schwarz-Christoffel-transformation of the Poisson equation. In this paper we compare this analytical closed-form solution to field simulation results from Silvaco TCAD. Inter alia the potential close to the surface is calculated and we found excellent accordance to the numerical simulations and thus proofed its usability for charge transport calculations. Thus, it is used for calculation of the drain-source-current in the channel.

  4. Applications of interface controlled pulsed-laser deposited polymer films in field-effect transistors

    Science.gov (United States)

    Adil, Danish; Ukah, Ndubuisi; Guha, Suchi; Gupta, Ram; Ghosh, Kartik

    2010-03-01

    Matrix assisted pulsed laser evaporation, a derivative of pulsed laser deposition (PLD), is an alternative method of depositing polymer and biomaterial films that allows homogeneous film coverage of high molecular weight organic materials for layer-by-layer growth without any laser induced damage. Polyfluorene (PF)-based conjugated polymers have attracted considerable attention in organic field-effect transistors (FETs). A co-polymer of PF (PFB) was deposited as a thin film using matrix assisted PLD employing a KrF excimer laser. Electrical characteristics of FETs fabricated using these PLD grown films were compared to those of FETs using spin-coated films. We show that threshold voltages, on/off ratios, and charge carrier motilities are significantly improved in PLD grown films. This is attributed to an improved dielectric-polymer interface.

  5. Enhancement of the saturation mobility in a ferroelectric-gated field-effect transistor by the surface planarization of ferroelectric film

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Woo Young, E-mail: semigumi@kaist.ac.kr [Department of Mechanical Engineering, Korea Advanced Institute of Science and Technology (KAIST), 373-1, Guseong-dong, Yuseong-gu, Daejeon 305-701 (Korea, Republic of); Jeon, Gwang-Jae; Kang, In-Ku; Shim, Hyun Bin; Lee, Hee Chul [Department of Electrical Engineering, Korea Advanced Institute of Science and Technology (KAIST), 373-1, Guseong-dong, Yuseong-gu, Daejeon 305-701 (Korea, Republic of)

    2015-09-30

    Ferroelectricity refers to the property of a dielectric material to undergo spontaneous polarization which originates from the crystalline phase. Hence, ferroelectric materials have a certain degree of surface roughness when they are formed as a thin film. A high degree of surface roughness may cause unintended phenomena when the ferroelectric material is used in electronic devices. Specifically, the quality of subsequently deposited film could be affected by the rough surface. The present study reports that the surface roughness of ferroelectric polymer film can be reduced by a double-spin-coating method of a solution, with control of the solubility of the solution. At an identical thickness of 350 nm, double-spin-coated ferroelectric film has a root-mean-square roughness of only 3 nm, while for single-spin-coated ferroelectric film this value is approximately 16 nm. A ferroelectric-gated field-effect transistor was fabricated using the proposed double-spin-coating method, showing a maximum saturation mobility as much as seven-fold than that of a transistor fabricated with single-spin-coated ferroelectric film. The enhanced saturation mobility could be explained by the Poole–Frenkel conduction mechanism. The proposed method to reduce the surface roughness of ferroelectric film would be useful for high performance organic electronic devices, including crystalline-phase dielectric film. - Highlights: • Single and double-layer solution-processed polymer ferroelectric films were obtained. • Adjusting the solvent solubility allows making double-layer ferroelectric (DF) films. • The DF film has a smoother surface than single-layer ferroelectric (SF) film. • DF-gated transistor has faster saturation mobility than SF-based transistor. • Solvent solubility adjustment led to higher performance organic devices.

  6. Enhancement of the electrical characteristics of thin-film transistors with indium-zinc-tin oxide/Ag/indium-zinc-tin oxide multilayer electrodes

    Science.gov (United States)

    Oh, Dohyun; Yun, Dong Yeol; Cho, Woon-Jo; Kim, Tae Whan

    2014-08-01

    Transparent indium-zinc-tin oxide (IZTO)-based thin-film transistors (TFTs) with IZTO/Ag/IZTO multilayer electrodes were fabricated on glass substrates using a tilted dual-target radio-frequency magnetron sputtering system. The IZTO TFTs with IZTO/Ag/IZTO multilayer electrodes exhibited a high optical transmittance in a visible region. The threshold voltage, the mobility, and the on/off-current ratio of the TFTs with IZTO/Ag/IZTO multilayer electrodes were enhanced in comparison with those of the TFTs with ITO electrodes. The source/drain contact resistance of the IZTO TFTs with IZTO/Ag/IZTO multilayer electrodes was smaller than that of the IZTO TFTs with ITO electrodes, resulting in enhancement of their electrical characteristics.

  7. Printed organic thin-film transistor-based integrated circuits

    International Nuclear Information System (INIS)

    Mandal, Saumen; Noh, Yong-Young

    2015-01-01

    Organic electronics is moving ahead on its journey towards reality. However, this technology will only be possible when it is able to meet specific criteria including flexibility, transparency, disposability and low cost. Printing is one of the conventional techniques to deposit thin films from solution-based ink. It is used worldwide for visual modes of information, and it is now poised to enter into the manufacturing processes of various consumer electronics. The continuous progress made in the field of functional organic semiconductors has achieved high solubility in common solvents as well as high charge carrier mobility, which offers ample opportunity for organic-based printed integrated circuits. In this paper, we present a comprehensive review of all-printed organic thin-film transistor-based integrated circuits, mainly ring oscillators. First, the necessity of all-printed organic integrated circuits is discussed; we consider how the gap between printed electronics and real applications can be bridged. Next, various materials for printed organic integrated circuits are discussed. The features of these circuits and their suitability for electronics using different printing and coating techniques follow. Interconnection technology is equally important to make this product industrially viable; much attention in this review is placed here. For high-frequency operation, channel length should be sufficiently small; this could be achievable with a combination of surface treatment-assisted printing or laser writing. Registration is also an important issue related to printing; the printed gate should be perfectly aligned with the source and drain to minimize parasitic capacitances. All-printed organic inverters and ring oscillators are discussed here, along with their importance. Finally, future applications of all-printed organic integrated circuits are highlighted. (paper)

  8. Remarkable reduction in the threshold voltage of pentacene-based thin film transistors with pentacene/CuPc sandwich configuration

    Directory of Open Access Journals (Sweden)

    Yi Li

    2014-06-01

    Full Text Available This study investigates the remarkable reduction in the threshold voltage (VT of pentacene-based thin film transistors with pentacene/copper phthalocyanine (CuPc sandwich configuration. This reduction is accompanied by increased mobility and lowered sub-threshold slope (S. Sandwich devices coated with a 5 nm layer of CuPc layer are compared with conventional top-contact devices, and results indicate that VT decreased significantly from −20.4 V to −0.2 V, that mobility increased from 0.18 cm2/Vs to 0.51 cm2/Vs, and that S was reduced from 4.1 V/dec to 2.9 V/dec. However, the on/off current ratio remains at 105. This enhanced performance could be attributed to the reduction in charge trap density by the incorporated CuPc layer. Results suggest that this method is simple and effectively generates pentacene-based organic thin film transistors with high mobility and low VT.

  9. Graphene Oxide/Poly(3-hexylthiophene) Nanocomposite Thin-Film Phototransistor for Logic Circuit Applications

    Science.gov (United States)

    Mansouri, S.; Coskun, B.; El Mir, L.; Al-Sehemi, Abdullah G.; Al-Ghamdi, Ahmed; Yakuphanoglu, F.

    2018-04-01

    Graphene is a sheet-structured material that lacks a forbidden band, being a good candidate for use in radiofrequency applications. We have elaborated graphene-oxide-doped poly(3-hexylthiophene) nanocomposite to increase the interlayer distance and thereby open a large bandgap for use in the field of logic circuits. Graphene oxide/poly(3-hexylthiophene) (GO/P3HT) nanocomposite thin-film transistors (TFTs) were fabricated on silicon oxide substrate by spin coating method. The current-voltage ( I- V) characteristics of TFTs with various P3HT compositions were studied in the dark and under light illumination. The photocurrent, charge carrier mobility, subthreshold voltage, density of interface states, density of occupied states, and I ON/ I OFF ratio of the devices strongly depended on the P3HT weight ratio in the composite. The effects of white-light illumination on the electrical parameters of the transistors were investigated. The results indicated that GO/P3HT nanocomposite thin-film transistors have high potential for use in radiofrequency applications, and their feasibility for use in digital applications has been demonstrated.

  10. Optically transparent super-hydrophobic thin film fabricated by reusable polyurethane-acrylate (PUA) mold

    Science.gov (United States)

    Park, J.-S.; Park, J.-H.; Lee, D.-W.

    2018-02-01

    In this paper, we describe a simple manufacturing method for producing an optically transparent super-hydrophobic polymer thin film using a reusable photo-curable polymer mold. Soluble photoresist (PR) molds were prepared with under-exposed and under-baked processes, which created unique hierarchical micro/nano structures. The reverse phase of the PR mold was replicated on the surface of polydimethylsiloxane (PDMS) substrates. The unique patterns on the replicated PDMS molds were successfully transferred back to the UV curable polyurethane-acrylate (PUA) using a laboratory-made UV exposure system. Continuous production of the super-hydrophobic PDMS thin film was demonstrated using the reusable PUA mold. In addition, hydrophobic nano-silica powder was sprayed onto the micro/nano structured PDMS surfaces to further improve hydrophobicity. The fabricated PDMS thin films with hierarchical surface texturing showed a water contact angle  ⩾150°. Excellent optical transmittance within the range of visible light of wavelengths between 400-800 nm was experimentally confirmed using a spectrophotometer. High efficiency of the super-hydrophobic PDMS film in optical transparency was also confirmed using solar panels. The fabricated PUA molds are very suitable for use in roll-to-roll or roll-to-plate systems which allow continuous production of super-hydrophobic thin films with an excellent optical transparency.

  11. Clean graphene electrodes on organic thin-film devices via orthogonal fluorinated chemistry.

    Science.gov (United States)

    Beck, Jonathan H; Barton, Robert A; Cox, Marshall P; Alexandrou, Konstantinos; Petrone, Nicholas; Olivieri, Giorgia; Yang, Shyuan; Hone, James; Kymissis, Ioannis

    2015-04-08

    Graphene is a promising flexible, highly transparent, and elementally abundant electrode for organic electronics. Typical methods utilized to transfer large-area films of graphene synthesized by chemical vapor deposition on metal catalysts are not compatible with organic thin-films, limiting the integration of graphene into organic optoelectronic devices. This article describes a graphene transfer process onto chemically sensitive organic semiconductor thin-films. The process incorporates an elastomeric stamp with a fluorinated polymer release layer that can be removed, post-transfer, via a fluorinated solvent; neither fluorinated material adversely affects the organic semiconductor materials. We used Raman spectroscopy, atomic force microscopy, and scanning electron microscopy to show that chemical vapor deposition graphene can be successfully transferred without inducing defects in the graphene film. To demonstrate our transfer method's compatibility with organic semiconductors, we fabricate three classes of organic thin-film devices: graphene field effect transistors without additional cleaning processes, transparent organic light-emitting diodes, and transparent small-molecule organic photovoltaic devices. These experiments demonstrate the potential of hybrid graphene/organic devices in which graphene is deposited directly onto underlying organic thin-film structures.

  12. Fabrication and optical properties of SnS thin films by SILAR method

    International Nuclear Information System (INIS)

    Ghosh, Biswajit; Das, Madhumita; Banerjee, Pushan; Das, Subrata

    2008-01-01

    Although the fabrication of tin disulfide thin films by SILAR method is quiet common, there is, however, no report is available on the growth of SnS thin film using above technique. In the present work, SnS films of 0.20 μm thickness were grown on glass and ITO substrates by SILAR method using SnSO 4 and Na 2 S solution. The as-grown films were smooth and strongly adherent to the substrate. XRD confirmed the deposition of SnS thin films. Scanning electron micrograph revealed almost equal distribution of the particle size well covered on the surface of the substrate. EDAX showed that as-grown SnS films were slightly rich in tin component while UV-vis transmission spectra exhibited high absorption in the visible region. The intense and sharp emission peaks at 680 and 825 nm (near band edge emission) dominated the photoluminescence spectra

  13. High-Performance Flexible Thin-Film Transistors Based on Single-Crystal-like Silicon Epitaxially Grown on Metal Tape by Roll-to-Roll Continuous Deposition Process.

    Science.gov (United States)

    Gao, Ying; Asadirad, Mojtaba; Yao, Yao; Dutta, Pavel; Galstyan, Eduard; Shervin, Shahab; Lee, Keon-Hwa; Pouladi, Sara; Sun, Sicong; Li, Yongkuan; Rathi, Monika; Ryou, Jae-Hyun; Selvamanickam, Venkat

    2016-11-02

    Single-crystal-like silicon (Si) thin films on bendable and scalable substrates via direct deposition are a promising material platform for high-performance and cost-effective devices of flexible electronics. However, due to the thick and unintentionally highly doped semiconductor layer, the operation of transistors has been hampered. We report the first demonstration of high-performance flexible thin-film transistors (TFTs) using single-crystal-like Si thin films with a field-effect mobility of ∼200 cm 2 /V·s and saturation current, I/l W > 50 μA/μm, which are orders-of-magnitude higher than the device characteristics of conventional flexible TFTs. The Si thin films with a (001) plane grown on a metal tape by a "seed and epitaxy" technique show nearly single-crystalline properties characterized by X-ray diffraction, Raman spectroscopy, reflection high-energy electron diffraction, and transmission electron microscopy. The realization of flexible and high-performance Si TFTs can establish a new pathway for extended applications of flexible electronics such as amplification and digital circuits, more than currently dominant display switches.

  14. Patterning of metallic electrodes on flexible substrates for organic thin-film transistors using a laser thermal printing method

    International Nuclear Information System (INIS)

    Chen, Kun-Tso; Lin, Yu-Hsuan; Ho, Jeng-Rong; Chen, Chih-Kant; Liu, Sung-Ho; Liao, Jin-Long; Cheng, Hua-Chi

    2011-01-01

    We report on a laser thermal printing method for transferring patterned metallic thin films on flexible plastic substrates using a pulsed CO 2 laser. Aluminium and silver line patterns, with micrometre scale resolution on poly(ethylene terephthalate) substrates, are shown. The printed electrodes demonstrate good conductivity and fulfil the properties for bottom-contact organic thin-film transistors. In addition to providing the energy for transferring the film, the absorption of laser light results in a rise in the temperature of the film and the substrate. This also further anneals the film and softens the plastic substrate. Consequently, it is possible to obtain a film with better surface morphology and with its film thickness implanted in part into the plastic surface. This implantation reveals excellent characteristics in adhesion and flexure resistance. Being feasible to various substrates and executable at ambient temperatures renders this approach a potential alternative for patterning metallic electrodes.

  15. Flexible logic circuits composed of chalcogenide-nanocrystal-based thin film transistors

    International Nuclear Information System (INIS)

    Yun, Junggwon; Cho, Kyoungah; Kim, Sangsig

    2010-01-01

    Complementary NAND and NOR gates composed of p-channel HgTe-nanocrystal (NC) films and n-channel HgSe-NC films were constructed on back-gate patterned plastic substrates. The NAND gate was made of two HgTe-p-channel thin film transistors (TFTs) in parallel and two HgSe-n-channel TFTs in series. The NOR gate was built up with both two HgSe-n-channel TFTs in parallel and two HgTe-p-channel TFTs in series. The mobility and on/off ratio for the p-channel TFTs were estimated to be 0.9 cm 2 V -1 s -1 and 10, respectively, and those for the n-channel TFTs were measured to be 1.8 cm 2 V -1 s -1 and 10 2 , respectively. The NAND and NOR gates were operated with gains of 1.45 and 1.63 and transition widths of 7.8 and 6.2 V, respectively, at room temperature in air. In addition, the operations of the NAND and NOR logics are reproducible for up to 1000 strain cycles.

  16. Direct observation of contact and channel resistance in pentacene four-terminal thin-film transistor patterned by laser ablation method

    International Nuclear Information System (INIS)

    Yagi, Iwao; Tsukagoshi, Kazuhito; Aoyagi, Yoshinobu

    2004-01-01

    We established a dry-etching patterning process for the channel formation of pentacene thin-film transistor, and fabricated a four-terminal device equipped with a gate electrode. The four-terminal device enabled us to divide two-terminal source-drain resistance into two components of contact resistance and pentacene channel resistance. We obtained direct evidence of a gate-voltagedependent contact resistance change: the gate-induced charge significantly reduced the contact resistance and increased source-drain current. Furthermore, the temperature dependence of the device clearly indicated that the contact resistance was much higher than the channel resistance and was dominated in the two-terminal total resistance of the device below 120 K. An observed activation energy of 80 meV for contact resistance was higher than that of 42 meV for pentacene channel resistance

  17. Direct Inkjet Printing of Silver Source/Drain Electrodes on an Amorphous InGaZnO Layer for Thin-Film Transistors.

    Science.gov (United States)

    Ning, Honglong; Chen, Jianqiu; Fang, Zhiqiang; Tao, Ruiqiang; Cai, Wei; Yao, Rihui; Hu, Shiben; Zhu, Zhennan; Zhou, Yicong; Yang, Caigui; Peng, Junbiao

    2017-01-10

    Printing technologies for thin-film transistors (TFTs) have recently attracted much interest owing to their eco-friendliness, direct patterning, low cost, and roll-to-roll manufacturing processes. Lower production costs could result if electrodes fabricated by vacuum processes could be replaced by inkjet printing. However, poor interfacial contacts and/or serious diffusion between the active layer and the silver electrodes are still problematic for achieving amorphous indium-gallium-zinc-oxide (a-IGZO) TFTs with good electrical performance. In this paper, silver (Ag) source/drain electrodes were directly inkjet-printed on an amorphous a-IGZO layer to fabricate TFTs that exhibited a mobility of 0.29 cm²·V -1 ·s -1 and an on/off current ratio of over 10⁵. To the best of our knowledge, this is a major improvement for bottom-gate top-contact a-IGZO TFTs with directly printed silver electrodes on a substrate with no pretreatment. This study presents a promising alternative method of fabricating electrodes of a-IGZO TFTs with desirable device performance.

  18. Direct Inkjet Printing of Silver Source/Drain Electrodes on an Amorphous InGaZnO Layer for Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Honglong Ning

    2017-01-01

    Full Text Available Printing technologies for thin-film transistors (TFTs have recently attracted much interest owing to their eco-friendliness, direct patterning, low cost, and roll-to-roll manufacturing processes. Lower production costs could result if electrodes fabricated by vacuum processes could be replaced by inkjet printing. However, poor interfacial contacts and/or serious diffusion between the active layer and the silver electrodes are still problematic for achieving amorphous indium–gallium–zinc–oxide (a-IGZO TFTs with good electrical performance. In this paper, silver (Ag source/drain electrodes were directly inkjet-printed on an amorphous a-IGZO layer to fabricate TFTs that exhibited a mobility of 0.29 cm2·V−1·s−1 and an on/off current ratio of over 105. To the best of our knowledge, this is a major improvement for bottom-gate top-contact a-IGZO TFTs with directly printed silver electrodes on a substrate with no pretreatment. This study presents a promising alternative method of fabricating electrodes of a-IGZO TFTs with desirable device performance.

  19. Azaisoindigo conjugated polymers for high performance n-type and ambipolar thin film transistor applications

    KAUST Repository

    Yue, Wan

    2016-09-28

    Two new alternating copolymers, PAIIDBT and PAIIDSe have been prepared by incorporating a highly electron deficient azaisoindigo core. The molecular structure and packing of the monomer is determined from the single crystal X-ray diffraction. Both polymers exhibit high EAs and highly planar polymer backbones. When polymers are used as the semiconducting channel for solution-processed thin film transistor application, good properties are observed. A–A type PAIIDBT exhibits unipolar electron mobility as high as 1.0 cm2 V−1 s−1, D–A type PAIIDSe exhibits ambipolar charge transport behavior with predominately electron mobility up to 0.5 cm2 V−1 s−1 and hole mobility to 0.2 cm2 V−1 s−1. The robustness of the extracted mobility values are also commented on in detail. Molecular orientation, thin film morphology and energetic disorder of both polymers are systematically investigated.

  20. Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yurchuk, Ekaterina

    2015-02-06

    Ferroelectric field effect transistor (FeFET) memories based on a new type of ferroelectric material (silicon doped hafnium oxide) were studied within the scope of the present work. Utilisation of silicon doped hafnium oxide (Si:HfO{sub 2}) thin films instead of conventional perovskite ferroelectrics as a functional layer in FeFETs provides compatibility to the CMOS process as well as improved device scalability. The influence of different process parameters on the properties of Si:HfO{sub 2} thin films was analysed in order to gain better insight into the occurrence of ferroelectricity in this system. A subsequent examination of the potential of this material as well as its possible limitations with the respect to the application in non-volatile memories followed. The Si:HfO{sub 2}-based ferroelectric transistors that were fully integrated into the state-of-the-art high-k metal gate CMOS technology were studied in this work for the first time. The memory performance of these devices scaled down to 28 nm gate length was investigated. Special attention was paid to the charge trapping phenomenon shown to significantly affect the device behaviour.

  1. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  2. Contact effects analyzed by a parameter extraction method based on a single bottom-gate/top-contact organic thin-film transistor

    Science.gov (United States)

    Takagaki, Shunsuke; Yamada, Hirofumi; Noda, Kei

    2018-03-01

    Contact effects in organic thin-film transistors (OTFTs) were examined by using our previously proposed parameter extraction method from the electrical characteristics of a single staggered-type device. Gate-voltage-dependent contact resistance and channel mobility in the linear regime were evaluated for bottom-gate/top-contact (BGTC) pentacene TFTs with active layers of different thicknesses, and for pentacene TFTs with contact-doped layers prepared by coevaporation of pentacene and tetrafluorotetracyanoquinodimethane (F4TCNQ). The extracted parameters suggested that the influence of the contact resistance becomes more prominent with the larger active-layer thickness, and that contact-doping experiments give rise to a drastic decrease in the contact resistance and a concurrent considerable improvement in the channel mobility. Additionally, the estimated energy distributions of trap density in the transistor channel probably reflect the trap filling with charge carriers injected into the channel regions. The analysis results in this study confirm the effectiveness of our proposed method, with which we can investigate contact effects and circumvent the influences of characteristic variations in OTFT fabrication.

  3. Blending effect of 6,13-bis(triisopropylsilylethynyl) pentacene–graphene composite layers for flexible thin film transistors with a polymer gate dielectric

    International Nuclear Information System (INIS)

    Basu, Sarbani; Adriyanto, Feri; Wang, Yeong-Her

    2014-01-01

    Solution processible poly(4-vinylphenol) is employed as a transistor dielectric material for low cost processing on flexible substrates at low temperatures. A 6,13-bis (triisopropylsilylethynyl) (TIPS) pentacene–graphene hybrid semiconductor is drop cast to fabricate bottom-gate and bottom-contact field-effect transistor devices on flexible and glass substrates under an ambient air environment. A few layers of graphene flakes increase the area in the conduction channel, and form bridge connections between the crystalline regions of the semiconductor layer which can change the surface morphology of TIPS pentacene films. The TIPS pentacene–graphene hybrid semiconductor-based organic thin film transistors (OTFTs) cross-linked with a poly(4-vinylphenol) gate dielectric exhibit an effective field-effect mobility of 0.076 cm 2  V −1  s −1 and a threshold voltage of −0.7 V at V gs = −40 V. By contrast, typical TIPS pentacene shows four times lower mobility of 0.019 cm 2  V −1  s −1 and a threshold voltage of 5 V. The graphene/TIPS pentacene hybrids presented in this paper can enhance the electrical characteristics of OTFTs due to their high crystallinity, uniform large-grain distribution, and effective reduction of crystal misorientation of the organic semiconductor layer, as confirmed by x-ray diffraction spectroscopy, atomic force microscopy, and optical microscopy studies. (paper)

  4. Significant electrical control of amorphous oxide thin film transistors by an ultrathin Ti surface polarity modifier

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Byungsu [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Samsung Display Co. Ltd., Tangjeong, Chungcheongnam-Do 336-741 (Korea, Republic of); Choi, Yonghyuk; Shin, Seokyoon [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Jeon, Heeyoung [Department of Nano-scale Semiconductor Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Seo, Hyungtak, E-mail: hseo@ajou.ac.kr [Department of Materials Science and Engineering and Energy Systems Research, Ajou University, Suwon 443-739 (Korea, Republic of); Jeon, Hyeongtag, E-mail: hjeon@hanyang.ac.kr [Division of Materials Science and Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Department of Nano-scale Semiconductor Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2014-01-27

    We demonstrate an enhanced electrical stability through a Ti oxide (TiO{sub x}) layer on the amorphous InGaZnO (a-IGZO) back-channel; this layer acts as a surface polarity modifier. Ultrathin Ti deposited on the a-IGZO existed as a TiO{sub x} thin film, resulting in oxygen cross-binding with a-IGZO surface. The electrical properties of a-IGZO thin film transistors (TFTs) with TiO{sub x} depend on the surface polarity change and electronic band structure evolution. This result indicates that TiO{sub x} on the back-channel serves as not only a passivation layer protecting the channel from ambient molecules or process variables but also a control layer of TFT device parameters.

  5. Poly(4-vinylphenol-co-methyl methacrylate) / titanium dioxide nanocomposite gate insulators for 6,13-bis(triisopropylsilylethynyl)-pentacene thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xue; Park, Jiho; Baang, Sungkeun; Park, Jaehoon [Hallym University, Chuncheon (Korea, Republic of); Piao, Shanghao; Kim, Sohee; Choi, Hyoungjin [Inha University, Incheon (Korea, Republic of)

    2014-12-15

    Poly(4-vinylphenol-co-methyl methacrylate) / titanium dioxide (TiO{sub 2}) nanocomposite insulators were fabricated for application in 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-Pn) thin-film transistors (TFTs). The capacitance of the fabricated capacitors with this nanocomposite insulator increased with increasing content of the high-dielectric-constant TiO{sub 2} nanoparticles. Nonetheless, particle aggregates, which were invariably produced in the insulator at higher TiO{sub 2} contents, augmented gate-leakage currents during device operation while the rough surface of the insulator obstructed charge transport in the conducting channel of the TIPS-Pn TFTs. These results suggest a significant effect of the morphological characteristics of nanocomposite insulators on TFT performance, as well as on their dielectric properties. Herein, the optimal particle composition was determined to be approximately 1.5 wt%, which contributed to characteristic improvements in the drain current, field-effect mobility, and threshold voltage of TIPS-Pn TFTs.

  6. Enhancing the performance of organic thin-film transistors using an organic-doped inorganic buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Su, Shui-Hsiang, E-mail: shsu@isu.edu.tw; Wu, Chung-Ming; Kung, Shu-Yi; Yokoyama, Meiso

    2013-06-01

    Organic thin-film transistors (OTFTs) with various buffer layers between the active layer and source/drain electrodes were investigated. The structure was polyethylene terephthalate/indium-tin oxide/poly(methyl methacrylate) (PMMA)/pentacene/buffer layer/Au (source/drain). V{sub 2}O{sub 5}, 4,4′,4″-tris{N,(3-methylpheny)-N-phenylamino}-triphenylamine (m-MTDATA) and m-MTDATA-doped V{sub 2}O{sub 5} films were utilized as buffer layers. The electrical performances of OTFTs in terms of drain current, threshold voltage, mobility and on/off current ratio have been determined. As a result, the saturation current of − 40 μA is achieved in OTFTs with a 10% m-MTDATA-doped V{sub 2}O{sub 5} buffer layer at a V{sub GS} of − 60 V. The on/off current ratio reaches 2 × 10{sup 5}, which is approximately double of the device without a buffer layer. The energy band diagrams of the electrode/buffer layer/pentacene were measured using ultra-violet photoelectron spectroscopy. The improvement in electrical characteristics of the OTFTs is attributable to the weakening of the interface dipole and the lowering of the barrier to enhance holes transportation from the source electrode to the active layer. - Highlights: • A buffer layer enhances the performance of organic thin-film transistors (OTFTs). • The buffer layer consists of organic-doped inorganic material. • Interface dipole is weakened at the active layer/electrodes interface of OTFTs.

  7. Exciton-polaron quenching in organic thin-film transistors studied by fluorescence lifetime imaging microscopy

    DEFF Research Database (Denmark)

    Jensen, Per Baunegaard With; Leißner, Till; Osadnik, Andreas

    Organic semiconductors show great potential in electronic and optical applications. However, a major challenge is the degradation of the semiconductor materials that cause a reduction in device performance. Here, we present our investigations of Organic Thin Film Transistors (OTFT) based...... that correlates with the local charge density indicates a pronounced exciton quenching by the injected charges. Subsequent FLIM measurements on previously biased OTFT devices show a general decrease in fluorescence lifetime suggesting degradation of the organic semiconductor. This is correlated with the results...

  8. Enhanced electrical properties of oxide semiconductor thin-film transistors with high conductivity thin layer insertion for the channel region

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Cam Phu Thi; Raja, Jayapal; Kim, Sunbo; Jang, Kyungsoo; Le, Anh Huy Tuan; Lee, Youn-Jung; Yi, Junsin, E-mail: junsin@skku.edu

    2017-02-28

    Highlights: • The characteristics of thin film transistors using double active layers are examined. • Electrical characteristics have been improved for the double active layers devices. • The total trap density can be decreased by insert-ion of ultrathin ITO film. - Abstract: This study examined the performance and the stability of indium tin zinc oxide (ITZO) thin film transistors (TFTs) by inserting an ultra-thin indium tin oxide (ITO) layer at the active/insulator interface. The electrical properties of the double channel device (ITO thickness of 5 nm) were improved in comparison with the single channel ITZO or ITO devices. The TFT characteristics of the device with an ITO thickness of less than 5 nm were degraded due to the formation of an island-like morphology and the carriers scattering at the active/insulator interface. The 5 nm-thick ITO inserted ITZO TFTs (optimal condition) exhibited a superior field effect mobility (∼95 cm{sup 2}/V·s) compared with the ITZO-only TFTs (∼34 cm{sup 2}/V·s). The best characteristics of the TFT devices with double channel layer are due to the lowest surface roughness (0.14 nm) and contact angle (50.1°) that result in the highest hydrophicility, and the most effective adhesion at the surface. Furthermore, the threshold voltage shifts for the ITO/ITZO double layer device decreased to 0.80 and −2.39 V compared with 6.10 and −6.79 V (for the ITZO only device) under positive and negative bias stress, respectively. The falling rates of E{sub A} were 0.38 eV/V and 0.54 eV/V for the ITZO and ITO/ITZO bi-layer devices, respectively. The faster falling rate of the double channel devices suggests that the trap density, including interface trap and semiconductor bulk trap, can be decreased by the ion insertion of a very thin ITO film into the ITZO/SiO{sub 2} reference device. These results demonstrate that the double active layer TFT can potentially be applied to the flat panel display.

  9. A Drain Current Model Based on the Temperature Effect of a-Si:H Thin-Film Transistors

    International Nuclear Information System (INIS)

    Qiang Lei; Yao Ruo-He

    2012-01-01

    Based on the differential Ohm's law and Poisson's equation, an analytical model of the drain current for a-Si:H thin-film transistors is developed. This model is proposed to elaborate the temperature effect on the drain current, which indicates that the drain current is linear with temperature in the range of 290-360 K, and the results fit well with the experimental data

  10. Directional solidification of C8-BTBT films induced by temperature gradients and its application for transistors

    Science.gov (United States)

    Fujieda, Ichiro; Iizuka, Naoki; Onishi, Yosuke

    2015-03-01

    Because charge transport in a single crystal is anisotropic in nature, directional growth of single crystals would enhance device performance and reduce its variation among devices. For an organic thin film, a method based on a temperature gradient would offer advantages in throughput and cleanliness. In experiments, a temperature gradient was established in a spin-coated film of 2,7-dioctyl [1]benzothieno[3,2-b]benzothiophene (C8-BTBT) by two methods. First, a sample was placed on a metal plate bridging two heat stages. When one of the heat stages was cooled, the material started to solidify from the colder region. The melt-solid interface proceeded along the temperature gradient. Cracks were formed perpendicular to the solidification direction. Second, a line-shaped region on the film was continuously exposed to the light from a halogen lamp. After the heat stage was cooled, cracks similar to the first experiment were observed, indicating that the melt-solid interface moved laterally. We fabricated top-contact, bottom-gate transistors with these films. Despite the cracks, field-effect mobility of the transistors fabricated with these films was close to 6 cm2 /Vs and 4 cm2 /Vs in the first and second experiment, respectively. Elimination of cracks would improve charge transport and reduce performance variation among devices. It should be noted that the intense light from the halogen lamp did not damage the C8-BTBT films. The vast knowledge on laser annealing is now available for directional growth of this type of materials. The associated cost would be much smaller because an organic thin film melts at a low temperature.

  11. Effects of Mg doping on the gate bias and thermal stability of solution-processed InGaZnO thin-film transistors

    International Nuclear Information System (INIS)

    Su, Bo-Yuan; Chu, Sheng-Yuan; Juang, Yung-Der; Liu, Ssu-Yin

    2013-01-01

    Graphical abstract: Mg-doped IGZO TFTs showed improved TFT performance and thermal stability due to fewer oxygen deficiencies and less interface electron trapping. Highlights: •We fabricated Mg-doped IGZO TFTs with improved performance using solution-process. •Mg doping reduced the oxygen deficiencies and less interface electron trapping of a-IGZO films. •Mg dope-TFT showed high mobility of 2.35 cm 2 /V s and an on–off current ratio over 10 6 . •For better device stability (gate-bias and thermal stability) was proved. -- Abstract: The effects of magnesium (Mg) doping (molar ratio Mg/Zn = (0–10 at.%)) on solution-processed amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs) grown using the sol–gel method are investigated. TFT devices fabricated with Mg-doped films showed an improved field-effect mobility of 2.35 cm 2 /V s and a subthreshold slope (S) of 0.42 V/dec compared to those of an undoped a-IGZO TFT (0.73 cm 2 /V s and 0.74 V/dec, respectively), and an on–off current ratio of over 10 6 . Moreover, the 5 at.% Mg-doped TFT device showed improved gate bias and thermal stability due to fewer oxygen deficiencies, smaller carrier concentration, and less interface electron trapping in the a-IGZO films

  12. Effects of Mg doping on the gate bias and thermal stability of solution-processed InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Su, Bo-Yuan [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Chu, Sheng-Yuan, E-mail: chusy@mail.ncku.edu.tw [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China); Center for Micro/Nano Science and Technology Center, National Cheng Kung University, Tainan 701, Taiwan (China); Juang, Yung-Der [Department of Materials Science, National University of Tainan, Tainan 700, Taiwan (China); Liu, Ssu-Yin [Department of Electrical Engineering, National Cheng Kung University, Tainan 701, Taiwan (China)

    2013-12-15

    Graphical abstract: Mg-doped IGZO TFTs showed improved TFT performance and thermal stability due to fewer oxygen deficiencies and less interface electron trapping. Highlights: •We fabricated Mg-doped IGZO TFTs with improved performance using solution-process. •Mg doping reduced the oxygen deficiencies and less interface electron trapping of a-IGZO films. •Mg dope-TFT showed high mobility of 2.35 cm{sup 2}/V s and an on–off current ratio over 10{sup 6}. •For better device stability (gate-bias and thermal stability) was proved. -- Abstract: The effects of magnesium (Mg) doping (molar ratio Mg/Zn = (0–10 at.%)) on solution-processed amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs) grown using the sol–gel method are investigated. TFT devices fabricated with Mg-doped films showed an improved field-effect mobility of 2.35 cm{sup 2}/V s and a subthreshold slope (S) of 0.42 V/dec compared to those of an undoped a-IGZO TFT (0.73 cm{sup 2}/V s and 0.74 V/dec, respectively), and an on–off current ratio of over 10{sup 6}. Moreover, the 5 at.% Mg-doped TFT device showed improved gate bias and thermal stability due to fewer oxygen deficiencies, smaller carrier concentration, and less interface electron trapping in the a-IGZO films.

  13. Transport physics and device modeling of zinc oxide thin-film transistors. Pt. II: Contact Resistance in Short Channel Devices

    NARCIS (Netherlands)

    Torricelli, F.; Meijboom, J.R.; Smits, E.; Tripathi, A.K.; Gelinck, G.H.; Colalongo, L.; Kovacs-Vajna, Z.M.; Leeuw, D. de; Cantatore, E.

    2011-01-01

    Abstract—Short-channel zinc oxide (ZnO) thin-film transistors (TFTs) are investigated in a wide range of temperatures and bias conditions. Scaling down the channel length, the TFT performance is seriously affected by contact resistances, which depend on gate voltage and temperature. To account for

  14. Transport physics and device modeling of zinc oxide thin film transistors - part II : contact resistance in short channel devices

    NARCIS (Netherlands)

    Torricelli, F.; Smits, E.C.P.; Meijboom, J.R.; Tripathi, A.K.; Gelinck, G.H.; Colalongo, L.; Kovacs-Vajna, Z.M.; Cantatore, E.

    2011-01-01

    Short-channel zinc oxide (ZnO) thin-film transistors (TFTs) are investigated in a wide range of temperatures and bias conditions. Scaling down the channel length, the TFT performance is seriously affected by contact resistances, which depend on gate voltage and temperature. To account for the

  15. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer

    NARCIS (Netherlands)

    Nag, M.; Steudel, S.; Bhoolokam, A.; Chasin, A.; Rockele, M.; Myny, K.; Maas, J.; Fritz, T.; Trube, J.; Groeseneken, G.; Heremans, P.

    2014-01-01

    In this work, we report on high-performance bottom-gate top-contact (BGTC) amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) thin-film transistor (TFT) with SiO2 as an etch-stop-layer (ESL) deposited by medium frequency physical vapor deposition (mf-PVD). The TFTs show field-effect mobility (μFE) of

  16. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  17. Effect of titanium oxide–polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    International Nuclear Information System (INIS)

    Della Pelle, Andrea M.; Maliakal, Ashok; Sidorenko, Alexander; Thayumanavan, S.

    2012-01-01

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide–polystyrene core–shell nanocomposite (TiO 2 –PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO 2 –PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as α-sexithiophene (α-6T) (enhancement factor for field effect mobility ranging from 30-100× higher on TiO 2 –PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for α-sexithiophene (α-6T) grown by thermal evaporation on TiO 2 –PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO 2 –PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2×) increase in mobility with increasing TiO 2 –PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation rate produces organic polycrystalline films with small grain

  18. Reduction of the interfacial trap density of indium-oxide thin film transistors by incorporation of hafnium and annealing process

    Directory of Open Access Journals (Sweden)

    Meng-Fang Lin

    2015-01-01

    Full Text Available The stable operation of transistors under a positive bias stress (PBS is achieved using Hf incorporated into InOx-based thin films processed at relatively low temperatures (150 to 250 °C. The mobilities of the Hf-InOx thin-film transistors (TFTs are higher than 8 cm2/Vs. The TFTs not only have negligible degradation in the mobility and a small shift in the threshold voltage under PBS for 60 h, but they are also thermally stable at 85 °C in air, without the need for a passivation layer. The Hf-InOx TFT can be stable even annealed at 150 °C for positive bias temperature stability (PBTS. A higher stability is achieved by annealing the TFTs at 250 °C, originating from a reduction in the trap density at the Hf-InOx/gate insulator interface. The knowledge obtained here will aid in the realization of stable TFTs processed at low temperatures.

  19. Performance improvement of organic thin film transistors by using active layer with sandwich structure

    Science.gov (United States)

    Ni, Yao; Zhou, Jianlin; Kuang, Peng; Lin, Hui; Gan, Ping; Hu, Shengdong; Lin, Zhi

    2017-08-01

    We report organic thin film transistors (OTFTs) with pentacene/fluorinated copper phthalo-cyanine (F16CuPc)/pentacene (PFP) sandwich configuration as active layers. The sandwich devices not only show hole mobility enhancement but also present a well control about threshold voltage and off-state current. By investigating various characteristics, including current-voltage hysteresis, organic film morphology, capacitance-voltage curve and resistance variation of active layers carefully, it has been found the performance improvement is mainly attributed to the low carrier traps and the higher conductivity of the sandwich active layer due to the additional induced carriers in F16CuPc/pentacene. Therefore, using proper multiple active layer is an effective way to gain high performance OTFTs.

  20. High performance top-gated indium–zinc–oxide thin film transistors with in-situ formed HfO{sub 2} gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Song, Yang, E-mail: yang_song@brown.edu [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); Zaslavsky, A. [Department of Physics, Brown University, 182 Hope Street, Providence, RI 02912 (United States); School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States); Paine, D.C. [School of Engineering, Brown University, 184 Hope Street, Providence, RI 02912 (United States)

    2016-09-01

    We report on top-gated indium–zinc–oxide (IZO) thin film transistors (TFTs) with an in-situ formed HfO{sub 2} gate dielectric insulator. Building on our previous demonstration of high-performance IZO TFTs with Al{sub 2}O{sub 3}/HfO{sub 2} gate dielectric, we now report on a one-step process, in which Hf is evaporated onto the 20 nm thick IZO channel, forming a partially oxidized HfO{sub x} layer, without any additional insulator in-between. After annealing in air at 300 °C, the in-situ reaction between partially oxidized Hf and IZO forms a high quality HfO{sub 2} gate insulator with a low interface trapped charge density N{sub TC} ~ 2.3 × 10{sup 11} cm{sup −2} and acceptably low gate leakage < 3 × 10{sup −7} A/cm{sup 2} at gate voltage V{sub G} = 1 V. The annealed TFTs with gate length L{sub G} = 50 μm have high mobility ~ 95 cm{sup 2}/V ∙ s (determined via the Y-function technique), high on/off ratio ~ 10{sup 7}, near-zero threshold voltage V{sub T} = − 0.02 V, and a subthreshold swing of 0.062 V/decade, near the theoretical limit. The on-current of our proof-of-concept TFTs is relatively low, but can be improved by reducing L{sub G}, indicating that high-performance top-gated HfO{sub 2}-isolated IZO TFTs can be fabricated using a single-step in-situ dielectric formation approach. - Highlights: • High-performance indium–zinc–oxide (IZO) thin film transistors (TFTs). • Single-step in-situ dielectric formation approach simplifies fabrication process. • During anneal, reaction between HfO{sub x} and IZO channel forms a high quality HfO{sub 2} layer. • Gate insulator HfO{sub 2} shows low interface trapped charge and small gate leakage. • TFTs have high mobility, near-zero threshold voltage, and a low subthreshold swing.

  1. Pentacene based thin film transistors with high-k dielectric Nd2O3 as a gate insulator

    International Nuclear Information System (INIS)

    Sarma, R.; Saikia, D.

    2010-01-01

    We have investigated the pentacene based Organic Thin Film Transistors (OTFTs) with high-k dielectric Nd 2 O 3 . Use of high dielectric constant (high-k) gate insulator Nd 2 O 3 reduces the threshold voltage and sub threshold swing of the OTFTs. The calculated threshold voltage -2.2V and sub-threshold swing 1V/decade, current ON-OFF ratio is 1.7 X 10 4 and mobility is 0.13cm 2 /V.s. Pentacene film is deposited on Nd 2 O 3 surface using two step deposition method. Deposited pentacene film is found poly crystalline in nature. (author)

  2. Effects of the F4TCNQ-Doped Pentacene Interlayers on Performance Improvement of Top-Contact Pentacene-Based Organic Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Ching-Lin Fan

    2016-01-01

    Full Text Available In this paper, the top-contact (TC pentacene-based organic thin-film transistor (OTFT with a tetrafluorotetracyanoquinodimethane (F4TCNQ-doped pentacene interlayer between the source/drain electrodes and the pentacene channel layer were fabricated using the co-evaporation method. Compared with a pentacene-based OTFT without an interlayer, OTFTs with an F4TCNQ:pentacene ratio of 1:1 showed considerably improved electrical characteristics. In addition, the dependence of the OTFT performance on the thickness of the F4TCNQ-doped pentacene interlayer is weaker than that on a Teflon interlayer. Therefore, a molecular doping-type F4TCNQ-doped pentacene interlayer is a suitable carrier injection layer that can improve the TC-OTFT performance and facilitate obtaining a stable process window.

  3. Effects of the F4TCNQ-Doped Pentacene Interlayers on Performance Improvement of Top-Contact Pentacene-Based Organic Thin-Film Transistors

    Science.gov (United States)

    Fan, Ching-Lin; Lin, Wei-Chun; Chang, Hsiang-Sheng; Lin, Yu-Zuo; Huang, Bohr-Ran

    2016-01-01

    In this paper, the top-contact (TC) pentacene-based organic thin-film transistor (OTFT) with a tetrafluorotetracyanoquinodimethane (F4TCNQ)-doped pentacene interlayer between the source/drain electrodes and the pentacene channel layer were fabricated using the co-evaporation method. Compared with a pentacene-based OTFT without an interlayer, OTFTs with an F4TCNQ:pentacene ratio of 1:1 showed considerably improved electrical characteristics. In addition, the dependence of the OTFT performance on the thickness of the F4TCNQ-doped pentacene interlayer is weaker than that on a Teflon interlayer. Therefore, a molecular doping-type F4TCNQ-doped pentacene interlayer is a suitable carrier injection layer that can improve the TC-OTFT performance and facilitate obtaining a stable process window. PMID:28787845

  4. Transparent megahertz circuits from solution-processed composite thin films.

    Science.gov (United States)

    Liu, Xingqiang; Wan, Da; Wu, Yun; Xiao, Xiangheng; Guo, Shishang; Jiang, Changzhong; Li, Jinchai; Chen, Tangsheng; Duan, Xiangfeng; Fan, Zhiyong; Liao, Lei

    2016-04-21

    Solution-processed amorphous oxide semiconductors have attracted considerable interest in large-area transparent electronics. However, due to its relative low carrier mobility (∼10 cm(2) V(-1) s(-1)), the demonstrated circuit performance has been limited to 800 kHz or less. Herein, we report solution-processed high-speed thin-film transistors (TFTs) and integrated circuits with an operation frequency beyond the megahertz region on 4 inch glass. The TFTs can be fabricated from an amorphous indium gallium zinc oxide/single-walled carbon nanotube (a-IGZO/SWNT) composite thin film with high yield and high carrier mobility of >70 cm(2) V(-1) s(-1). On-chip microwave measurements demonstrate that these TFTs can deliver an unprecedented operation frequency in solution-processed semiconductors, including an extrinsic cut-off frequency (f(T) = 102 MHz) and a maximum oscillation frequency (f(max) = 122 MHz). Ring oscillators further demonstrated an oscillation frequency of 4.13 MHz, for the first time, realizing megahertz circuit operation from solution-processed semiconductors. Our studies represent an important step toward high-speed solution-processed thin film electronics.

  5. Controlling the Performance of P-type Cu2O/SnO Bilayer Thin-Film Transistors by Adjusting the Thickness of the Copper Oxide Layer

    KAUST Repository

    Al-Jawhari, Hala A.; Caraveo-Frescas, Jesus Alfonso; Hedhili, Mohamed N.

    2014-01-01

    The effect of copper oxide layer thickness on the performance of Cu2O/SnO bilayer thin-film transistors was investigated. By using sputtered Cu2O films produced at an oxygen partial pressure, Opp, of 10% as the upper layer and 3% Opp SnO films

  6. 3.4-Inch Quarter High Definition Flexible Active Matrix Organic Light Emitting Display with Oxide Thin Film Transistor

    Science.gov (United States)

    Hatano, Kaoru; Chida, Akihiro; Okano, Tatsuya; Sugisawa, Nozomu; Inoue, Tatsunori; Seo, Satoshi; Suzuki, Kunihiko; Oikawa, Yoshiaki; Miyake, Hiroyuki; Koyama, Jun; Yamazaki, Shunpei; Eguchi, Shingo; Katayama, Masahiro; Sakakura, Masayuki

    2011-03-01

    In this paper, we report a 3.4-in. flexible active matrix organic light emitting display (AMOLED) display with remarkably high definition (quarter high definition: QHD) in which oxide thin film transistors (TFTs) are used. We have developed a transfer technology in which a TFT array formed on a glass substrate is separated from the substrate by physical force and then attached to a flexible plastic substrate. Unlike a normal process in which a TFT array is directly fabricated on a thin plastic substrate, our transfer technology permits a high integration of high performance TFTs, such as low-temperature polycrystalline silicon TFTs (LTPS TFTs) and oxide TFTs, on a plastic substrate, because a flat, rigid, and thermally-stable glass substrate can be used in the TFT fabrication process in our transfer technology. As a result, this technology realized an oxide TFT array for an AMOLED on a plastic substrate. Furthermore, in order to achieve a high-definition AMOLED, color filters were incorporated in the TFT array and a white organic light-emitting diode (OLED) was combined. One of the features of this device is that the whole body of the device can be bent freely because a source driver and a gate driver can be integrated on the substrate due to the high mobility of an oxide TFT. This feature means “true” flexibility.

  7. Morphological Influence of Solution-Processed Zinc Oxide Films on Electrical Characteristics of Thin-Film Transistors

    Directory of Open Access Journals (Sweden)

    Hyeonju Lee

    2016-10-01

    Full Text Available We report on the morphological influence of solution-processed zinc oxide (ZnO semiconductor films on the electrical characteristics of ZnO thin-film transistors (TFTs. Different film morphologies were produced by controlling the spin-coating condition of a precursor solution, and the ZnO films were analyzed using atomic force microscopy, X-ray diffraction, X-ray photoemission spectroscopy, and Hall measurement. It is shown that ZnO TFTs have a superior performance in terms of the threshold voltage and field-effect mobility, when ZnO crystallites are more densely packed in the film. This is attributed to lower electrical resistivity and higher Hall mobility in a densely packed ZnO film. In the results of consecutive TFT operations, a positive shift in the threshold voltage occurred irrespective of the film morphology, but the morphological influence on the variation in the field-effect mobility was evident. The field-effect mobility in TFTs having a densely packed ZnO film increased continuously during consecutive TFT operations, which is in contrast to the mobility decrease observed in the less packed case. An analysis of the field-effect conductivities ascribes these results to the difference in energetic traps, which originate from structural defects in the ZnO films. Consequently, the morphological influence of solution-processed ZnO films on the TFT performance can be understood through the packing property of ZnO crystallites.

  8. Effects of thermal annealing on the electrical characteristics of In-Ga-Zn-O thin-film transistors with Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Zhang, Wen-Peng; Chen, Sun; Qian, Shi-Bing; Ding, Shi-Jin

    2015-01-01

    We studied how the performance of In–Ga–Zn–O (IGZO) thin film transistors (TFTs) with Al 2 O 3 gate insulator was affected by post-fabrication annealing temperature and annealing time. At a fixed annealing time of 2 min, the IGZO TFT exhibited the best transfer and output characteristics in the case of 300 °C in N 2 atmosphere, which is attributed to the achievement of appropriate carrier concentration and Hall mobility in the IGZO film. Further, it was found that both of the carrier concentration and Hall mobility in the IGZO film increased with the increment of annealing temperature. For the annealing temperature of 300 °C, the performance of the IGZO TFT was further improved by extending annealing time to 5 min, i.e., the field effect mobility, sub-threshold swing and on/off current ratio were 11.6 cm 2 /(V · s), 0.42 V dec −1 and 10 6 , respectively. The underlying mechanism was discussed. (paper)

  9. Homogeneous double-layer amorphous Si-doped indium oxide thin-film transistors for control of turn-on voltage

    International Nuclear Information System (INIS)

    Kizu, Takio; Tsukagoshi, Kazuhito; Aikawa, Shinya; Nabatame, Toshihide; Fujiwara, Akihiko; Ito, Kazuhiro; Takahashi, Makoto

    2016-01-01

    We fabricated homogeneous double-layer amorphous Si-doped indium oxide (ISO) thin-film transistors (TFTs) with an insulating ISO cap layer on top of a semiconducting ISO bottom channel layer. The homogeneously stacked ISO TFT exhibited high mobility (19.6 cm"2/V s) and normally-off characteristics after annealing in air. It exhibited normally-off characteristics because the ISO insulator suppressed oxygen desorption, which suppressed the formation of oxygen vacancies (V_O) in the semiconducting ISO. Furthermore, we investigated the recovery of the double-layer ISO TFT, after a large negative shift in turn-on voltage caused by hydrogen annealing, by treating it with annealing in ozone. The recovery in turn-on voltage indicates that the dense V_O in the semiconducting ISO can be partially filled through the insulator ISO. Controlling molecule penetration in the homogeneous double layer is useful for adjusting the properties of TFTs in advanced oxide electronics.

  10. The Bipolar Field-Effect Transistor: XIII. Physical Realizations of the Transistor and Circuits (One-Two-MOS-Gates on Thin-Thick Pure-Impure Base)

    International Nuclear Information System (INIS)

    Sah, C.-T.; Jie Binbin

    2009-01-01

    This paper reports the physical realization of the Bipolar Field-Effect Transistor (BiFET) and its one-transistor basic building block circuits. Examples are given for the one and two MOS gates on thin and thick, pure and impure base, with electron and hole contacts, and the corresponding theoretical current-voltage characteristics previously computed by us, without generation-recombination-trapping-tunneling of electrons and holes. These examples include the one-MOS-gate on semi-infinite thick impure base transistor (the bulk transistor) and the impurethin-base Silicon-on-Insulator (SOI) transistor and the two-MOS-gates on thin base transistors (the FinFET and the Thin Film Transistor TFT). Figures are given with the cross-section views containing the electron and hole concentration and current density distributions and trajectories and the corresponding DC current-voltage characteristics.

  11. Surface Modification of Solution-Processed ZrO2 Films through Double Coating for Pentacene Thin-Film Transistors

    Science.gov (United States)

    Kwon, Jin-Hyuk; Bae, Jin-Hyuk; Lee, Hyeonju; Park, Jaehoon

    2018-03-01

    We report the modification of surface properties of solution-processed zirconium oxide (ZrO2) dielectric films achieved by using double-coating process. It is proven that the surface properties of the ZrO2 film are modified through the double-coating process; the surface roughness decreases and the surface energy increases. The present surface modification of the ZrO2 film contributes to an increase in grain size of the pentacene film, thereby increasing the field-effect mobility and decreasing the threshold voltage of the pentacene thin-film transistors (TFTs) having the ZrO2 gate dielectric. Herein, the molecular orientation of pentacene film is also studied based on the results of contact angle and X-ray diffraction measurements. Pentacene molecules on the double-coated ZrO2 film are found to be more tilted than those on the single-coated ZrO2 film, which is attributed to the surface modification of the ZrO2 film. However, no significant differences are observed in insulating properties between the single-and the double-coated ZrO2 dielectric films. Consequently, the characteristic improvements of the pentacene TFTs with the double-coated ZrO2 gate dielectric film can be understood through the increase in pentacene grain size and the reduction in grain boundary density.

  12. Doping Nitrogen in InGaZnO Thin Film Transistor with Double Layer Channel Structure.

    Science.gov (United States)

    Chang, Sheng-Po; Shan, Deng

    2018-04-01

    This paper presents the electrical characteristics of doping nitrogen in an amorphous InGaZnO thin film transistor. The IGZO:N film, which acted as a channel layer, was deposited using RF sputtering with a nitrogen and argon gas mixture at room temperature. The optimized parameters of the IGZO:N/IGZO TFT are as follows: threshold voltage is 0.5 V, field effect mobility is 14.34 cm2V-1S-1. The on/off current ratio is 106 and subthreshold swing is 1.48 V/decade. The positive gate bias stress stability of InGaZnO doping with nitrogen shows improvement compared to doping with oxygen.

  13. The effect of pH and DNA concentration on organic thin-film transistor biosensors

    KAUST Repository

    Khan, Hadayat Ullah; Roberts, Mark E.; Johnson, Olasupo B.; Knoll, Wolfgang; Bao, Zhenan

    2012-01-01

    Organic electronics are beginning to attract more interest for biosensor technology as they provide an amenable interface between biology and electronics. Stable biosensor based on electronic detection platform would represent a significant advancement in technology as costs and analysis time would decrease immensely. Organic materials provide a route toward that goal due to their compatibility with electronic applications and biological molecules. In this report, we detail the effects of experimental parameters, such as pH and concentration, toward the selective detection of DNA via surface-bound peptide nucleic acid (PNA) sequences on organic transistor biosensors. The OTFT biosensors are fabricated with thin-films of the organic semiconductor, 5,5′-bis-(7-dodecyl-9H-fluoren-2-yl)-2,2′-bithiophene (DDFTTF), in which they exhibit a stable mobility of 0.2 cm 2 V -1 s -1 in buffer solutions (phosphate-buffer saline, pH 7.4 or sodium acetate, pH 7). Device performance were optimized to minimize the deleterious effects of pH on gate-bias stress such that the sensitivity toward DNA detection can be improved. In titration experiments, the surface-bound PNA probes were saturated with 50 nM of complementary target DNA, which required a 10-fold increase in concentration of single-base mismatched target DNA to achieve a similar surface saturation. The binding constant of DNA on the surface-bound PNA probes was determined from the concentration-dependent response (titration measurements) of our organic transistor biosensors. © 2011 Elsevier B.V. All rights reserved.

  14. The effect of pH and DNA concentration on organic thin-film transistor biosensors

    KAUST Repository

    Khan, Hadayat Ullah

    2012-03-01

    Organic electronics are beginning to attract more interest for biosensor technology as they provide an amenable interface between biology and electronics. Stable biosensor based on electronic detection platform would represent a significant advancement in technology as costs and analysis time would decrease immensely. Organic materials provide a route toward that goal due to their compatibility with electronic applications and biological molecules. In this report, we detail the effects of experimental parameters, such as pH and concentration, toward the selective detection of DNA via surface-bound peptide nucleic acid (PNA) sequences on organic transistor biosensors. The OTFT biosensors are fabricated with thin-films of the organic semiconductor, 5,5′-bis-(7-dodecyl-9H-fluoren-2-yl)-2,2′-bithiophene (DDFTTF), in which they exhibit a stable mobility of 0.2 cm 2 V -1 s -1 in buffer solutions (phosphate-buffer saline, pH 7.4 or sodium acetate, pH 7). Device performance were optimized to minimize the deleterious effects of pH on gate-bias stress such that the sensitivity toward DNA detection can be improved. In titration experiments, the surface-bound PNA probes were saturated with 50 nM of complementary target DNA, which required a 10-fold increase in concentration of single-base mismatched target DNA to achieve a similar surface saturation. The binding constant of DNA on the surface-bound PNA probes was determined from the concentration-dependent response (titration measurements) of our organic transistor biosensors. © 2011 Elsevier B.V. All rights reserved.

  15. Effect of ZnO channel thickness on the device behaviour of nonvolatile memory thin film transistors with double-layered gate insulators of Al2O3 and ferroelectric polymer

    International Nuclear Information System (INIS)

    Yoon, Sung-Min; Yang, Shin-Hyuk; Ko Park, Sang-Hee; Jung, Soon-Won; Cho, Doo-Hee; Byun, Chun-Won; Kang, Seung-Youl; Hwang, Chi-Sun; Yu, Byoung-Gon

    2009-01-01

    Poly(vinylidene fluoride trifluoroethylene) and ZnO were employed for nonvolatile memory thin film transistors as ferroelectric gate insulator and oxide semiconducting channel layers, respectively. It was proposed that the thickness of the ZnO layer be carefully controlled for realizing the lower programming voltage, because the serially connected capacitor by the formation of a fully depleted ZnO channel had a critical effect on the off programming voltage. The fabricated memory transistor with Al/P(VDF-TrFE) (80 nm)/Al 2 O 3 (4 nm)/ZnO (5 nm) exhibits encouraging behaviour such as a memory window of 3.8 V at the gate voltage of -10 to 12 V, and 10 7 on/off ratio, and a gate leakage current of 10 -11 A.

  16. Fabrication and Antibacterial Effects of Polycarbonate/Leaf Extract Based Thin Films

    Directory of Open Access Journals (Sweden)

    R. Mahendran

    2016-01-01

    Full Text Available We have reported the preparation and antibacterial activities of leaf extract incorporated polycarbonate thin films to improve the antibacterial characteristics of host polycarbonates (PCs. Crude extracts of Azadirachta indica, Psidium guajava, Acalypha indica, Andrographis paniculata, and Ocimum sanctum were prepared by maceration using Dimethylformamide as solvent. The leaf extracts (LE were incorporated into the PC matrix by solution blending method, and the thin films were fabricated by Thermally Induced Phase Separation (TIPS technique. The antibacterial activities of the as-prepared films were evaluated against E. coli and S. aureus by disk diffusion method. The inhibitory effects of the PC/LE films are higher for S. aureus than the E. coli, but pristine PC film did not exhibit any remarkable antibacterial characteristics. Further, the model fruit (Prunus studies revealed that the PC/LE films retained the freshness of the fruits for more than 11 days. This study demonstrates that the PC/LE films have excellent antibacterial activities; thus, the films could be promising candidate for active antibacterial packaging applications.

  17. Accurate characterization of organic thin film transistors in the presence of gate leakage current

    Directory of Open Access Journals (Sweden)

    Vinay K. Singh

    2011-12-01

    Full Text Available The presence of gate leakage through polymer dielectric in organic thin film transistors (OTFT prevents accurate estimation of transistor characteristics especially in subthreshold regime. To mitigate the impact of gate leakage on transfer characteristics and allow accurate estimation of mobility, subthreshold slope and on/off current ratio, a measurement technique involving simultaneous sweep of both gate and drain voltages is proposed. Two dimensional numerical device simulation is used to illustrate the validity of the proposed technique. Experimental results obtained with Pentacene/PMMA OTFT with significant gate leakage show a low on/off current ratio of ∼ 102 and subthreshold is 10 V/decade obtained using conventional measurement technique. The proposed technique reveals that channel on/off current ratio is more than two orders of magnitude higher at ∼104 and subthreshold slope is 4.5 V/decade.

  18. Liquid crystals for organic transistors (Conference Presentation)

    Science.gov (United States)

    Hanna, Jun-ichi; Iino, Hiroaki

    2016-09-01

    Liquid crystals are a new type of organic semiconductors exhibiting molecular orientation in self-organizing manner, and have high potential for device applications. In fact, various device applications have been proposed so far, including photosensors, solar cells, light emitting diodes, field effect transistors, and so on.. However, device performance in those fabricated with liquid crystals is less than those of devices fabricated with conventional materials in spite of unique features of liquid crystals. Here we discuss how we can utilize the liquid crystallinity in organic transistors and how we can overcome conventional non-liquid crystalline organic transistor materials. Then, we demonstrate high performance organic transistors fabricated with a smectic E liquid crystal of Ph-BTBT-10, which show high mobility of over 10cm2/Vs and high thermal durability of over 200oC in OFETs fabricated with its spin-coated polycrystalline thin films.

  19. All solution processed organic thin film transistor-backplane with printing technology for electrophoretic display

    Science.gov (United States)

    Lee, Myung W.; Song, C.K.

    2012-01-01

    In this study, solution processes were developed for backplane using an organic thin film transistor (OTFT) as a driving device for an electrophoretic display (EPD) panel. The processes covered not only the key device of OTFTs but also interlayer and pixel electrodes. The various materials and printing processes were adopted to achieve the requirements of devices and functioning layers. The performance of OTFT of the backplane was sufficient to drive EPD sheet by producing a mobility of 0.12 cm2/v x sec and on/off current ratio of 10(5).

  20. Water-Mediated Photochemical Treatments for Low-Temperature Passivation of Metal-Oxide Thin-Film Transistors.

    Science.gov (United States)

    Heo, Jae Sang; Jo, Jeong-Wan; Kang, Jingu; Jeong, Chan-Yong; Jeong, Hu Young; Kim, Sung Kyu; Kim, Kwanpyo; Kwon, Hyuck-In; Kim, Jaekyun; Kim, Yong-Hoon; Kim, Myung-Gil; Park, Sung Kyu

    2016-04-27

    The low-temperature electrical passivation of an amorphous oxide semiconductor (AOS) thin-film transistor (TFT) is achieved by a deep ultraviolet (DUV) light irradiation-water treatment-DUV irradiation (DWD) method. The water treatment of the first DUV-annealed amorphous indium-gallium-zinc-oxide (a-IGZO) thin film is likely to induce the preferred adsorption of water molecules at the oxygen vacancies and leads to subsequent hydroxide formation in the bulk a-IGZO films. Although the water treatment initially degraded the electrical performance of the a-IGZO TFTs, the second DUV irradiation on the water-treated devices may enable a more complete metal-oxygen-metal lattice formation while maintaining low oxygen vacancies in the oxide films. Overall, the stable and dense metal-oxygen-metal (M-O-M) network formation could be easily achieved at low temperatures (below 150 °C). The successful passivation of structural imperfections in the a-IGZO TFTs, such as hydroxyl group (OH-) and oxygen vacancies, mainly results in the enhanced electrical performances of the DWD-processed a-IGZO TFTs (on/off current ratio of 8.65 × 10(9), subthreshold slope of 0.16 V/decade, an average mobility of >6.94 cm(2) V(-1) s(-1), and a bias stability of ΔVTH IGZO TFTs.

  1. Fabrication of 3D Microfluidic Devices by Thermal Bonding of Thin Poly(methyl methacrylate) Films

    KAUST Repository

    Perez, Paul

    2012-07-01

    The use of thin-film techniques for the fabrication of microfluidic devices has gained attention over the last decade, particularly for three-dimensional channel structures. The reasons for this include effective use of chip volume, mechanical flexibility, dead volume reduction, enhanced design capabilities, integration of passive elements, and scalability. Several fabrication techniques have been adapted for use on thin films: laser ablation and hot embossing are popular for channel fabrication, and lamination is widely used for channel enclosure. However, none of the previous studies have been able to achieve a strong bond that is reliable under moderate positive pressures. The present work aims to develop a thin-film process that provides design versatility, speed, channel profile homogeneity, and the reliability that others fail to achieve. The three building blocks of the proposed baseline were fifty-micron poly(methyl methacrylate) thin films as substrates, channel patterning by laser ablation, and device assembly by thermal-fusion bonding. Channel fabrication was characterized and tuned to produce the desired dimensions and surface roughness. Thermal bonding was performed using an adapted mechanical testing device and optimized to produce the maximum bonding strength without significant channel deformation. Bonding multilayered devices, incorporating conduction lines, and integrating various types of membranes as passive elements demonstrated the versatility of the process. Finally, this baseline was used to fabricate a droplet generator and a DNA detection chip based on micro-bead agglomeration. It was found that a combination of low laser power and scanning speed produced channel surfaces with better uniformity than those obtained with higher values. In addition, the implemented bonding technique provided the process with the most reliable bond strength reported, so far, for thin-film microfluidics. Overall, the present work proved to be versatile

  2. Pentacene-Based Thin Film Transistor with Inkjet-Printed Nanocomposite High-K Dielectrics

    Directory of Open Access Journals (Sweden)

    Chao-Te Liu

    2012-01-01

    Full Text Available The nanocomposite gate insulating film of a pentacene-based thin film transistor was deposited by inkjet printing. In this study, utilizing the pearl miller to crumble the agglomerations and the dispersant to well stabilize the dispersion of nano-TiO2 particles in the polymer matrix of the ink increases the dose concentration for pico-jetting, which could be as the gate dielectric film made by inkjet printing without the photography process. Finally, we realized top contact pentacene-TFTs and successfully accomplished the purpose of directly patternability and increase the performance of the device based on the nanocomposite by inkjet printing. These devices exhibited p-channel TFT characteristics with a high field-effect mobility (a saturation mobility of ̃0.58 cm2 V−1 s−1, a large current ratio (>103 and a low operation voltage (<6 V. Furthermore, we accorded the deposited mechanisms which caused the interface difference between of inkjet printing and spin coating. And we used XRD, SEM, Raman spectroscopy to help us analyze the transfer characteristics of pentacene films and the performance of OTFTs.

  3. Suppression of photo-bias induced instability for amorphous indium tungsten oxide thin film transistors with bi-layer structure

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Po-Tsun, E-mail: ptliu@mail.nctu.edu.tw; Chang, Chih-Hsiang; Chang, Chih-Jui [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-27

    This study investigates the instability induced by bias temperature illumination stress (NBTIS) for an amorphous indium-tungsten-oxide thin film transistor (a-IWO TFT) with SiO{sub 2} backchannel passivation layer (BPL). It is found that this electrical degradation phenomenon can be attributed to the generation of defect states during the BPL process, which deteriorates the photo-bias stability of a-IWO TFTs. A method proposed by adding an oxygen-rich a-IWO thin film upon the a-IWO active channel layer could effectively suppress the plasma damage to channel layer during BPL deposition process. The bi-layer a-IWO TFT structure with an oxygen-rich back channel exhibits superior electrical reliability of device under NBTIS.

  4. Electrochemical reaction of lithium with orthorhombic bismuth tungstate thin films fabricated by radio-frequency sputtering

    International Nuclear Information System (INIS)

    Li Chilin; Sun Ke; Yu Le; Fu Zhengwen

    2009-01-01

    Bi 2 WO 6 thin films with fast deposition rate have been fabricated by radio-frequency (R.F.) sputtering deposition, and are used as positive electrodes in rechargeable thin film lithium batteries. An initial discharge capacity of 113 μAh/cm 2 -μm is obtainable for Bi 2 WO 6 film electrode with good capacity reversibility. A multiple-center reactive mechanism associated with both Bi 3+ /Bi 0 and W 6+ /W x+ (x 2 WO 6 electrochemical performance with those of Bi 2 O 3 and WO 3 thin films. A possible explanation about smooth capacity loss of Bi 2 WO 6 after long-term cycling is suggested from the incomplete reaction of Bi component. The advantages of Bi 2 WO 6 thin films over the singer-center Bi 2 O 3 or WO 3 thin films are shown in both the aspects of volumetric capacity and cycling life.

  5. Nanostructured thin films and coatings functional properties

    CERN Document Server

    Zhang, Sam

    2010-01-01

    The second volume in ""The Handbook of Nanostructured Thin Films and Coatings"" set, this book focuses on functional properties, including optical, electronic, and electrical properties, as well as related devices and applications. It explores the large-scale fabrication of functional thin films with nanoarchitecture via chemical routes, the fabrication and characterization of SiC nanostructured/nanocomposite films, and low-dimensional nanocomposite fabrication and applications. The book also presents the properties of sol-gel-derived nanostructured thin films as well as silicon nanocrystals e

  6. Investigation of nanoporous platinum thin films fabricated by reactive sputtering: Application as micro-SOFC electrode

    Science.gov (United States)

    Jung, WooChul; Kim, Jae Jin; Tuller, Harry L.

    2015-02-01

    Highly porous Pt thin films, with nano-scale porosity, were fabricated by reactive sputtering. The strategy involved deposition of thin film PtOx at room temperature, followed by the subsequent decomposition of the oxide by rapid heat treatment. The resulting films exhibited percolating Pt networks infiltrated with interconnected nanosized pores, critical for superior solid oxide fuel cell cathode performance. This approach is particularly attractive for micro-fabricated solid oxide fuel cells, since it enables fabrication of the entire cell stack (anode/electrolyte/cathode) within the sputtering chamber, without breaking vacuum. In this work, the morphological, crystallographic and chemical properties of the porous electrode were systematically varied by control of deposition conditions. Oxygen reduction reaction kinetics were investigated by means of electrochemical impedance spectroscopy, demonstrating the critical role of nano-pores in achieving satisfactory micro-SOFC cathode performance.

  7. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    Science.gov (United States)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  8. Nanocomposite Thin Film of Poly(3-aminobenzoic acid and Multiwalled Carbon Nanotubes Fabricated through an Electrochemical Method

    Directory of Open Access Journals (Sweden)

    Paphawadee Netsuwan

    2014-01-01

    Full Text Available The composite thin films of poly(3-aminobenzoic acid (PABA and multiwalled carbon nanotubes (MWNTs are successfully fabricated through an electrochemical method. The composite mixtures containing 50 mM of 3-aminobenzoic acid with various concentrations of MWNTs (1.0, 2.5, 5.0, 7.5, and 10 mg/mL in 0.5 M H2SO4 were prepared and used in this study. Cyclic voltammetry (CV was used for fabrication and monitoring the electropolymerization of the composite thin films with potential range of 0 to 1100 mV for 5 cycles at scan rate of 20 mV/s on indium tin oxide- (ITO-coated glass substrate. UV-vis absorption spectroscopy, atomic force microscopy (AFM, and scanning electron microscopy (SEM techniques were employed to characterize the obtained composite thin films. It was found that MWNTs can enhance the peak current of CV traces of the PABA/MWNTs composite thin films without affecting the UV-vis absorption spectra. The surface morphology of the thin films can be studied using AFM and SEM techniques.

  9. Highly Efficient Thin-Film Transistor via Cross-Linking of 1T Edge Functional 2H Molybdenum Disulfides.

    Science.gov (United States)

    Lee, Hanleem; Bak, Sora; An, Sung-Jin; Kim, Jung Ho; Yun, Eunbhin; Kim, Meeree; Seo, Sohyeon; Jeong, Mun Seok; Lee, Hyoyoung

    2017-12-26

    Thin-film transistors (TFTs) have received great attention for their use in lightweight, large area, and wearable devices. However, low crystalline materials and inhomogeneous film formation limit the realization of high-quality electrical properties for channels in commercial TFTs, especially for flexible electronics. Here, we report a field-effect TFT fabricated via cross-linking of edge-1T basal-2H MoS 2 sheets that are prepared by edge functional exfoliation of bulk MoS 2 with soft organic exfoliation reagents. For edge functional exfoliation, the electrophilic 4-carboxy-benzenediazonium used as the soft organic reagent attacks the nucleophilic thiolates exposed at the edge of the bulk MoS 2 with the help of an amine catalyst, resulting in 1T edge-functional HOOC-benzene-2H basal MoS 2 nanosheets (e-MoS 2 ). The cross-linking via hydrogen bonding of the negatively charged HOOC of the e-MoS 2 sheets with the help of a cationic polymer, polydiallyldimethylammonium chloride, results in a good film formation for a channel of the solution processing TFT. The TFT exhibits an extremely high mobility of 170 cm 2 /(V s) at 1 V (on/off ratio of 10 6 ) on SiO 2 /Si substrate and also a high mobility of 36.34 cm 2 /(V s) (on/off ratio of 10 3 ) on PDMS/PET substrate.

  10. Characteristics of gravure printed InGaZnO thin films as an active channel layer in thin film transistors

    International Nuclear Information System (INIS)

    Choi, Yuri; Kim, Gun Hee; Jeong, Woong Hee; Kim, Hyun Jae; Chin, Byung Doo; Yu, Jae-Woong

    2010-01-01

    Characteristics of oxide semiconductor thin film transistor prepared by gravure printing technique were studied. This device had inverted staggered structure of glass substrate/MoW/SiNx/ printed active layer. The active layer was printed with precursor of indium gallium zinc oxide solution and then annealed at 550 o C for 2 h. Influences of printing parameters (i.e. speed and force) were studied. As the gravure printing force was increased, the thickness of printed film was decreased and the refractive index of printed active layer was increased. The best printed result in our study was obtained with printing speed of 0.4 m/s, printing force of 400 N and the thickness of printed active layer was 45 nm. According to AFM image, surface of printed active layer was quite smooth and the root-mean square roughness was approximately 0.5 nm. Gravure printed active layer had a field-effect mobility of 0.81 cm 2 /Vs and an on-off current ratio was 1.36 x 10 6 .

  11. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    Science.gov (United States)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  12. Improvement in switching characteristics and long-term stability of Zn-O-N thin-film transistors by silicon doping

    Directory of Open Access Journals (Sweden)

    Hiroshi Tsuji

    2017-06-01

    Full Text Available The effects of silicon doping on the properties of Zn-O-N (ZnON films and on the device characteristics of ZnON thin-film transistors (TFTs were investigated by co-sputtering silicon and zinc targets. Silicon doping was effective at decreasing the carrier concentration in ZnON films; therefore, the conductivity of the films can be controlled by the addition of a small amount of silicon. Doped silicon atoms also form bonds with nitrogen atoms, which suppresses nitrogen desorption from the films. Furthermore, Si-doped ZnON-TFTs are demonstrated to exhibit less negative threshold voltages, smaller subthreshold swings, and better long-term stability than non-doped ZnON-TFTs.

  13. Nonlinear photocurrent-intensity behavior of amorphous InZnO thin film transistors

    Science.gov (United States)

    Lu, Huiling; Zhou, Xiaoliang; Liang, Ting; Zhang, Letao; Zhang, Shengdong

    2018-01-01

    The photocurrent (IPH) of amorphous InZnO thin film transistors in the off-state is investigated as a function of incident optical power (P). The results show that IPH exhibits a nonlinear dependence on P. Additionally, the dependence of IPH on P exhibits a strong photon energy (hυ)-dependent feature. When P is relatively low, IPH is shown to be proportional to Pγ, where γ is greater than 1. The γ > 1 behavior may be ascribed to the source-barrier-lowering effect due to the accumulation of photo-induced positive charges at the source side. When P is relatively high, while IPH remains proportional to Pγ under the incident light with hυ larger than the optical bandgap (Eg) of a-IZO, it turns to increase at an exponential rate with P if hυ of the incident light is smaller than the Eg. The exponential increase in IPH is attributed to the source-barrier-thinning effect, which leads to a significantly enhanced tunneling current.

  14. Characterization of piesoelectric ZnO thin films and the fabrication of piezoelectric micro-cantilevers

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, Raegan Lynn [Iowa State Univ., Ames, IA (United States)

    2005-01-01

    In Atomic Force Microscopy (AFM), a microcantilever is raster scanned across the surface of a sample in order to obtain a topographical image of the sample's surface. In a traditional, optical AFM, the sample rests on a bulk piezoelectric tube and a control loop is used to control the tip-sample separation by actuating the piezo-tube. This method has several disadvantages--the most noticeable one being that response time of the piezo-tube is rather long which leads to slow imaging speeds. One possible solution aimed at improving the speed of imaging is to incorporate a thin piezoelectric film on top of the cantilever beam. This design not only improves the speed of imaging because the piezoelectric film replaces the piezo-tube as an actuator, but the film can also act as a sensor. In addition, the piezoelectric film can excite the cantilever beam near its resonance frequency. This project aims to fabricate piezoelectric microcantilevers for use in the AFM. Prior to fabricating the cantilevers and also part of this project, a systematic study was performed to examine the effects of deposition conditions on the quality of piezoelectric ZnO thin films deposited by RF sputtering. These results will be presented. The deposition parameters that produced the highest quality ZnO film were used in the fabrication of the piezoelectric cantilevers. Unfortunately, the fabricated cantilevers warped due to the intrinsic stress of the ZnO film and were therefore not usable in the AFM. The complete fabrication process will be detailed, the results will be discussed and reasons for the warping will be examined.

  15. Excimer laser sintering of indium tin oxide nanoparticles for fabricating thin films of variable thickness on flexible substrates

    International Nuclear Information System (INIS)

    Park, Taesoon; Kim, Dongsik

    2015-01-01

    Technology to fabricate electrically-conducting, transparent thin-film patterns on flexible substrates has possible applications in flexible electronics. In this work, a pulsed-laser sintering process applicable to indium tin oxide (ITO) thin-film fabrication on a substrate without thermal damage to the substrate was developed. A nanosecond pulsed laser was used to minimize thermal penetration into the substrate and to control the thickness of the sintered layer. ITO nanoparticles (NPs) of ~ 20 nm diameter were used to lower the process temperature by exploiting their low melting point. ITO thin film patterns were fabricated by first spin coating the NPs onto a surface, then sintering them using a KrF excimer laser. The sintered films were characterized using field emission scanning electron microscopy. The electrical resistivity and transparency of the film were measured by varying the process parameters. A single laser pulse could generate the polycrystalline structure (average grain size ~ 200 nm), reducing the electrical resistivity of the film by a factor of ~ 1000. The sintering process led to a minimum resistivity of 1.1 × 10 −4 Ω·m without losing the transparency of the film. The thickness of the sintered layer could be varied up to 150 nm by adjusting the laser fluence. Because the estimated thermal penetration depth in the ITO film was less than 200 nm, no thermal damage was observed in the substrate. This work suggests that the proposed process, combined with various particle deposition methods, can be an effective tool to form thin-film ITO patterns on flexible substrates. - Highlights: • Excimer laser sintering can fabricate ITO thin films on flexible substrates. • The laser pulse can form a polycrystalline structure without thermal damage. • The laser sintering process can reduce the electrical resistivity substantially. • The thickness of the sintered layer can be varied effectively

  16. Excimer laser sintering of indium tin oxide nanoparticles for fabricating thin films of variable thickness on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Taesoon; Kim, Dongsik, E-mail: dskim87@postech.ac.kr

    2015-03-02

    Technology to fabricate electrically-conducting, transparent thin-film patterns on flexible substrates has possible applications in flexible electronics. In this work, a pulsed-laser sintering process applicable to indium tin oxide (ITO) thin-film fabrication on a substrate without thermal damage to the substrate was developed. A nanosecond pulsed laser was used to minimize thermal penetration into the substrate and to control the thickness of the sintered layer. ITO nanoparticles (NPs) of ~ 20 nm diameter were used to lower the process temperature by exploiting their low melting point. ITO thin film patterns were fabricated by first spin coating the NPs onto a surface, then sintering them using a KrF excimer laser. The sintered films were characterized using field emission scanning electron microscopy. The electrical resistivity and transparency of the film were measured by varying the process parameters. A single laser pulse could generate the polycrystalline structure (average grain size ~ 200 nm), reducing the electrical resistivity of the film by a factor of ~ 1000. The sintering process led to a minimum resistivity of 1.1 × 10{sup −4} Ω·m without losing the transparency of the film. The thickness of the sintered layer could be varied up to 150 nm by adjusting the laser fluence. Because the estimated thermal penetration depth in the ITO film was less than 200 nm, no thermal damage was observed in the substrate. This work suggests that the proposed process, combined with various particle deposition methods, can be an effective tool to form thin-film ITO patterns on flexible substrates. - Highlights: • Excimer laser sintering can fabricate ITO thin films on flexible substrates. • The laser pulse can form a polycrystalline structure without thermal damage. • The laser sintering process can reduce the electrical resistivity substantially. • The thickness of the sintered layer can be varied effectively.

  17. Grain size increase in pentacene thin films prepared in low-pressure gas ambient

    International Nuclear Information System (INIS)

    Yokoyama, Takamichi; Park, Chang Bum; Nagashio, Kosuke; Kita, Koji; Toriumi, Akira

    2009-01-01

    We studied a mechanism of grain size increase (that is, island density decrease) in pentacene film prepared in hydrogen (H 2 ) ambient. The island densities of pentacene films prepared in helium and deuterium were lower than those of vacuum-deposited films. This indicates that the decrease in the island density was not due to the chemical interaction between H 2 and pentacene or the substrate surface. Furthermore, the temperature dependence of the island density indicates that there is no difference in the surface diffusion energy in a vacuum and in H 2 . We also improved mobility significantly in the pentacene thin film transistor fabricated on film grown in H 2 ambient on a chemically treated substrate.

  18. Fabrication and characterization of thin-film phosphor combinatorial libraries

    Science.gov (United States)

    Mordkovich, V. Z.; Jin, Zhengwu; Yamada, Y.; Fukumura, T.; Kawasaki, M.; Koinuma, H.

    2002-05-01

    The laser molecular beam epitaxy method was employed to fabricate thin-film combinatorial libraries of ZnO-based phosphors on different substrates. Fabrication of both pixel libraries, on the example of Fe-doped ZnO, and spread libraries, on the example of Eu-doped ZnO, has been demonstrated. Screening of the Fe-doped ZnO libraries led to the discovery of weak green cathodoluminescence with the maximum efficiency at the Fe content of 0.58 mol %. Screening of the Eu-doped ZnO libraries led to the discovery of unusual reddish-violet cathodoluminescence which is observed in a broad range of Eu concentration. No photoluminescence was registered in either system.

  19. Enhanced performance of amorphous In-Ga-Zn-O thin-film transistors using different metals for source/drain electrodes

    Science.gov (United States)

    Pyo, Ju-Young; Cho, Won-Ju

    2017-09-01

    In this paper, we propose an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with off-planed source/drain electrodes. We applied different metals for the source/drain electrodes with Ni and Ti to control the work function as high and low. When we measured the configuration of Ni to drain and source to Ti, the a-IGZO TFT showed increased driving current, decreased leakage current, a high on/off current ratio, low subthreshold swing, and high mobility. In addition, we conducted a reliability test with a gate bias stress test at various temperatures. The results of the reliability test showed the Ni drain and Ti drain had an equivalent effective energy barrier height. Thus, we confirmed that the proposed off-planed structure improved the electrical characteristics of the fabricated devices without any degradation of characteristics. Through the a-IGZO TFT with different source/drain electrode metal engineering, we realized high-performance TFTs for next-generation display devices.

  20. Superhydrophobic Thin Films Fabricated by Reactive Layer-by-Layer Assembly of Azlactone-Functionalized Polymers.

    Science.gov (United States)

    Buck, Maren E; Schwartz, Sarina C; Lynn, David M

    2010-09-11

    We report an approach to the fabrication of superhydrophobic thin films that is based on the 'reactive' layer-by-layer assembly of azlactone-containing polymer multilayers. We demonstrate that films fabricated from alternating layers of the azlactone functionalized polymer poly(2-vinyl-4,4-dimethylazlactone) (PVDMA) and poly(ethyleneimine) (PEI) exhibit micro- and nanoscale surface features that result in water contact angles in excess of 150º. Our results reveal that the formation of these surface features is (i) dependent upon film thickness (i.e., the number of layers of PEI and PVDMA deposited) and (ii) that it is influenced strongly by the presence (or absence) of cyclic azlactone-functionalized oligomers that can form upon storage of the 2-vinyl-4,4-dimethylazlactone (VDMA) used to synthesize PVDMA. For example, films fabricated using polymers synthesized in the presence of these oligomers exhibited rough, textured surfaces and superhydrophobic behavior (i.e., advancing contact angles in excess of 150º). In contrast, films fabricated from PVDMA polymerized in the absence of this oligomer (e.g., using freshly distilled monomer) were smooth and only moderately hydrophobic (i.e., advancing contact angles of ~75º). The addition of authentic, independently synthesized oligomer to samples of distilled VDMA at specified and controlled concentrations permitted reproducible fabrication of superhydrophobic thin films on the surfaces of a variety of different substrates. The surfaces of these films were demonstrated to be superhydrophobic immediately after fabrication, but they became hydrophilic after exposure to water for six days. Additional experiments demonstrated that it was possible to stabilize and prolong the superhydrophobic properties of these films (e.g., advancing contact angles in excess of 150° even after complete submersion in water for at least six weeks) by exploiting the reactivity of residual azlactones to functionalize the surfaces of the films

  1. High Mobility Flexible Amorphous IGZO Thin-Film Transistors with a Low Thermal Budget Ultra-Violet Pulsed Light Process.

    Science.gov (United States)

    Benwadih, M; Coppard, R; Bonrad, K; Klyszcz, A; Vuillaume, D

    2016-12-21

    Amorphous, sol-gel processed, indium gallium zinc oxide (IGZO) transistors on plastic substrate with a printable gate dielectric and an electron mobility of 4.5 cm 2 /(V s), as well as a mobility of 7 cm 2 /(V s) on solid substrate (Si/SiO 2 ) are reported. These performances are obtained using a low temperature pulsed light annealing technique. Ultraviolet (UV) pulsed light system is an innovative technique compared to conventional (furnace or hot-plate) annealing process that we successfully implemented on sol-gel IGZO thin film transistors (TFTs) made on plastic substrate. The photonic annealing treatment has been optimized to obtain IGZO TFTs with significant electrical properties. Organic gate dielectric layers deposited on this pulsed UV light annealed films have also been optimized. This technique is very promising for the development of amorphous IGZO TFTs on plastic substrates.

  2. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    International Nuclear Information System (INIS)

    Lee, Ching-Ting; Lin, Yung-Hao; Lin, Jhong-Ham

    2015-01-01

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g m change, threshold voltage V T change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature

  4. High stability mechanisms of quinary indium gallium zinc aluminum oxide multicomponent oxide films and thin film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Ching-Ting, E-mail: ctlee@ee.ncku.edu.tw; Lin, Yung-Hao; Lin, Jhong-Ham [Institute of Microelectronics, Department of Electrical Engineering, Research Center for Energy Technology and Strategy (RCETS), National Cheng Kung University, Tainan, Taiwan (China)

    2015-01-28

    Quinary indium gallium zinc aluminum oxide (IGZAO) multicomponent oxide films were deposited using indium gallium zinc oxide (IGZO) target and Al target by radio frequency magnetron cosputtering system. An extra carrier transport pathway could be provided by the 3 s orbitals of Al cations to improve the electrical properties of the IGZO films, and the oxygen instability could be stabilized by the strong Al-O bonds in the IGZAO films. The electron concentration change and the electron mobility change of the IGZAO films for aging time of 10 days under an air environment at 40 °C and 75% humidity were 20.1% and 2.4%, respectively. The experimental results verified the performance stability of the IGZAO films. Compared with the thin film transistors (TFTs) using conventional IGZO channel layer, in conducting the stability of TFTs with IGZAO channel layer, the transconductance g{sub m} change, threshold voltage V{sub T} change, and the subthreshold swing S value change under the same aging condition were improved to 7.9%, 10.5%, and 14.8%, respectively. Furthermore, the stable performances of the IGZAO TFTs were also verified by the positive gate bias stress. In this research, the quinary IGZAO multicomponent oxide films and that applied in TFTs were the first studied in the literature.

  5. Free-Standing Organic Transistors and Circuits with Sub-Micron Thicknesses

    Science.gov (United States)

    Fukuda, Kenjiro; Sekine, Tomohito; Shiwaku, Rei; Morimoto, Takuya; Kumaki, Daisuke; Tokito, Shizuo

    2016-01-01

    The realization of wearable electronic devices with extremely thin and flexible form factors has been a major technological challenge. While substrates typically limit the thickness of thin-film electronic devices, they are usually necessary for their fabrication and functionality. Here we report on ultra-thin organic transistors and integrated circuits using device components whose substrates that have been removed. The fabricated organic circuits with total device thicknesses down to 350 nm have electrical performance levels close to those fabricated on conventional flexible substrates. Moreover, they exhibit excellent mechanical robustness, whereby their static and dynamic electrical characteristics do not change even under 50% compressive strain. Tests using systematically applied compressive strains reveal that these free-standing organic transistors possess anisotropic mechanical stability, and a strain model for a multilayer stack can be used to describe the strain in this sort of ultra-thin device. These results show the feasibility of ultimate-thin organic electronic devices using free-standing constructions. PMID:27278828

  6. Fabrication of Pb (Zr, Ti) O3 Thin Film for Non-Volatile Memory Device Application

    International Nuclear Information System (INIS)

    Mar Lar Win

    2011-12-01

    Ferroelectric lead zirconate titanate powder was composed of mainly the oxides of titanium, zirconium and lead. PZT powder was firstly prepared by thermal synthesis at different Zr/Ti ratios with various sintering temperatures. PZT thin film was fabricated on SiO2/Si substrate by using thermal evaporation method. Physical and elemental analysis were carried out by using SEM, EDX and XRD The ferroelectric properties and the switching behaviour of the PZT thin films were investigated. The ferroelectric properties and switching properties of the PZT thin film (near morphotropic phase boundary sintered at 800 C) could function as a nonvolatile memory.

  7. Homo-junction ferroelectric field-effect-transistor memory device using solution-processed lithium-doped zinc oxide thin films

    KAUST Repository

    Nayak, Pradipta K.

    2012-06-22

    High performance homo-junction field-effect transistor memory devices were prepared using solution processed transparent lithium-doped zinc oxide thin films for both the ferroelectric and semiconducting active layers. A highest field-effect mobility of 8.7 cm2/Vs was obtained along with an Ion/Ioff ratio of 106. The ferroelectric thin filmtransistors showed a low sub-threshold swing value of 0.19 V/dec and a significantly reduced device operating voltage (±4 V) compared to the reported hetero-junction ferroelectrictransistors, which is very promising for low-power non-volatile memory applications.

  8. Solvent vapor annealing in the molecular regime drastically improves carrier transport in small-molecule thin-film transistors

    KAUST Repository

    Khan, Hadayat Ullah

    2013-04-10

    We demonstrate a new way to investigate and control the solvent vapor annealing of solution-cast organic semiconductor thin films. Solvent vapor annealing of spin-cast films of 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-Pn) is investigated in situ using quartz crystal microbalance with dissipation (QCM-D) capability, allowing us to monitor both solvent mass uptake and changes in the mechanical rigidity of the film. Using time-resolved grazing incidence wide angle X-ray scattering (GIWAXS) and complementary static atomic force microscopy (AFM), we demonstrate that solvent vapor annealing in the molecular regime can cause significant performance improvements in organic thin film transistors (OTFTs), whereas allowing the solvent to percolate and form a liquid phase results in catastrophic reorganization and dewetting of the film, making the process counterproductive. Using these lessons we devise processing conditions which prevent percolation of the adsorbed solvent vapor molecules for extended periods, thus extending the benefits of solvent vapor annealing and improving carrier mobility by nearly two orders of magnitude. Ultimately, it is demonstrated that QCM-D is a very powerful sensor of the state of the adsorbed solvent as well as the thin film, thus making it suitable for process development as well as in-line process monitoring both in laboratory and in future manufacturing settings. © 2013 American Chemical Society.

  9. Solvent vapor annealing in the molecular regime drastically improves carrier transport in small-molecule thin-film transistors

    KAUST Repository

    Khan, Hadayat Ullah; Li, Ruipeng; Ren, Yi; Chen, Long; Payne, Marcia M.; Bhansali, Unnat Sampatraj; Smilgies, Detlef Matthias; Anthony, John Edward; Amassian, Aram

    2013-01-01

    We demonstrate a new way to investigate and control the solvent vapor annealing of solution-cast organic semiconductor thin films. Solvent vapor annealing of spin-cast films of 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-Pn) is investigated in situ using quartz crystal microbalance with dissipation (QCM-D) capability, allowing us to monitor both solvent mass uptake and changes in the mechanical rigidity of the film. Using time-resolved grazing incidence wide angle X-ray scattering (GIWAXS) and complementary static atomic force microscopy (AFM), we demonstrate that solvent vapor annealing in the molecular regime can cause significant performance improvements in organic thin film transistors (OTFTs), whereas allowing the solvent to percolate and form a liquid phase results in catastrophic reorganization and dewetting of the film, making the process counterproductive. Using these lessons we devise processing conditions which prevent percolation of the adsorbed solvent vapor molecules for extended periods, thus extending the benefits of solvent vapor annealing and improving carrier mobility by nearly two orders of magnitude. Ultimately, it is demonstrated that QCM-D is a very powerful sensor of the state of the adsorbed solvent as well as the thin film, thus making it suitable for process development as well as in-line process monitoring both in laboratory and in future manufacturing settings. © 2013 American Chemical Society.

  10. Fabrication of Hydrogenated Amorphous Germanium Thin Layer Film and ItsCharacterization

    International Nuclear Information System (INIS)

    Agus-Santoso; Lely-Susita RM; Tjipto-Sujitno

    2000-01-01

    Fabrication of hydrogenated amorphous Germanium thin film by vacuumevaporation method and then deposition with hydrogen atom by glow dischargeplasma radio frequency has been done. This germanium amorphous (a-Ge) thinfilm involves a lot of dangling bonds in the network due to the irregularityof the atomic structures and it will decrease is conductivity. To improve theband properties of (a-Ge) thin film layer a hydrogenated plasma isintroduced. Process of introducing of the hydrogen into the a-Ge film is meanto reduce the dangling bonds so that the best electric conductivity of a Ge:Hthin film will obtained. To identify the hydrogen atom in the sample acharacterization using infrared spectrometer has been done, as well as themeasurement of conductivity of the samples. From the characterization usinginfrared spectroscopy the existence of hydrogen atom was found at absorptionpeak with wave number 1637.5 cm -1 , while the optimum conductivity of thesample 1634.86 Ω -1 cm -1 was achieved at 343 o K. (author)

  11. Low temperature processed InGaZnO thin film transistor using the combination of hydrogen irradiation and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Park, Hyun-Woo; Choi, Min-Jun; Jo, Yongcheol; Chung, Kwun-Bum, E-mail: kbchung@dongguk.edu

    2014-12-01

    Highlights: • We studied the low temperature process of InGaZnO oxide thin film transistor. • Hydorgen irradiation was used for low temperature process below 150 °C. • Using hydrogen irradiation, field effect mobility of IGZO TFT was enhanced to ∼5 cm{sup 2} /Vs. • We examined the origin of improvement of device performance via electronic structure. - Abstract: Device performance of radio frequency (RF) sputtered InGaZnO (IGZO) thin film transistors (TFTs) were improved using combination post-treatment with hydrogen irradiation and low temperature annealing at 150 °C. Under the combination treatment, IGZO TFTs were significantly enhanced without changing physical structure and chemical composition. On the other hand, the electronic structure represents a dramatically modification of the chemical bonding states, band edge states below the conduction band, and band alignment. Compared to the hydrogen irradiation or low temperature annealing, the combination treatment induces the increase of oxygen deficient chemical bonding states, the shallow band edge state below the conduction band, and the smaller energy difference of conduction band offset, which can generate the increase in charge carrier and enhance the device performance.

  12. Fabrication of Au/graphene oxide/Ag sandwich structure thin film and its tunable energetics and tailorable optical properties

    OpenAIRE

    Ruijin Hong; Jialin Ji; Chunxian Tao; Daohua Zhang; Dawei Zhang

    2017-01-01

    Au/graphene oxide/Ag sandwich structure thin film was fabricated. The effects of graphene oxide (GO) and bimetal on the structure and optical properties of metal silver films were investigated by X-ray diffraction (XRD), optical absorption, and Raman intensity measurements, respectively. Compared to silver thin film, Au/graphene oxide/Ag sandwich structure composite thin films were observed with wider optical absorption peak and enhanced absorption intensity. The Raman signal for Rhodamine B ...

  13. Self-assembled monolayer exchange reactions as a tool for channel interface engineering in low-voltage organic thin-film transistors.

    Science.gov (United States)

    Lenz, Thomas; Schmaltz, Thomas; Novak, Michael; Halik, Marcus

    2012-10-02

    In this work, we compared the kinetics of monolayer self-assembly long-chained carboxylic acids and phosphonic acids on thin aluminum oxide surfaces and investigated their dielectric properties in capacitors and low-voltage organic thin-film transistors. Phosphonic acid anchor groups tend to substitute carboxylic acid molecules on aluminum oxide surfaces and thus allow the formation of mixed or fully exchanged monolayers. With different alkyl chain substituents (n-alkyl or fluorinated alkyl chains), the exchange reaction can be monitored as a function of time by static contact angle measurements. The threshold voltage in α,α'-dihexyl-sexithiophene thin-film transistors composed of such mixed layer dielectrics correlates with the exchange progress and can be tuned from negative to positive values or vice versa depending on the dipole moment of the alkyl chain substituents. The change in the dipole moment with increasing exchange time also shifts the capacitance of these devices. The rate constants for exchange reactions determined by the time-dependent shift of static contact angle, threshold voltage, and capacitance exhibit virtually the same value thus proving the exchange kinetics to be highly controllable. In general, the exchange approach is a powerful tool in interface engineering, displaying a great potential for tailoring of device characteristics.

  14. Carbon Based Transistors and Nanoelectronic Devices

    Science.gov (United States)

    Rouhi, Nima

    Carbon based materials (carbon nanotube and graphene) has been extensively researched during the past decade as one of the promising materials to be used in high performance device technology. In long term it is thought that they may replace digital and/or analog electronic devices, due to their size, near-ballistic transport, and high stability. However, a more realistic point of insertion into market may be the printed nanoelectronic circuits and sensors. These applications include printed circuits for flexible electronics and displays, large-scale bendable electrical contacts, bio-membranes and bio sensors, RFID tags, etc. In order to obtain high performance thin film transistors (as the basic building block of electronic circuits) one should be able to manufacture dense arrays of all semiconducting nanotubes. Besides, graphene synthesize and transfer technology is in its infancy and there is plenty of room to improve the current techniques. To realize the performance of nanotube and graphene films in such systems, we need to economically fabricate large-scale devices based on these materials. Following that the performance control over such devices should also be considered for future design variations for broad range of applications. Here we have first investigated carbon nanotube ink as the base material for our devices. The primary ink used consisted of both metallic and semiconducting nanotubes which resulted in networks suitable for moderate-resistivity electrical connections (such as interconnects) and rfmatching circuits. Next, purified all-semiconducting nanotube ink was used to fabricate waferscale, high performance (high mobility, and high on/off ratio) thin film transistors for printed electronic applications. The parameters affecting device performance were studied in detail to establish a roadmap for the future of purified nanotube ink printed thin film transistors. The trade of between mobility and on/off ratio of such devices was studied and the

  15. Contact Resistance Reduction of ZnO Thin Film Transistors (TFTs) with Saw-Shaped Electrode

    KAUST Repository

    Park, Woojin

    2018-05-15

    We report a saw-shaped electrode architecture ZnO thin film transistor (TFT) for effectively increase channel width. Such a saw-shaped electrode has ~2 times longer contact line at the contact metal/ZnO channel junction. We experimentally observed an enhancement in the output drive current by 50% and reduction in the contact resistance by over 50%, when compared to a typical shaped electrode ZnO TFT consuming the same chip area. This performance enhancement is attributed to extension of channel width. This technique can contribute to device performance enhancement and especially reduction in the contact resistance which is a serious challenge.

  16. Fabrication, characterization and sensing properties of Cu(II) ion imprinted sol–gel thin film on QCM

    International Nuclear Information System (INIS)

    Su, Pi-Guey; Hung, Fang-Chieh; Lin, Po-Hung

    2012-01-01

    Cu(II)-molecularly imprinted sol–gel films (Cu(II)-MISGF), coated on a quartz crystal microbalance (QCM) chip, were fabricated using a sol–gel procedure. Co-hydrolysis and co-condensation of Cu(II) (templates), 3-aminopropyltrimethoxysilane (APTS, functional monomer) and tetraethoxysilane (TEOS, cross-linking agent) were performed with acid and base catalysis. The properties of the Cu(II)-MISGF were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM) and the electrochemical methods of cyclic voltammetry (CV). Microstructural observations revealed that the acid-catalyzed system yielded more mechanically stable thin films. A combined Cu(II)-MISGF-QCM with flow injection analysis (FIA) method was utilized to investigate the sensing performance of the Cu(II)-MISGF, with special emphasis on the most important properties of sensitivity, selectivity and response time. The Cu(II)-MISGF-QCM sensor, at a TEOS/APTS molar ratio of 10, exhibited excellent selectivity and rapidly responded to Cu(II) ions. - Highlights: ► A Cu(II)-molecularly imprinted sol–gel thin film on chip was fabricated. ► The thin film had mechanical stability using acidic catalyst. ► The thin film had good selectivity and response time for Cu(II) ions.

  17. Electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Her, Jim-Long [Division of Natural Science, Center for General Education, Chang Gung University, Taoyuan 333, Taiwan (China); Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Liu, Jiang-Hung; Wang, Hong-Jun; Chen, Ching-Hung [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Koyama, Keiichi [Graduate School of Science and Engineering, Kagoshima University, Kagoshima 890-0065 (Japan)

    2014-10-31

    In this article, we studied the structural properties and electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) applications. The a-IGZO TFT device featuring the GdTiO{sub 3} gate dielectric exhibited better electrical characteristics, including a small threshold voltage of 0.14 V, a large field-effect mobility of 32.3 cm{sup 2}/V-s, a high I{sub on}/I{sub off} current ratio of 4.2 × 10{sup 8}, and a low subthreshold swing of 213 mV/decade. Furthermore, the electrical instability of GdTiO{sub 3} a-IGZO TFTs was investigated under both positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS) conditions. The electron charge trapping in the gate dielectric dominates the PGBS degradation, while the oxygen vacancies control the NGBS degradation. - Highlights: • Indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) • Structural and electrical properties of the GdTiO{sub 3} film were studied. • a-IGZO TFT featuring GdTi{sub x}O{sub y} dielectric exhibited better electrical characteristics. • TFT instability investigated under positive and negative gate-bias stress conditions.

  18. Encapsulate-and-peel: fabricating carbon nanotube CMOS integrated circuits in a flexible ultra-thin plastic film.

    Science.gov (United States)

    Gao, Pingqi; Zhang, Qing

    2014-02-14

    Fabrication of single-walled carbon nanotube thin film (SWNT-TF) based integrated circuits (ICs) on soft substrates has been challenging due to several processing-related obstacles, such as printed/transferred SWNT-TF pattern and electrode alignment, electrical pad/channel material/dielectric layer flatness, adherence of the circuits onto the soft substrates etc. Here, we report a new approach that circumvents these challenges by encapsulating pre-formed SWNT-TF-ICs on hard substrates into polyimide (PI) and peeling them off to form flexible ICs on a large scale. The flexible SWNT-TF-ICs show promising performance comparable to those circuits formed on hard substrates. The flexible p- and n-type SWNT-TF transistors have an average mobility of around 60 cm(2) V(-1) s(-1), a subthreshold slope as low as 150 mV dec(-1), operating gate voltages less than 2 V, on/off ratios larger than 10(4) and a switching speed of several kilohertz. The post-transfer technique described here is not only a simple and cost-effective pathway to realize scalable flexible ICs, but also a feasible method to fabricate flexible displays, sensors and solar cells etc.

  19. Ready fabrication of thin-film electrodes from building nanocrystals for micro-supercapacitors.

    Science.gov (United States)

    Chen, Zheng; Weng, Ding; Wang, Xiaolei; Cheng, Yanhua; Wang, Ge; Lu, Yunfeng

    2012-04-18

    Thin-film pseudocapacitor electrodes with ultrafast lithium storage kinetics, high capacitance and excellent cycling stability were fabricated from monodispersed TiO(2) building nanocrystals, providing a novel approach towards next-generation micro-supercapacitor applications. This journal is © The Royal Society of Chemistry 2012

  20. Fabrication of Carbon Nanotube Thin Films by Evaporation-Induced Self-Assembly

    OpenAIRE

    Li, Han

    2015-01-01

    In summary, we have prepared single-wall carbon nanotube (SWNT) thin films by the method of evaporation-induced self-assembly (EISA). Using the scalable two-plate or lens setups, sorts of different film types or patterns of SWNTs has been successfully fabricated directly from the evaporation of solvents and could be precisely controlled by the concentrations of SWNT in ambient conditions. The special geometry of meniscus as the capillary bridge has not only given rise to a much higher efficie...

  1. Reliability improvement of a-Si:H thin film transistors on plastic substrate with saturation in deep state after multiple bending cycles

    International Nuclear Information System (INIS)

    Lee, M.H.; Chen, P.-G.; Hsu, C.-C.

    2013-01-01

    For flexible electronic applications, the disordered bonds of a-Si:H may generate a redistribution of trapped states with mechanical strain. During mechanical strain, the deep states are redistributed in a Gaussian distribution and are dissimilar to ordinary acceptor-like deep states, which manifest with exponential distributions. The redistributed deep states may saturate with multiple mechanical bending cycles, and it would improve the reliability with drain current stress of a-Si:H TFTs (thin film transistors) on flexible substrates. We conclude that it is possible to produce low-cost and highly uniform active-matrix organic light emitting diodes systems for use in flexible display applications using a-Si:H TFTs array backplanes. - Highlights: • The stress stability of a-Si:H TFTs (thin-film transistors) was improved after bending cycles. • The saturated deep states after bending were confirmed. • The simulation and extracted gap state density of a-Si:H TFT under strain was calculated

  2. Organic transistors with high thermal stability for medical applications.

    Science.gov (United States)

    Kuribara, Kazunori; Wang, He; Uchiyama, Naoya; Fukuda, Kenjiro; Yokota, Tomoyuki; Zschieschang, Ute; Jaye, Cherno; Fischer, Daniel; Klauk, Hagen; Yamamoto, Tatsuya; Takimiya, Kazuo; Ikeda, Masaaki; Kuwabara, Hirokazu; Sekitani, Tsuyoshi; Loo, Yueh-Lin; Someya, Takao

    2012-03-06

    The excellent mechanical flexibility of organic electronic devices is expected to open up a range of new application opportunities in electronics, such as flexible displays, robotic sensors, and biological and medical electronic applications. However, one of the major remaining issues for organic devices is their instability, especially their thermal instability, because low melting temperatures and large thermal expansion coefficients of organic materials cause thermal degradation. Here we demonstrate the fabrication of flexible thin-film transistors with excellent thermal stability and their viability for biomedical sterilization processes. The organic thin-film transistors comprise a high-mobility organic semiconductor, dinaphtho[2,3-b:2',3'-f]thieno[3,2-b]thiophene, and thin gate dielectrics comprising a 2-nm-thick self-assembled monolayer and a 4-nm-thick aluminium oxide layer. The transistors exhibit a mobility of 1.2 cm(2) V(-1)s(-1) within a 2 V operation and are stable even after exposure to conditions typically used for medical sterilization.

  3. Flexible thin-film NFC tags

    NARCIS (Netherlands)

    Myny, K.; Tripathi, A.K.; Steen, J.L. van der; Cobb, B.

    2015-01-01

    Thin-film transistor technologies have great potential to become the key technology for leafnode Internet of Things by utilizing the NFC protocol as a communication medium. The main requirements are manufacturability on flexible substrates at a low cost while maintaining good device performance

  4. Effect of Static and Rotating Magnetic Fields on Low-Temperature Fabrication of InGaZnO Thin-Film Transistors.

    Science.gov (United States)

    Park, Jeong Woo; Tak, Young Jun; Na, Jae Won; Lee, Heesoo; Kim, Won-Gi; Kim, Hyun Jae

    2018-05-16

    We suggest thermal treatment with static magnetic fields (SMFs) or rotating magnetic fields (RMFs) as a new technique for the activation of indium-gallium-zinc oxide thin-film transistors (IGZO TFTs). Magnetic interactions between metal atoms in IGZO films and oxygen atoms in air by SMFs or RMFs can be expected to enhance metal-oxide (M-O) bonds, even at low temperature (150 °C), through attraction of metal and oxygen atoms having their magnetic moments aligned in the same direction. Compared to IGZO TFTs with only thermal treatment at 300 °C, IGZO TFTs under an RMF (1150 rpm) at 150 °C show superior or comparable characteristics: field-effect mobility of 12.68 cm 2 V -1 s -1 , subthreshold swing of 0.37 V dec -1 , and on/off ratio of 1.86 × 10 8 . Although IGZO TFTs under an SMF (0 rpm) can be activated at 150 °C, the electrical performance is further improved in IGZO TFTs under an RMF (1150 rpm). These improvements of IGZO TFTs under an RMF (1150 rpm) are induced by increases in the number of M-O bonds due to enhancement of the magnetic interaction per unit time as the rpm value increases. We suggest that this new process of activating IGZO TFTs at low temperature widens the choice of substrates in flexible or transparent devices.

  5. Development of transparent thin film transistors on PES polymer substrates

    International Nuclear Information System (INIS)

    Yun, Eui-Jung; Jung, Jin-Woo; Ko, Kyung-Nam; Song, Young-Wook; Nam, Hyoung; Cho, Nam-Ihn

    2010-01-01

    In this study, we demonstrate ZnO-based transparent thin film transistors (TTFT's) implemented on polyethersulfone (PES) polymer substrates. For the developed TTFT's, radio-frequency magnetron sputter techniques were used to deposit Al-doped ZnO (AZO) at zero oxygen partial pressures for the source, the drain, and the gate-contact electrodes, undoped ZnO at low oxygen partial pressures for the active p-type layer, and SiO 2 for the gate dielectric. The TTFT's were processed at room temperature (RT), except for a 100 .deg. C sputtering step to deposit the AZO source, drain, and gate-contact electrodes. The devices have bottom-gate structures with top contacts, are optically transparent, and operate in an enhancement mode with a threshold voltage of +13 V, a mobility of 0.1 cm 2 /Vs, an on-off ratio of about 0.5 x 10 3 and, a sub-threshold slope of 4.1 V/decade.

  6. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    Science.gov (United States)

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Fabrication of nanostructured Al-doped ZnO thin film for methane sensing applications

    Energy Technology Data Exchange (ETDEWEB)

    Shafura, A. K., E-mail: shafura@ymail.com; Azhar, N. E. I.; Uzer, M.; Mamat, M. H. [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA, 40450 Shah Alam, Selangor (Malaysia); Sin, N. D. Md. [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA, 40450 Shah Alam, Selangor (Malaysia); Faculty of Electrical Engineering, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Saurdi, I. [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA, 40450 Shah Alam, Selangor (Malaysia); Faculty of Electrical Engineering, Universiti Teknologi MARA Sarawak, Kampus Kota Samarahan Jalan Meranek, Sarawak (Malaysia); Shuhaimi, A. [Dimensional Materials Research Centre (LDMRC), Department of Physics, Faculty of Science, University ofMalaya, 50603 Kuala Lumpur (Malaysia); Alrokayan, Salman A. H.; Khan, Haseeb A. [Research Chair of Targeting and Treatment Cancer Using Nanoparticles, Department Of Biochemistry, College Of Science, King Saud University, P.O: 2454 Riyadh 11451 (Saudi Arabia); Rusop, M., E-mail: nanouitm@gmail.com [NANO-ElecTronic Centre (NET), Faculty of Electrical Engineering, Universiti Teknologi MARA, 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science, Universiti Teknologi MARA, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    CH{sub 4} gas sensor was fabricated using spin-coating method of the nanostructured ZnO thin film. Effect of annealing temperature on the electrical and structural properties of the film was investigated. Dense nanostructured ZnO film are obtained at higher annealing temperature. The optimal condition of annealing temperature is 500°C which has conductivity and sensitivity value of 3.3 × 10{sup −3} S/cm and 11.5%, respectively.

  8. Amorphous Zinc Oxide Integrated Wavy Channel Thin Film Transistor Based High Performance Digital Circuits

    KAUST Repository

    Hanna, Amir

    2015-12-04

    High performance thin film transistor (TFT) can be a great driving force for display, sensor/actuator, integrated electronics, and distributed computation for Internet of Everything applications. While semiconducting oxides like zinc oxide (ZnO) present promising opportunity in that regard, still wide area of improvement exists to increase the performance further. Here, we show a wavy channel (WC) architecture for ZnO integrated TFT which increases transistor width without chip area penalty, enabling high performance in material agnostic way. We further demonstrate digital logic NAND circuit using the WC architecture and compare it to the conventional planar architecture. The WC architecture circuits have shown 2× higher peak-to-peak output voltage for the same input voltage. They also have 3× lower high-to-low propagation delay times, respectively, when compared to the planar architecture. The performance enhancement is attributed to both extra device width and enhanced field effect mobility due to higher gate field electrostatics control.

  9. Wavy channel Thin Film Transistor for area efficient, high performance and low power applications

    KAUST Repository

    Hanna, Amir

    2014-06-01

    We report a new Thin Film Transistor (TFT) architecture that allows expansion of the device width using wavy (continuous without separation) fin features - termed as wavy channel (WC) architecture. This architecture allows expansion of transistor width in a direction perpendicular to the substrate, thus not consuming extra chip area, achieving area efficiency. The devices have shown for a 13% increase in the device width resulting in a maximum 2.4x increase in \\'ON\\' current value of the WCTFT, when compared to planar devices consuming the same chip area, while using atomic layer deposition based zinc oxide (ZnO) as the channel material. The WCTFT devices also maintain similar \\'OFF\\' current value, similar to 100 pA, when compared to planar devices, thus not compromising on power consumption for performance which usually happens with larger width devices. This work offers a pragmatic opportunity to use WCTFTs as backplane circuitry for large-area high-resolution display applications without any limitation any TFT materials.

  10. Addition of ferrocene controls polymorphism and enhances charge mobilities in poly(3-hexylthiophene) thin-film transistors

    Science.gov (United States)

    Smith, Brandon; Clark, Michael; Grieco, Christopher; Larsen, Alec; Asbury, John; Gomez, Enrique

    2015-03-01

    Crystalline organic molecules often exhibit the ability to form multiple crystal structures depending on the processing conditions. Exploiting this polymorphism to optimize molecular orbital overlap between adjacent molecules within the unit lattice of conjugated polymers is an approach to enhance charge transport within the material. We have demonstrated the formation of tighter π- π stacking poly(3-hexylthiophene-2,5-diyl) polymorphs in films spin coated from ferrocene-containing solutions using grazing incident X-ray diffraction. As a result, we found that the addition of ferrocene to casting solutions yields thin-film transistors which exhibit significantly higher source-drain current and charge mobilities than neat polymer devices. Insights gleaned from ferrocene/poly(3-hexylthiophene) mixtures can serve as a template for selection and optimization of next generation small molecule/polymer systems possessing greater baseline charge mobilities. Ultimately, the development of such techniques to enhance the characteristics of organic transistors without imparting high costs or loss of advantageous properties will be a critical factor determining the future of organic components within the electronics market.

  11. Ultra-high mobility transparent organic thin film transistors grown by an off-centre spin-coating method.

    Science.gov (United States)

    Yuan, Yongbo; Giri, Gaurav; Ayzner, Alexander L; Zoombelt, Arjan P; Mannsfeld, Stefan C B; Chen, Jihua; Nordlund, Dennis; Toney, Michael F; Huang, Jinsong; Bao, Zhenan

    2014-01-01

    Organic semiconductors with higher carrier mobility and better transparency have been actively pursued for numerous applications, such as flat-panel display backplane and sensor arrays. The carrier mobility is an important figure of merit and is sensitively influenced by the crystallinity and the molecular arrangement in a crystal lattice. Here we describe the growth of a highly aligned meta-stable structure of 2,7-dioctyl[1]benzothieno[3,2-b][1]benzothiophene (C8-BTBT) from a blended solution of C8-BTBT and polystyrene by using a novel off-centre spin-coating method. Combined with a vertical phase separation of the blend, the highly aligned, meta-stable C8-BTBT films provide a significantly increased thin film transistor hole mobility up to 43 cm(2) Vs(-1) (25 cm(2) Vs(-1) on average), which is the highest value reported to date for all organic molecules. The resulting transistors show high transparency of >90% over the visible spectrum, indicating their potential for transparent, high-performance organic electronics.

  12. Structural study and fabrication of nano-pattern on ultra thin film of Ag grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Banerjee, S.; Mukherjee, S.; Kundu, S.

    2001-01-01

    We present the structural study of ultra thin Ag films using grazing incidence x-ray reflectivity and the modification of these films with the tip of an atomic force microscope. Ag thin films are deposited using dc magnetron sputtering on a Si(001) substrate. Initially, the growth of the film is carpet like and above a certain thickness (∼42 A) the film structure changes to form mounds. This ultra thin film of Ag having carpet-like growth can be modified by the tip of an atomic force microscope, which occurs due to the porous nature of the film. A periodic pattern of nanometer dimensions has been fabricated on this film using the atomic force microscope tip. (author)

  13. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  14. Recent Progress in the Development of Printed Thin-Film Transistors and Circuits with High-Resolution Printing Technology.

    Science.gov (United States)

    Fukuda, Kenjiro; Someya, Takao

    2017-07-01

    Printed electronics enable the fabrication of large-scale, low-cost electronic devices and systems, and thus offer significant possibilities in terms of developing new electronics/optics applications in various fields. Almost all electronic applications require information processing using logic circuits. Hence, realizing the high-speed operation of logic circuits is also important for printed devices. This report summarizes recent progress in the development of printed thin-film transistors (TFTs) and integrated circuits in terms of materials, printing technologies, and applications. The first part of this report gives an overview of the development of functional inks such as semiconductors, electrodes, and dielectrics. The second part discusses high-resolution printing technologies and strategies to enable high-resolution patterning. The main focus of this report is on obtaining printed electrodes with high-resolution patterning and the electrical performance of printed TFTs using such printed electrodes. In the final part, some applications of printed electronics are introduced to exemplify their potential. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Single source precursors for fabrication of I-III-VI{sub 2} thin-film solar cells via spray CVD

    Energy Technology Data Exchange (ETDEWEB)

    Hollingsworth, J.A.; Banger, K.K.; Jin, M.H.-C.; Harris, J.D.; Cowen, J.E.; Bohannan, E.W.; Switzer, J.A.; Buhro, W.E.; Hepp, A.F

    2003-05-01

    The development of thin-film solar cells on flexible, lightweight, space-qualified substrates provides an attractive cost solution to fabricating solar arrays with high specific power (W/kg). Thin-film fabrication studies demonstrate that ternary single source precursors can be used in either a hot, or cold-wall spray chemical vapour deposition reactor, for depositing CuInS{sub 2}, CuGaS{sub 2} and CuGaInS{sub 2} at reduced temperatures (400-450 sign C), which display good electrical and optical properties suitable for photovoltaic devices. X-ray diffraction studies, energy dispersive spectroscopy and scanning electron microscopy confirmed the formation of the single phase CIS, CGS, CIGS thin-films on various substrates at reduced temperatures.

  16. Study of surface-modified PVP gate dielectric in organic thin film transistors with the nano-particle silver ink source/drain electrode.

    Science.gov (United States)

    Yun, Ho-Jin; Ham, Yong-Hyun; Shin, Hong-Sik; Jeong, Kwang-Seok; Park, Jeong-Gyu; Choi, Deuk-Sung; Lee, Ga-Won

    2011-07-01

    We have fabricated the flexible pentacene based organic thin film transistors (OTFTs) with formulated poly[4-vinylphenol] (PVP) gate dielectrics treated by CF4/O2 plasma on poly[ethersulfones] (PES) substrate. The solution of gate dielectrics is made by adding methylated poly[melamine-co-formaldehyde] (MMF) to PVP. The PVP gate dielectric layer was cross linked at 90 degrees under UV ozone exposure. Source/drain electrodes are formed by micro contact printing (MCP) method using nano particle silver ink for the purposes of low cost and high throughput. The optimized OTFT shows the device performance with field effect mobility of the 0.88 cm2/V s, subthreshold slope of 2.2 V/decade, and on/off current ratios of 1.8 x 10(-6) at -40 V gate bias. We found that hydrophobic PVP gate dielectric surface can influence on the initial film morphologies of pentacene making dense, which is more important for high performance OTFTs than large grain size. Moreover, hydrophobic gate dielelctric surface reduces voids and -OH groups that interrupt the carrier transport in OTFTs.

  17. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  18. High-conductance low-voltage organic thin film transistor with locally rearranged poly(3-hexylthiophene) domain by current annealing on plastic substrate

    Science.gov (United States)

    Pei, Zingway; Tsai, Hsing-Wang; Lai, Hsin-Cheng

    2016-02-01

    The organic material based thin film transistors (TFTs) are attractive for flexible optoelectronics applications due to the ability of lager area fabrication by solution and low temperature process on plastic substrate. Recently, the research of organic TFT focus on low operation voltage and high output current to achieve a low power organic logic circuit for optoelectronic device,such as e-paper or OLED displayer. To obtain low voltage and high output current, high gate capacitance and high channel mobility are key factors. The well-arranged polymer chain by a high temperature postannealing, leading enhancement conductivity of polymer film was a general method. However, the thermal annealing applying heat for all device on the substrate and may not applicable to plastic substrate. Therefore, in this work, the low operation voltage and high output current of polymer TFTs was demonstrated by locally electrical bias annealing. The poly(styrene-comethyl methacrylate) (PS-r-PMMA) with ultra-thin thickness is used as gate dielectric that the thickness is controlled by thermal treatment after spin coated on organic electrode. In electrical bias-annealing process, the PS-r- PMMA is acted a heating layer. After electrical bias-annealing, the polymer TFTs obtain high channel mobility at low voltage that lead high output current by a locally annealing of P3HT film. In the future, the locally electrical biasannealing method could be applied on plastic substrate for flexible optoelectronic application.

  19. Mobility Enhancement in Amorphous In-Ga-Zn-O Thin-Film Transistor by Induced Metallic in Nanoparticles and Cu Electrodes.

    Science.gov (United States)

    Hu, Shiben; Ning, Honglong; Lu, Kuankuan; Fang, Zhiqiang; Li, Yuzhi; Yao, Rihui; Xu, Miao; Wang, Lei; Peng, Junbiao; Lu, Xubing

    2018-03-27

    In this work, we fabricated a high-mobility amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) based on alumina oxide (Al 2 O 3 ) passivation layer (PVL) and copper (Cu) source/drain electrodes (S/D). The mechanism of the high mobility for a-IGZO TFT was proposed and experimentally demonstrated. The conductivity of the channel layer was significantly improved due to the formation of metallic In nanoparticles on the back channel during Al 2 O 3 PVL sputtering. In addition, Ar atmosphere annealing induced the Schottky contact formation between the Cu S/D and the channel layer caused by Cu diffusion. In conjunction with high conductivity channel and Schottky contact, the a-IGZO TFT based on Cu S/D and Al 2 O 3 PVL exhibited remarkable mobility of 33.5-220.1 cm 2 /Vs when channel length varies from 60 to 560 μ m. This work presents a feasible way to implement high mobility and Cu electrodes in a-IGZO TFT, simultaneously.

  20. Application of calendering for improving the electrical characteristics of a printed top-gate, bottom-contact organic thin film transistors

    Science.gov (United States)

    Lee, Sang Hoon; Lee, Dong Geun; Jung, Hoeryong; Lee, Sangyoon

    2018-05-01

    Interface between the channel and the gate dielectric of organic thin film transistors (OTFTs) needs to be smoothed in order to improve the electrical characteristics. In this study, an optimized calendering process was proposed to improve the surface roughness of the channel. Top-gate, bottom-contact structural p-type OTFT samples were fabricated using roll-to-roll gravure printing (source/drain, channel), spin coating (gate dielectric), and inkjet printing (gate electrode). The calendering process was optimized using the grey-based Taguchi method. The channel surface roughness and electrical characteristics of calendered and non-calendered samples were measured and compared. As a result, the average improvement in the surface roughness of the calendered samples was 26.61%. The average on–off ratio and field-effect mobility of the calendered samples were 3.574 × 104 and 0.1113 cm2 V‑1 s‑1, respectively, which correspond to the improvements of 16.72 and 10.20%, respectively.

  1. Ovine tendon collagen: Extraction, characterisation and fabrication of thin films for tissue engineering applications

    Energy Technology Data Exchange (ETDEWEB)

    Fauzi, M.B.; Lokanathan, Y. [Tissue Engineering Centre, UKM Medical Centre, Jalan Yaacob Latiff, Bandar Tun Razak, 56000 Cheras, Kuala Lumpur (Malaysia); Aminuddin, B.S. [Tissue Engineering Centre, UKM Medical Centre, Jalan Yaacob Latiff, Bandar Tun Razak, 56000 Cheras, Kuala Lumpur (Malaysia); Ear, Nose & Throat Consultant Clinic, Ampang Puteri Specialist Hospital, Taman Dato Ahmad Razali, 68000 Ampang, Selangor (Malaysia); Ruszymah, B.H.I. [Tissue Engineering Centre, UKM Medical Centre, Jalan Yaacob Latiff, Bandar Tun Razak, 56000 Cheras, Kuala Lumpur (Malaysia); Department of Physiology, UKM Medical Centre, Jalan Yaacob Latiff, Bandar Tun Razak, 56000 Cheras, Kuala Lumpur (Malaysia); Chowdhury, S.R., E-mail: shiplu@ppukm.ukm.edu.my [Tissue Engineering Centre, UKM Medical Centre, Jalan Yaacob Latiff, Bandar Tun Razak, 56000 Cheras, Kuala Lumpur (Malaysia)

    2016-11-01

    Collagen is the most abundant extracellular matrix (ECM) protein in the human body, thus widely used in tissue engineering and subsequent clinical applications. This study aimed to extract collagen from ovine (Ovis aries) Achilles tendon (OTC), and to evaluate its physicochemical properties and its potential to fabricate thin film with collagen fibrils in a random or aligned orientation. Acid-solubilized protein was extracted from ovine Achilles tendon using 0.35 M acetic acid, and 80% of extracted protein was measured as collagen. SDS-PAGE and mass spectrometry analysis revealed the presence of alpha 1 and alpha 2 chain of collagen type I (col I). Further analysis with Fourier transform infrared spectrometry (FTIR), X-ray diffraction (XRD) and energy dispersive X-ray spectroscopy (EDS) confirms the presence of triple helix structure of col I, similar to commercially available rat tail col I. Drying the OTC solution at 37°C resulted in formation of a thin film with randomly orientated collagen fibrils (random collagen film; RCF). Introduction of unidirectional mechanical intervention using a platform rocker prior to drying facilitated the fabrication of a film with aligned orientation of collagen fibril (aligned collagen film; ACF). It was shown that both RCF and ACF significantly enhanced human dermal fibroblast (HDF) attachment and proliferation than that on plastic surface. Moreover, cells were distributed randomly on RCF, but aligned with the direction of mechanical intervention on ACF. In conclusion, ovine tendon could be an alternative source of col I to fabricate scaffold for tissue engineering applications. - Highlights: • Isolated collagen from ovine tendon was characterized as collagen type I. • Collagen film was fabricated via air drying of ovine tendon collagen. • Collagen fibril alignment was realized via unidirectional platform rocker. • Orientation of cells was attained depending on collagen fibril direction in the film. • Collagen films

  2. Fabrication and characterization of optical sensors using metallic core-shell thin film nanoislands for ozone detection

    Science.gov (United States)

    Addanki, Satish; Nedumaran, D.

    2017-07-01

    Core-Shell nanostructures play a vital role in the sensor field owing to their performance improvements in sensing characteristics and well-established synthesis procedures. These nanostructures can be ingeniously tuned to achieve tailored properties for a particular application of interest. In this work, an Ag-Au core-shell thin film nanoislands with APTMS (3-Aminopropyl trimethoxysilane) and PVA (Polyvinyl alcohol) binding agents was modeled, synthesized and characterized. The simulation results were used to fabricate the sensor through chemical route. The results of this study confirmed that the APTMS based Ag-Au core-shell thin film nanoislands offered a better performance over the PVA based Ag-Au core-shell thin film nanoislands. Also, the APTMS based Ag-Au core-shell thin film nanoislands exhibited better sensitivity towards ozone sensing over the other types, viz., APTMS/PVA based Au-Ag core-shell and standalone Au/Ag thin film nanoislands.

  3. Structural and electrical characteristics of high-κ ErTixOy gate dielectrics on InGaZnO thin-film transistors

    International Nuclear Information System (INIS)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Li, Wei-Chen; Matsuda, Yasuhiro H.; Pan, Tung-Ming

    2013-01-01

    In this paper, we investigated the structural properties and electrical characteristics of high-κ ErTi x O y gate dielectrics on indium-gallium-zinc oxide thin-film transistors (IGZO TFTs). We used X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy to investigate the structural and morphological features of these dielectric films after they had been subjected to annealing at various temperatures. The high-κ ErTi x O y IGZO TFT device annealed at 400 °C exhibited better electrical characteristics in terms of a large field-effect mobility (8.24 cm 2 /V-s), low threshold voltage (0.36 V), small subthreshold swing (130 mV/dec), and high I on/off ratio(3.73 × 10 6 ). These results are attributed to the reduction of the trap states and oxygen vacancies between the ErTi x O y film and IGZO active layer interface during high-temperature annealing in oxygen ambient. The reliability of voltage stress also can be improved by the oxygen annealing at 400 °C. - Highlights: • ErTi x O y InGaZnO thin-film transistors (TFT). • Structural and electrical properties of the TFT were investigated. • TFT device annealed at 400 °C exhibited better electrical characteristics. • Reliability of TFT device can be improved by annealing at 400 °C

  4. Solving the integration problem of one transistor one memristor architecture with a Bi-layer IGZO film through synchronous process

    Science.gov (United States)

    Chang, Che-Chia; Liu, Po-Tsun; Chien, Chen-Yu; Fan, Yang-Shun

    2018-04-01

    This study demonstrates the integration of a thin film transistor (TFT) and resistive random-access memory (RRAM) to form a one-transistor-one-resistor (1T1R) configuration. With the concept of the current conducting direction in RRAM and TFT, a triple-layer stack design of Pt/InGaZnO/Al2O3 is proposed for both the switching layer of RRAM and the channel layer of TFT. This proposal decreases the complexity of fabrication and the numbers of photomasks required. Also, the robust endurance and stable retention characteristics are exhibited by the 1T1R architecture for promising applications in memory-embedded flat panel displays.

  5. Highly conductive grain boundaries in copper oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Deuermeier, Jonas, E-mail: j.deuermeier@campus.fct.unl.pt [Department of Materials Science, Faculty of Science and Technology, i3N/CENIMAT, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal); Department of Materials and Earth Sciences, Technische Universität Darmstadt, Jovanka-Bontschits-Straße 2, D-64287 Darmstadt (Germany); Wardenga, Hans F.; Morasch, Jan; Siol, Sebastian; Klein, Andreas, E-mail: aklein@surface.tu-darmstadt.de [Department of Materials and Earth Sciences, Technische Universität Darmstadt, Jovanka-Bontschits-Straße 2, D-64287 Darmstadt (Germany); Nandy, Suman; Calmeiro, Tomás; Martins, Rodrigo; Fortunato, Elvira [Department of Materials Science, Faculty of Science and Technology, i3N/CENIMAT, Universidade NOVA de Lisboa and CEMOP/UNINOVA, Campus de Caparica, 2829-516 Caparica (Portugal)

    2016-06-21

    High conductivity in the off-state and low field-effect mobility compared to bulk properties is widely observed in the p-type thin-film transistors of Cu{sub 2}O, especially when processed at moderate temperature. This work presents results from in situ conductance measurements at thicknesses from sub-nm to around 250 nm with parallel X-ray photoelectron spectroscopy. An enhanced conductivity at low thickness is explained by the occurrence of Cu(II), which is segregated in the grain boundary and locally causes a conductivity similar to CuO, although the surface of the thick film has Cu{sub 2}O stoichiometry. Since grains grow with an increasing film thickness, the effect of an apparent oxygen excess is most pronounced in vicinity to the substrate interface. Electrical properties of Cu{sub 2}O grains are at least partially short-circuited by this effect. The study focuses on properties inherent to copper oxide, although interface effects cannot be ruled out. This non-destructive, bottom-up analysis reveals phenomena which are commonly not observable after device fabrication, but clearly dominate electrical properties of polycrystalline thin films.

  6. Graphene as tunable contact for high performance thin film transistor

    Science.gov (United States)

    Liu, Yuan

    Graphene has been one of the most extensively studied materials due to its unique band structure, the linear dispersion at the K point. It gives rise to novel phenomena, such as the anomalous quantum Hall effect, and has opened up a new category of "Fermi-Dirac" physics. Graphene has also attracted enormous attention for future electronics because of its exceptional high carrier mobility, high carrier saturation velocity, and large critical current density. However, graphene has zero intrinsic band gap, thus can not be used as the active channel material for logic transistors with sufficient on/off current ratio. Previous approaches to address this challenge include the induction of a transport gap in graphene nanostructures or bilayer graphene. However, these approaches have proved successful in improving the on-- off ratio of the resulting devices, but often at a severe sacrifice of the deliverable current density. Alternatively, with a finite density of states, tunable work-function and optical transparency, graphene can function as a unique tunable contact material to create a new structure of electronic devices. In this thesis, I will present my effort toward on-off ratio of graphene based vertical thin film transistor. I will include the work form four of my first author publication. I will first present my research studies on the a dramatic enhancement of the overall quantum efficiency and spectral selectivity of graphene photodetector, by coupling with plasmonic nanostructures. It is observed that metallic plasmonic nanostructures can be integrated with graphene photodetectors to greatly enhance the photocurrent and external quantum efficiency by up to 1,500%. Plasmonic nanostructures of variable resonance frequencies selectively amplify the photoresponse of graphene to light of different wavelengths, enabling highly specific detection of multicolours. Then I will show a new design of highly flexible vertical TFTs (VTFTs) with superior electrical

  7. High-temperature fabrication of Ag(In,Ga)Se{sub 2} thin films for applications in solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xianfeng [International Center for Science and Engineering Programs, Waseda University, Tokyo (Japan); Yamada, Akira [Department of Physical Electronics, Tokyo Institute of Technology, Tokyo (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo (Japan); Kagami Memorial Research Institute for Materials Science, Waseda University, Tokyo (Japan)

    2017-10-15

    Molecular beam epitaxy was used to fabricate Ag(In,Ga)Se{sub 2} (AIGS) thin films. To improve the diffusion of Ag, high-temperature deposition and high-temperature annealing methods were applied to fabricate AIGS films. The as-grown AIGS thin films were then used to make AIGS solar cells. We found that grain size and crystallinity of AIGS films were considerably improved by increasing the deposition and annealing temperature. For high-temperature deposition, temperatures over 600 C led to decomposition of the AIGS film, desorption of In, and deterioration of its crystallinity. The most appropriate deposition temperature was 590 C and a solar cell with a power conversion efficiency of 4.1% was obtained. High-temperature annealing of the AIGS thin films showed improved crystallinity as annealing temperature was increased and film decomposition and In desorption were prevented. A solar cell based on this film showed the highest conversion efficiency of 6.4% when annealed at 600 C. When the annealing temperature was further increased to 610 C, the performance of the cell deteriorated due to loss of the out-of-plane Ga gradient. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Preparation and characterization of ZnO transparent semiconductor thin films by sol-gel method

    International Nuclear Information System (INIS)

    Tsay, Chien-Yie; Fan, Kai-Shiung; Chen, Sih-Han; Tsai, Chia-Hao

    2010-01-01

    Transparent semiconductor thin films of zinc oxide (ZnO) were deposited onto alkali-free glass substrates by the sol-gel method and spin-coating technique. In this study, authors investigate the influence of the heating rate of the preheating process (4 or 10 o C/min) on the crystallization, surface morphology, and optical properties of sol-gel derived ZnO thin films. The ZnO sol was synthesized by dissolving zinc acetate dehydrate in ethanol, and then adding monoethanolamine. The as-coated films were preheated at 300 o C for 10 min and annealed at 500 o C for 1 h in air ambiance. Experimental results indicate that the heating rate of the preheating process strongly affected the surface morphology and transparency of ZnO thin film. Specifically, a heating rate of 10 o C/min for the preheating process produces a preferred orientation along the (0 0 2) plane and a high transmittance of 92% at a wavelength of 550 nm. Furthermore, this study reports the fabrication of thin-film transistors (TFTs) with a transparent ZnO active channel layer and evaluates their electrical performance.

  9. Influence of metal induced crystallization parameters on the performance of polycrystalline silicon thin film transistors

    International Nuclear Information System (INIS)

    Pereira, L.; Barquinha, P.; Fortunato, E.; Martins, R.

    2005-01-01

    In this work, metal induced crystallization using nickel was employed to obtain polycrystalline silicon by crystallization of amorphous films for thin film transistor applications. The devices were produced through only one lithographic process with a bottom gate configuration using a new gate dielectric consisting of a multi-layer of aluminum oxide/titanium oxide produced by atomic layer deposition. The best results were obtained for TFTs with the active layer of poly-Si crystallized for 20 h at 500 deg. C using a nickel layer of 0.5 nm where the effective mobility is 45.5 cm 2 V -1 s -1 . The threshold voltage, the on/off current ratio and the sub-threshold voltage are, respectively, 11.9 V, 5.55x10 4 and 2.49 V/dec

  10. Drying Temperature Dependence of Sol-gel Spin Coated Bilayer Composite ZnO/TiO2 Thin Films for Extended Gate Field Effect Transistor pH Sensor

    Science.gov (United States)

    Rahman, R. A.; Zulkefle, M. A.; Yusoff, K. A.; Abdullah, W. F. H.; Rusop, M.; Herman, S. H.

    2018-03-01

    This study presents an investigation on zinc oxide (ZnO) and titanium dioxide (TiO2) bilayer film applied as the sensing membrane for extended-gate field effect transistor (EGFET) for pH sensing application. The influences of the drying temperatures on the pH sensing capability of ZnO/TiO2 were investigated. The sensing performance of the thin films were measured by connecting the thin film to a commercial MOSFET to form the extended gates. By varying the drying temperature, we found that the ZnO/TiO2 thin film dried at 150°C gave the highest sensitivity compared to other drying conditions, with the sensitivity value of 48.80 mV/pH.

  11. Flexible Electronics Powered by Mixed Metal Oxide Thin Film Transistors

    Science.gov (United States)

    Marrs, Michael

    A low temperature amorphous oxide thin film transistor (TFT) and amorphous silicon PIN diode backplane technology for large area flexible digital x-ray detectors has been developed to create 7.9-in. diagonal backplanes. The critical steps in the evolution of the backplane process include the qualification and optimization of the low temperature (200 °C) metal oxide TFT and a-Si PIN photodiode process, the stability of the devices under forward and reverse bias stress, the transfer of the process to flexible plastic substrates, and the fabrication and assembly of the flexible detectors. Mixed oxide semiconductor TFTs on flexible plastic substrates suffer from performance and stability issues related to the maximum processing temperature limitation of the polymer. A novel device architecture based upon a dual active layer improves both the performance and stability. Devices are directly fabricated below 200 ºC on a polyethylene naphthalate (PEN) substrate using mixed metal oxides of either zinc indium oxide (ZIO) or indium gallium zinc oxide (IGZO) as the active semiconductor. The dual active layer architecture allows for adjustment to the saturation mobility and threshold voltage stability without the requirement of high temperature annealing, which is not compatible with flexible plastic substrates like PEN. The device performance and stability is strongly dependent upon the composition of the mixed metal oxide; this dependency provides a simple route to improving the threshold voltage stability and drive performance. By switching from a single to a dual active layer, the saturation mobility increases from 1.2 cm2/V-s to 18.0 cm2/V-s, while the rate of the threshold voltage shift decreases by an order of magnitude. This approach could assist in enabling the production of devices on flexible substrates using amorphous oxide semiconductors. Low temperature (200°C) processed amorphous silicon photodiodes were developed successfully by balancing the tradeoffs

  12. Optoelectronic properties of transparent p-type semiconductor Cu{sub x}S thin films

    Energy Technology Data Exchange (ETDEWEB)

    Parreira, P.; Valente, J. [ICEMS, IST-UTL, Lisboa (Portugal); Lavareda, G. [Departamento de Fisica, IST-UTL, Lisboa (Portugal); Nunes, F.T. [Departamento de Ciencia dos Materiais, FCT-UNL, Caparica (Portugal); Amaral, A. [Departamento de Fisica, IST-UTL, Lisboa (Portugal); ICEMS, IST-UTL, Lisboa (Portugal); Carvalho, C.N. de [Departamento de Ciencia dos Materiais, FCT-UNL, Caparica (Portugal); ICEMS, IST-UTL, Lisboa (Portugal)

    2010-07-15

    Nowadays, among the available transparent semiconductors for device use, the great majority (if not all) have n-type conductivity. The fabrication of a transparent p-type semiconductor with good optoelectronic properties (comparable to those of n-type: InO{sub x}, ITO, ZnO{sub x} or FTO) would significantly broaden the application field of thin films. However, until now no material has yet presented all the required properties. Cu{sub 2}S is a p-type narrow-band-gap material with an average optical transmittance of about 60% in the visible range for 50 nm thick films. However, due to its high conductivity at room temperature, 10 nm in thickness seems to be appropriate for device use. Cu{sub 2}S thin films with 10 nm in thickness have an optical visible transmittance of about 85% rendering them as very good candidates for transparent p-type semiconductors. In this work Cu{sub x}S thin films were deposited on alkali-free (AF) glass by thermal evaporation. The objective was not only the determination of its optoelectronic properties but also the feasibility of an active layer in a p-type thin film transistor. In our Cu{sub x}S thin films, p-type high conductivity with a total visible transmittance of about 50% have been achieved. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  13. Advanced fabrication method for the preparation of MOF thin films: Liquid-phase epitaxy approach meets spin coating method.

    KAUST Repository

    Chernikova, Valeriya

    2016-07-14

    Here we report a new and advanced method for the fabrication of highly oriented/polycrystalline metal-organic framework (MOF) thin films. Building on the attractive features of the liquid-phase epitaxy (LPE) approach, a facile spin coating method was implemented to generate MOF thin films in a high-throughput fashion. Advantageously, this approach offers a great prospective to cost-effectively construct thin-films with a significantly shortened preparation time and a lessened chemicals and solvents consumption, as compared to the conventional LPE-process. Certainly, this new spin-coating approach has been implemented successfully to construct various MOF thin films, ranging in thickness from a few micrometers down to the nanometer scale, spanning 2-D and 3-D benchmark MOF materials including Cu2(bdc)2•xH2O, Zn2(bdc)2•xH2O, HKUST-1 and ZIF-8. This method was appraised and proved effective on a variety of substrates comprising functionalized gold, silicon, glass, porous stainless steel and aluminum oxide. The facile, high-throughput and cost-effective nature of this approach, coupled with the successful thin film growth and substrate versatility, represents the next generation of methods for MOF thin film fabrication. Thereby paving the way for these unique MOF materials to address a wide range of challenges in the areas of sensing devices and membrane technology.

  14. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    Science.gov (United States)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  15. Selective metallization of amorphous-indium-gallium-zinc-oxide thin-film transistor by using helium plasma treatment

    Science.gov (United States)

    Jang, Hun; Lee, Su Jeong; Porte, Yoann; Myoung, Jae-Min

    2018-03-01

    In this study, the effects of helium (He) plasma treatment on amorphous-indium-gallium-zinc-oxide (a-IGZO) thin-film transistors (TFTs) have been investigated. The He plasma treatment induced a dramatic decrease of the resistivity in a-IGZO thin films from 1.25 × 106 to 5.93 mΩ cm. After 5 min He plasma treatment, the a-IGZO films showed an increase in carrier concentration to 6.70 × 1019 cm-3 combined with a high hall mobility of 15.7 cm2 V-1 s-1. The conductivity improvement was linked to the formation of oxygen vacancies during the He plasma treatment, which was observed by x-ray photoelectron spectroscopy analysis. The a-IGZO films did not appear to be damaged on the surface following the plasma treatment and showed a high transmittance of about 88.3% at a wavelength of 550 nm. The He plasma-treated a-IGZO films were used as source/drain (S/D) electrodes in a-IGZO TFTs. The devices demonstrated promising characteristics, on pair with TFTs using Al electrodes, with a threshold voltage (V T) of -1.97 V, sub-threshold slope (SS) of 0.52 V/decade, saturation mobility (μ sat) of 8.75 cm2 V-1 s-1, and on/off current ratio (I on/I off) of 2.66 × 108.

  16. Water-soluble thin film transistors and circuits based on amorphous indium-gallium-zinc oxide.

    Science.gov (United States)

    Jin, Sung Hun; Kang, Seung-Kyun; Cho, In-Tak; Han, Sang Youn; Chung, Ha Uk; Lee, Dong Joon; Shin, Jongmin; Baek, Geun Woo; Kim, Tae-il; Lee, Jong-Ho; Rogers, John A

    2015-04-22

    This paper presents device designs, circuit demonstrations, and dissolution kinetics for amorphous indium-gallium-zinc oxide (a-IGZO) thin film transistors (TFTs) comprised completely of water-soluble materials, including SiNx, SiOx, molybdenum, and poly(vinyl alcohol) (PVA). Collections of these types of physically transient a-IGZO TFTs and 5-stage ring oscillators (ROs), constructed with them, show field effect mobilities (∼10 cm2/Vs), on/off ratios (∼2×10(6)), subthreshold slopes (∼220 mV/dec), Ohmic contact properties, and oscillation frequency of 5.67 kHz at supply voltages of 19 V, all comparable to otherwise similar devices constructed in conventional ways with standard, nontransient materials. Studies of dissolution kinetics for a-IGZO films in deionized water, bovine serum, and phosphate buffer saline solution provide data of relevance for the potential use of these materials and this technology in temporary biomedical implants.

  17. The zinc-loss effect and mobility enhancement of DUV-patterned sol-gel IGZO thin-film transistors

    Science.gov (United States)

    Wang, Kuan-Hsun; Zan, Hsiao-Wen; Soppera, Olivier

    2018-03-01

    We investigate the composition of the DUV-patterned sol-gel indium gallium zinc oxide (IGZO) thin-film transistors (TFTs) and observe a significant zinc loss effect during developing when the DUV exposure is insufficient. The zinc loss, however, is beneficial for increasing the mobility. Reducing zinc to indium composition ratio from 0.5 to 0.02 can effectively increase mobility from 0.27 to 7.30 cm2 V-1 s-1 when the gallium to indium ratio is fixed as 0.25 and the post annealing process is fixed as 300 °C for 2 h. On the other hand, an IGO TFT fails to deliver a uniform film and a reproducible TFT performance, revealing the critical role of zinc in forming homogeneous IGZO TFTs.

  18. Polycystalline silicon thin films for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Jaeger, Christian Claus

    2012-01-15

    with an activation energy of E{sub A}{sup poly-Si}=1.1 eV. By long-lasting tempering or a short high-temperature step finally the stable layer configuration substrate/Al+Si islands(hillocks)/poly-Si can be reached (E{sub A}{sup hillocks}=2.4 eV). The further main topic of this thesis is the study of the applicability of the poly-silicon layers fabricated by means of the ALILE and R-ALILE process for electronic applications. First thin-film transistors were studied. Additionally thin-film solar cells with microcrystalline silicon as absorber material on polycrystalline R-ALILE seed layers were fabricated. Finally the suitedness of the fabricated poly-silicon layers for crytographic applications were studied.

  19. Wavy channel thin film transistor architecture for area efficient, high performance and low power displays

    KAUST Repository

    Hanna, Amir

    2013-12-23

    We demonstrate a new thin film transistor (TFT) architecture that allows expansion of the device width using continuous fin features - termed as wavy channel (WC) architecture. This architecture allows expansion of transistor width in a direction perpendicular to the substrate, thus not consuming extra chip area, achieving area efficiency. The devices have shown for a 13% increase in the device width resulting in a maximum 2.5× increase in \\'ON\\' current value of the WCTFT, when compared to planar devices consuming the same chip area, while using atomic layer deposition based zinc oxide (ZnO) as the channel material. The WCTFT devices also maintain similar \\'OFF\\' current value, ~100 pA, when compared to planar devices, thus not compromising on power consumption for performance which usually happens with larger width devices. This work offers an interesting opportunity to use WCTFTs as backplane circuitry for large-area high-resolution display applications. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Transparent field-effect transistors based on AlN-gate dielectric and IGZO-channel semiconductor

    International Nuclear Information System (INIS)

    Besleaga, C.; Stan, G.E.; Pintilie, I.; Barquinha, P.; Fortunato, E.; Martins, R.

    2016-01-01

    Highlights: • TFTs based on IGZO channel semiconductor and AlN gate dielectric were fabricated. • AlN films – a viable and cheap gate dielectric alternative for transparent TFTs. • Influence of gate dielectric layer thickness on TFTs electrical characteristics. • No degradation of AlN gate dielectric was observed during devices stress testing. - Abstract: The degradation of thin-film transistors (TFTs) caused by the self-heating effect constitutes a problem to be solved for the next generation of displays. Aluminum nitride (AlN) is a viable alternative for gate dielectric of TFTs due to its good thermal conductivity, matching coefficient of thermal expansion to indium–gallium–zinc-oxide, and excellent stability at high temperatures. Here, AlN thin films of different thicknesses were fabricated by a low temperature reactive radio-frequency magnetron sputtering process, using a low cost, metallic Al target. Their electrical properties have been thoroughly assessed. Furthermore, the 200 nm and 500 nm thick AlN layers have been integrated as gate-dielectric in transparent TFTs with indium–gallium–zinc-oxide as channel semiconductor. Our study emphasizes the potential of AlN thin films for transparent electronics, whilst the functionality of the fabricated field-effect transistors is explored and discussed.