WorldWideScience

Sample records for thin-film dielectric constants

  1. Investigation of SiO2 thin films dielectric constant using ellipsometry technique

    Directory of Open Access Journals (Sweden)

    P Sangpour

    2014-11-01

    Full Text Available In this paper, we studied the optical behavior of SiO2 thin films prepared via sol-gel route using spin coating deposition from tetraethylorthosilicate (TEOS as precursor. Thin films were annealed at different temperatures (400-600oC. Absorption edge and band gap of thin layers were measured using UV-Vis spectrophotometery. Optical refractive index and dielectric constant were measured by ellipsometry technique. Based on our atomic force microscopic (AFM and ellipsometry results, thin layers prepared through this method showed high surface area, and high porosity ranging between 4.9 and 16.9, low density 2 g/cm, and low dielectric constant. The dielectric constant and porosity of layers increased by increasing the temperature due to the changes in surface roughness and particle size.

  2. Mechanical characterization of zeolite low dielectric constant thin films by nanoindentation

    International Nuclear Information System (INIS)

    Johnson, Mark; Li Zijian; Wang Junlan; Ya, Yushan

    2007-01-01

    With semiconductor technologies continuously pushing the miniaturization limits, there is a growing interest in developing novel low dielectric constant materials to replace the traditional dense SiO 2 insulators. In order to survive the multi-level integration process and provide reliable material and structure for the desired integrated circuits (IC) functions, the new low-k materials have to be mechanically strong and stable. Therefore the material selection and mechanical characterization are vital for the successful development of next generation low-k dielectrics. A new class of low-k materials, nanoporous pure-silica zeolite, is prepared in thin films using IC compatible spin coating process and characterized using depth sensing nanoindentation technique. The elastic modulus of the zeolite thin films is found to be significantly higher than that of other low-k materials with similar porosity and dielectric constants. Correlations between the mechanical, microstructural and electrical properties of the thin films are discussed in detail

  3. Quantum-dot size and thin-film dielectric constant: precision measurement and disparity with simple models.

    Science.gov (United States)

    Grinolds, Darcy D W; Brown, Patrick R; Harris, Daniel K; Bulovic, Vladimir; Bawendi, Moungi G

    2015-01-14

    We study the dielectric constant of lead sulfide quantum dot (QD) films as a function of the volume fraction of QDs by varying the QD size and keeping the ligand constant. We create a reliable QD sizing curve using small-angle X-ray scattering (SAXS), thin-film SAXS to extract a pair-distribution function for QD spacing, and a stacked-capacitor geometry to measure the capacitance of the thin film. Our data support a reduced dielectric constant in nanoparticles.

  4. Constant-current corona triode adapted and optimized for the characterization of thin dielectric films

    Science.gov (United States)

    Giacometti, José A.

    2018-05-01

    This work describes an enhanced corona triode with constant current adapted to characterize the electrical properties of thin dielectric films used in organic electronic devices. A metallic grid with a high ionic transparency is employed to charge thin films (100 s of nm thick) with a large enough charging current. The determination of the surface potential is based on the grid voltage measurement, but using a more sophisticated procedure than the previous corona triode. Controlling the charging current to zero, which is the open-circuit condition, the potential decay can be measured without using a vibrating grid. In addition, the electric capacitance and the characteristic curves of current versus the stationary surface potential can also be determined. To demonstrate the use of the constant current corona triode, we have characterized poly(methyl methacrylate) thin films with films with thicknesses in the range from 300 to 500 nm, frequently used as gate dielectric in organic field-effect transistors.

  5. Structural-optical study of high-dielectric-constant oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, M.M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Luchena, M. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Capezzuto, P. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and Plasmas, IMIP-CNR, Department of Chemistry and INSTM Universita di bari, Via Orabona 4, 70126 Bari (Italy); Toro, R.G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Malandrino, G. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Fragala, I.L. [Dipartimento di Scienze Chimiche, Universita di Catania, and INSTM-UdR Catania, Viale A. Doria 6, I-95125 Catania (Italy); Nigro, R. Lo [Istituto di Microelettronica e Microsistemi, IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy)

    2006-10-31

    High-k polycrystalline Pr{sub 2}O{sub 3} and amorphous LaAlO{sub 3} oxide thin films deposited on Si(0 0 1) are studied. The microstructure is investigated using X-ray diffraction and scanning electron microscopy. Optical properties are determined in the 0.75-6.5 eV photon energy range using spectroscopic ellipsometry. The polycrystalline Pr{sub 2}O{sub 3} films have an optical gap of 3.86 eV and a dielectric constant of 16-26, which increases with film thickness. Similarly, very thin amorphous LaAlO{sub 3} films have the optical gap of 5.8 eV, and a dielectric constant below 14 which also increases with film thickness. The lower dielectric constant compared to crystalline material is an intrinsic characteristic of amorphous films.

  6. Correlation between the dielectric constant and X-ray diffraction pattern of Si-O-C thin films with hydrogen bonds

    International Nuclear Information System (INIS)

    Oh, Teresa; Oh, Kyoung Suk; Lee, Kwang-Man; Choi, Chi Kyu

    2004-01-01

    The amorphous structure of organic-inorganic hybrid type Si-O-C thin films was studied using the first principles molecular-dynamics method with density functional techniques. The correlation between the dielectric constant and the degree of amorphous structure in organic-inorganic hybrid type Si-O-C thin films was studied. Si-O-C thin films were deposited by high-density plasma chemical vapor deposition using bis-trimethylsilylmethane and oxygen precursors. As-deposited films and films annealed at 500 deg. C were analyzed by X-ray diffraction (XRD). For quantitative analysis, the X-ray diffraction patterns of the samples were transformed to the radial distribution function (RDF) using Fourier analysis. Hybrid type Si-O-C thin films can be divided into three types using their amorphous structure and the dielectric constant: those with organic, hybrid, and inorganic properties

  7. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  8. Enhanced dielectric and electrical properties of annealed PVDF thin film

    Science.gov (United States)

    Arshad, A. N.; Rozana, M. D.; Wahid, M. H. M.; Mahmood, M. K. A.; Sarip, M. N.; Habibah, Z.; Rusop, M.

    2018-05-01

    Poly (vinylideneflouride) (PVDF) thin films were annealed at various annealing temperatures ranging from 70°C to 170°C. This study demonstrates that PVDF thin films annealed at temperature of 70°C (AN70) showed significant enhancement in their dielectric constant (14) at frequency of 1 kHz in comparison to un-annealed PVDF (UN-PVDF), dielectric constant (10) at the same measured frequency. As the annealing temperature was increased from 90°C (AN90) to 150°C (AN150), the dielectric constant value of PVDF thin films was observed to decrease gradually to 11. AN70 also revealed low tangent loss (tan δ) value at similar frequency. With respect to its resistivity properties, the values were found to increase from 1.98×104 Ω.cm to 3.24×104 Ω.cm for AN70 and UN-PVDF films respectively. The improved in dielectric constant, with low tangent loss and high resistivity value suggests that 70°C is the favorable annealing temperature for PVDF thin films. Hence, AN70 is a promising film to be utilized for application in electronic devices such as low frequency capacitor.

  9. Structure and performance of dielectric films based on self-assembled nanocrystals with a high dielectric constant.

    Science.gov (United States)

    Huang, Limin; Liu, Shuangyi; Van Tassell, Barry J; Liu, Xiaohua; Byro, Andrew; Zhang, Henan; Leland, Eli S; Akins, Daniel L; Steingart, Daniel A; Li, Jackie; O'Brien, Stephen

    2013-10-18

    Self-assembled films built from nanoparticles with a high dielectric constant are attractive as a foundation for new dielectric media with increased efficiency and range of operation, due to the ability to exploit nanofabrication techniques and emergent electrical properties originating from the nanoscale. However, because the building block is a discrete one-dimensional unit, it becomes a challenge to capture potential enhancements in dielectric performance in two or three dimensions, frequently due to surface effects or the presence of discontinuities. This is a recurring theme in nanoparticle film technology when applied to the realm of thin film semiconductor and device electronics. We present the use of chemically synthesized (Ba,Sr)TiO3 nanocrystals, and a novel deposition-polymerization technique, as a means to fabricate the dielectric layer. The effective dielectric constant of the film is tunable according to nanoparticle size, and effective film dielectric constants of up to 34 are enabled. Wide area and multilayer dielectrics of up to 8 cm(2) and 190 nF are reported, for which the building block is an 8 nm nanocrystal. We describe models for assessing dielectric performance, and distinct methods for improving the dielectric constant of a nanocrystal thin film. The approach relies on evaporatively driven assembly of perovskite nanocrystals with uniform size distributions in a tunable 7-30 nm size range, coupled with the use of low molecular weight monomer/polymer precursor chemistry that can infiltrate the porous nanocrystal thin film network post assembly. The intercrystal void space (low k dielectric volume fraction) is minimized, while simultaneously promoting intercrystal connectivity and maximizing volume fraction of the high k dielectric component. Furfuryl alcohol, which has good affinity to the surface of (Ba,Sr)TiO3 nanocrystals and miscibility with a range of solvents, is demonstrated to be ideal for the production of nanocomposites. The

  10. Optical constants, dispersion energy parameters and dielectric properties of ultra-smooth nanocrystalline BiVO4 thin films prepared by rf-magnetron sputtering

    Science.gov (United States)

    Sarkar, S.; Das, N. S.; Chattopadhyay, K. K.

    2014-07-01

    BiVO4 thin films have been prepared through radio frequency (rf) magnetron sputtering of a pre-fabricated BiVO4 target on ITO coated glass (ITO-glass) substrate and bare glass substrates. BiVO4 target material was prepared through solid-state reaction method by heating Bi2O3 and V2O5 mixture at 800 °C for 8 h. The films were characterized by X-ray diffraction, UV-Vis spectroscopy, LCR meter, field emission scanning electron microscopy, transmission electron microscopy and atomic force microscopy. BiVO4 thin films deposited on the ITO-glass substrate are much smoother compared to the thin films prepared on bare glass substrate. The rms surface roughness calculated from the AFM images comes out to be 0.74 nm and 4.2 nm for the films deposited on the ITO-glass substrate and bare glass substrate for the deposition time 150 min respectively. Optical constants and energy dispersion parameters of these extra-smooth BiVO4 thin films have been investigated in detail. Dielectric properties of the BiVO4 thin films on ITO-glass substrate were also investigated. The frequency dependence of dielectric constant of the BiVO4 thin films has been measured in the frequency range from 20 Hz to 2 MHz. It was found that the dielectric constant increased from 145 to 343 at 20 Hz as the film thickness increased from 90 nm to 145 nm (deposition time increased from 60 min to 150 min). It shows higher dielectric constant compared to the literature value of BiVO4.

  11. Dielectric loss of strontium titanate thin films

    Science.gov (United States)

    Dalberth, Mark Joseph

    1999-12-01

    Interest in strontium titanate (STO) thin films for microwave device applications continues to grow, fueled by the telecommunications industry's interest in phase shifters and tunable filters. The optimization of these devices depends upon increasing the phase or frequency tuning and decreasing the losses in the films. Currently, the dielectric response of thin film STO is poorly understood through lack of data and a theory to describe it. We have studied the growth of STO using pulsed laser deposition and single crystal substrates like lanthanum aluminate and neodymium gallate. We have researched ways to use ring resonators to accurately measure the dielectric response as a function of temperature, electric field, and frequency from low radio frequencies to a few gigahertz. Our films grown on lanthanum aluminate show marked frequency dispersion in the real part of the dielectric constant and hints of thermally activated loss behavior. We also found that films grown with conditions that optimized the dielectric constant showed increased losses. In an attempt to simplify the system, we developed a technique called epitaxial lift off, which has allowed us to study films removed from their growth substrates. These free standing films have low losses and show obvious thermally activated behavior. The "amount of tuning," as measured by a figure of merit, KE, is greater in these films than in the films still attached to their growth substrates. We have developed a theory that describes the real and imaginary parts of the dielectric constant. The theory models the real part using a mean field description of the ionic motion in the crystal and includes the loss by incorporating the motion of charged defects in the films.

  12. Dielectric and acoustical high frequency characterisation of PZT thin films

    International Nuclear Information System (INIS)

    Conde, Janine; Muralt, Paul

    2010-01-01

    Pb(Zr, Ti)O 3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  13. Dielectric and acoustical high frequency characterisation of PZT thin films

    Science.gov (United States)

    Conde, Janine; Muralt, Paul

    2010-02-01

    Pb(Zr, Ti)O3 (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {100} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  14. Dielectric and acoustical high frequency characterisation of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Conde, Janine; Muralt, Paul, E-mail: janine.conde@epfl.ch [Department of Materials Science, EPFL (Switzerland)

    2010-02-15

    Pb(Zr, Ti)O{sub 3} (PZT) is an interesting material for bulk acoustic wave resonator applications due to its high electromechanical coupling constant, which would enable fabrication of large bandwidth frequency filters. The major challenge of the PZT solid solution system is to overcome mechanical losses generally observed in PZT ceramics. To increase the understanding of these losses in textured thin films, thin film bulk acoustic resonators (TFBAR's) based on PZT thin films with compositions either in the tetragonal region or at the morphotropic phase boundary and (111) or {l_brace}100{r_brace} textures were fabricated and studied up to 2 GHz. The dielectric and elastic materials coefficients were extracted from impedance measurements at the resonance frequency. The dispersion of the dielectric constant was obtained from impedance measurements up to 2 GHz. The films with varying compositions, textures and deposition methods (sol-gel or sputtering) were compared in terms of dielectric and acoustical properties.

  15. Investigation of high- k yttrium copper titanate thin films as alternative gate dielectrics

    International Nuclear Information System (INIS)

    Monteduro, Anna Grazia; Ameer, Zoobia; Rizzato, Silvia; Martino, Maurizio; Caricato, Anna Paola; Maruccio, Giuseppe; Tasco, Vittorianna; Lekshmi, Indira Chaitanya; Hazarika, Abhijit; Choudhury, Debraj; Sarma, D D

    2016-01-01

    Nearly amorphous high- k yttrium copper titanate thin films deposited by laser ablation were investigated in both metal–oxide–semiconductor (MOS) and metal–insulator–metal (MIM) junctions in order to assess the potentialities of this material as a gate oxide. The trend of dielectric parameters with film deposition shows a wide tunability for the dielectric constant and AC conductivity, with a remarkably high dielectric constant value of up to 95 for the thick films and conductivity as low as 6  ×  10 −10 S cm −1 for the thin films deposited at high oxygen pressure. The AC conductivity analysis points out a decrease in the conductivity, indicating the formation of a blocking interface layer, probably due to partial oxidation of the thin films during cool-down in an oxygen atmosphere. Topography and surface potential characterizations highlight differences in the thin film microstructure as a function of the deposition conditions; these differences seem to affect their electrical properties. (paper)

  16. Effect of crystal structure on strontium titanate thin films and their dielectric properties

    Science.gov (United States)

    Kampangkeaw, Satreerat

    Strontium titanate (SrTiO3 or STO) has application in radio and microwave-frequency tunable capacitor devices particularly at low temperatures due to its high dielectric constant, low loss and the electric field tunability of its dielectric constant. The main goal of improving the performance in these devices is to increase the tunability and decrease the dielectric loss at the same time, especially at microwave frequencies. Thin films of STO however, show dramatic differences compared to the bulk. The dielectric constant of bulk STO increases nonlinearly from 300 at room temperature to 30000 at 4 K and the loss range is 10-3--10 -4. On the other hand. STO thin films, while showing a dielectric constant close to 300 at room temperature, typically reach a maximum between 1000 and 10000 in the 30 K to 100 K range before decreasing, and the high-loss range is 10-2--10-3. We have grown strontium titanate thin films using a pulsed laser deposition technique on substrates selected to have a small lattice mismatch between the film and substrate. Neodymium gallate (NdGaO3 or NGO) and lanthanum aluminate (LaAlO3 or LAO) substrates were good candidates due to only 1--2% mismatching. Film capacitor devices were fabricated with 25 micron gap separation. 1.5 mm total gap length and an overall 1 x 2 mm dimension using standard lithography and gold metal evaporative techniques. Their nonlinear dielectric constant and loss tangent were measured at low frequencies and also at 2 GHz, and from room temperature down to 4 K. The resulting films show significant variations of dielectric properties with position on the substrates with respect to the deposition plume axis. In the presence of DC electric fields up to +/-4 V/mum, STO films show improved dielectric tunability and low loss in regions far from the plume axis. We found that the films grown on NCO have lower dielectric loss than those on LAO due to a closer match of the NCO lattice to that of STO. We investigated the possible

  17. Dielectric relaxation of barium strontium titanate and application to thin films for DRAM capacitors

    Science.gov (United States)

    Baniecki, John David

    This thesis examines the issues associated with incorporating the high dielectric constant material Barium Strontium Titanate (BSTO) in to the storage capacitor of a dynamic random access memory (DRAM). The research is focused on two areas: characterizing and understanding the factors that control charge retention in BSTO thin films and modifying the electrical properties using ion implantation. The dielectric relaxation of BSTO thin films deposited by metal-organic chemical vapor deposition (MOCVD) is investigated in the time and frequency domains. It is shown that the frequency dispersion of the complex capacitance of BSTO thin films can be understood in terms of a power-law frequency dependence from 1mHz to 20GHz. From the correspondence between the time and frequency domain measurements, it is concluded that the power-law relaxation currents extend back to the nano second regime of DRAM operation. The temperature, field, and annealing dependence of the dielectric relaxation currents are also investigated and mechanisms for the observed power law relaxation are explored. An equivalent circuit model of a high dielectric constant thin film capacitor is developed based on the electrical measurements and implemented in PSPICE. Excellent agreement is found between the experimental and simulated electrical characteristics showing the utility of the equivalent circuit model in simulating the electrical properties of high dielectric constant thin films. Using the equivalent circuit model, it is shown that the greatest charge loss due to dielectric relaxation occurs during the first read after a refresh time following a write to the opposite logic state for a capacitor that has been written to the same logic state for a long time (opposite state write charge loss). A theoretical closed form expression that is a function of three material parameters is developed which estimates the opposite state write charge loss due to dielectric relaxation. Using the closed form

  18. Perovskite oxynitride LaTiOxNy thin films: Dielectric characterization in low and high frequencies

    International Nuclear Information System (INIS)

    Lu, Y.; Ziani, A.; Le Paven-Thivet, C.; Benzerga, R.; Le Gendre, L.; Fasquelle, D.; Kassem, H.

    2011-01-01

    Lanthanum titanium oxynitride (LaTiO x N y ) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO x N y thin films deposited on conductive single crystal Nb–STO show a dielectric constant ε′ ≈ 140 with low losses tanδ = 0.012 at 100 kHz. For the LaTiO x N y polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO 2 /Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO x N y films deposited on MgO substrate present a high dielectric constant with low losses (ε′ ≈ 170, tanδ = 0.011, 12 GHz).

  19. Visualization of dielectric constant-electric field-temperature phase maps for imprinted relaxor ferroelectric thin films

    International Nuclear Information System (INIS)

    Frederick, J. C.; Kim, T. H.; Maeng, W.; Brewer, A. A.; Podkaminer, J. P.; Saenrang, W.; Vaithyanathan, V.; Schlom, D. G.; Li, F.; Chen, L.-Q.; Trolier-McKinstry, S.; Rzchowski, M. S.; Eom, C. B.

    2016-01-01

    The dielectric phase transition behavior of imprinted lead magnesium niobate–lead titanate relaxor ferroelectric thin films was mapped as a function of temperature and dc bias. To compensate for the presence of internal fields, an external electric bias was applied while measuring dielectric responses. The constructed three-dimensional dielectric maps provide insight into the dielectric behaviors of relaxor ferroelectric films as well as the temperature stability of the imprint. The transition temperature and diffuseness of the dielectric response correlate with crystallographic disorder resulting from strain and defects in the films grown on strontium titanate and silicon substrates; the latter was shown to induce a greater degree of disorder in the film as well as a dielectric response lower in magnitude and more diffuse in nature over the same temperature region. Strong and stable imprint was exhibited in both films and can be utilized to enhance the operational stability of piezoelectric devices through domain self-poling.

  20. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  1. Structural, dielectric and ferroelectric characterization of PZT thin films

    Directory of Open Access Journals (Sweden)

    Araújo E.B.

    1999-01-01

    Full Text Available In this work ferroelectric thin films of PZT were prepared by the oxide precursor method, deposited on Pt/Si substrate. Films of 0.5 mm average thickness were obtained. Electrical and ferroelectric characterization were carried out in these films. The measured value of the dielectric constant for films was 455. Ferroelectricity was confirmed by Capacitance-Voltage (C-V characteristics and P-E hysteresis loops. Remanent polarization for films presented value around 5.0 µC/cm2 and a coercive field of 88.8 kV/cm.

  2. Determination and analysis of dispersive optical constants of some organic thin films

    International Nuclear Information System (INIS)

    Kaya, Y.; Taysioglu, A. A.; Peksoez, A.; Irez, G.; Derebasi, N.; Kaynak, G.

    2010-01-01

    Schiff bases are an important class of ligands in coordination chemistry and find extensive application in different fields. Recently, increased interest in organic thin film materials has arisen due to their extensive applications in the fields of mechanics, flexible electronics and optics. Optoelectronics is the area in which organic films and organic-inorganic nanostructures have found their main applications in the last decade. These organic thin films have been also used in a wide variety of applications such as Schottky diodes, solid state devices and optical sensors. The optical constants (refractive index, n; extinction coefficient, k and dielectric constant, e) of some organic thin films were determined using reflectance and transmittance spectra. Analysis of the basis absorption spectra was also carried out to determine optical band gap (Eg) and Urbach parameter (E0). A surface observation of these thin films was also carried out by an Atomic Force Microscope.

  3. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  4. Moderate temperature-dependent surface and volume resistivity and low-frequency dielectric constant measurements of pure and multi-walled carbon nanotube (MWCNT) doped polyvinyl alcohol thin films

    Science.gov (United States)

    Edwards, Matthew; Guggilla, Padmaja; Reedy, Angela; Ijaz, Quratulann; Janen, Afef; Uba, Samuel; Curley, Michael

    2017-08-01

    Previously, we have reported measurements of temperature-dependent surface resistivity of pure and multi-walled carbon nanotube (MWNCT) doped amorphous Polyvinyl Alcohol (PVA) thin films. In the temperature range from 22 °C to 40 °C with humidity-controlled environment, we found the surface resistivity to decrease initially, but to rise steadily as the temperature continued to increase. Moreover, electric surface current density (Js) was measured on the surface of pure and MWCNT doped PVA thin films. In this regard, the surface current density and electric field relationship follow Ohm's law at low electric fields. Unlike Ohmic conduction in metals where free electrons exist, selected captive electrons are freed or provided from impurities and dopants to become conduction electrons from increased thermal vibration of constituent atoms in amorphous thin films. Additionally, a mechanism exists that seemingly decreases the surface resistivity at higher temperatures, suggesting a blocking effect for conducting electrons. Volume resistivity measurements also follow Ohm's law at low voltages (low electric fields), and they continue to decrease as temperatures increase in this temperature range, differing from surface resistivity behavior. Moreover, we report measurements of dielectric constant and dielectric loss as a function of temperature and frequency. Both the dielectric constant and dielectric loss were observed to be highest for MWCNT doped PVA compared to pure PVA and commercial paper, and with frequency and temperature for all samples.

  5. Structure dependent resistivity and dielectric characteristics of tantalum oxynitride thin films produced by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cristea, D., E-mail: daniel.cristea@unitbv.ro [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Crisan, A. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania); Cretu, N. [Electrical Engineering and Applied Physics Department, Transilvania University, 500036 Brasov (Romania); Borges, J. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Instituto Pedro Nunes, Laboratório de Ensaios, Desgaste e Materiais, Rua Pedro Nunes, 3030-199 Coimbra (Portugal); SEG-CEMUC, Mechanical Engineering Department, University of Coimbra, 3030-788 Coimbra (Portugal); Lopes, C.; Cunha, L. [Centro de Física, Universidade do Minho, Campus de Gualtar, 4710 - 057 Braga (Portugal); Ion, V.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, Lasers Department, “Photonic Processing of Advanced Materials” Group, PO Box MG-16, RO 77125 Magurele-Bucharest (Romania); Barradas, N.P. [Centro de Ciências e Tecnologias Nucleares, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Alves, E. [Instituto de Plasmas e Fusão Nuclear, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10 ao km 139,7, 2695-066 Bobadela LRS (Portugal); Apreutesei, M. [MATEIS Laboratory-INSA de Lyon, 21 Avenue Jean Capelle, 69621 Villeurbanne cedex (France); Université de Lyon, Institut des Nanotechnologies de Lyon INL-UMR5270, CNRS, Ecole Centrale de Lyon, Ecully F-69134 (France); Munteanu, D. [Department of Materials Science, Transilvania University, 500036 Brasov (Romania)

    2015-11-01

    Highlights: • Tantalum oxynitride thin films have been deposited by magnetron sputtering, in various configurations. • The rising of the reactive gases mixture flow has the consequence of a gradual increase in the non-metallic content in the films, which results in a 10 orders of magnitude resistivity domain. • The higher resistivity films exhibit dielectric constants up to 41 and quality factors up to 70. - Abstract: The main purpose of this work is to present and to interpret the change of electrical properties of Ta{sub x}N{sub y}O{sub z} thin films, produced by DC reactive magnetron sputtering. Some parameters were varied during deposition: the flow of the reactive gases mixture (N{sub 2} and O{sub 2}, with a constant concentration ratio of 17:3); the substrate voltage bias (grounded, −50 V or −100 V) and the substrate (glass, (1 0 0) Si or high speed steel). The obtained films exhibit significant differences. The variation of the deposition parameters induces variations of the composition, microstructure and morphology. These differences cause variation of the electrical resistivity essentially correlated with the composition and structural changes. The gradual decrease of the Ta concentration in the films induces amorphization and causes a raise of the resistivity. The dielectric characteristics of some of the high resistance Ta{sub x}N{sub y}O{sub z} films were obtained in the samples with a capacitor-like design (deposited onto high speed steel, with gold pads deposited on the dielectric Ta{sub x}N{sub y}O{sub z} films). Some of these films exhibited dielectric constant values higher than those reported for other tantalum based dielectric films.

  6. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  7. Sol-gel-derived mesoporous silica films with low dielectric constants

    Energy Technology Data Exchange (ETDEWEB)

    Seraji, S.; Wu, Yun; Forbess, M.; Limmer, S.J.; Chou, T.; Cao, Guozhong [Washington Univ., Seattle, WA (United States). Dept. of Materials Science and Engineering

    2000-11-16

    Mesoporous silica films with low dielectric constants and possibly closed pores have been achieved with a multiple step sol-gel processing technique. Crack-free films with approximately 50% porosity and 0.9 {mu}m thicknesses were obtained, a tape-test revealing good adhesion between films and substrates or metal electrodes. Dielectric constants remained virtually unchanged after aging at room temperature at 56% humidity over 6 days. (orig.)

  8. Perovskite oxynitride LaTiO{sub x}N{sub y} thin films: Dielectric characterization in low and high frequencies

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Y.; Ziani, A. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Le Paven-Thivet, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Telecommunications de Rennes (IETR) UMR-CNRS 6164, groupe ' Antennes et Hyperfrequences' , University of Rennes 1, UEB, IUT Saint Brieuc, 18 rue Henri Wallon, 22004 Saint Brieuc cedex (France); Fasquelle, D. [Laboratoire d' Etude des Materiaux et des Composants pour l' Electronique (LEMCEL) UPRES-EA 2601, University of Littoral-Cote d' Opale, 50 rue Ferdinand Buisson, F-62228 Calais cedex (France); Kassem, H. [Laboratoire de l' Integration du Materiau au Systeme(IMS) UMR-CNRS 5218, groupe Materiaux, University of Bordeaux 1, 16 avenue Pey-Berland, 33607 Pessac (France); and others

    2011-11-01

    Lanthanum titanium oxynitride (LaTiO{sub x}N{sub y}) thin films are studied with respect to their dielectric properties in low and high frequencies. Thin films are deposited by radio frequency magnetron sputtering on different substrates. Effects of nitrogen content and crystalline quality on dielectric properties are investigated. In low-frequency range, textured LaTiO{sub x}N{sub y} thin films deposited on conductive single crystal Nb-STO show a dielectric constant {epsilon} Prime Almost-Equal-To 140 with low losses tan{delta} = 0.012 at 100 kHz. For the LaTiO{sub x}N{sub y} polycrystalline films deposited on conductive silicon substrates with platinum (Pt/Ti/SiO{sub 2}/Si), the tunability reached up to 57% for a weak electric field of 50 kV/cm. In high-frequency range, epitaxial LaTiO{sub x}N{sub y} films deposited on MgO substrate present a high dielectric constant with low losses ({epsilon} Prime Almost-Equal-To 170, tan{delta} = 0.011, 12 GHz).

  9. Structural, Optical Constants and Photoluminescence of ZnO Thin Films Grown by Sol-Gel Spin Coating

    Directory of Open Access Journals (Sweden)

    Abdel-Sattar Gadallah

    2013-01-01

    Full Text Available We report manufacturing and characterization of low cost ZnO thin films grown on glass substrates by sol-gel spin coating method. For structural properties, X-ray diffraction measurements have been utilized for evaluating the dominant orientation of the thin films. For optical properties, reflectance and transmittance spectrophotometric measurements have been done in the spectral range from 350 nm to 2000 nm. The transmittance of the prepared thin films is 92.4% and 88.4%. Determination of the optical constants such as refractive index, absorption coefficient, and dielectric constant in this wavelength range has been evaluated. Further, normal dispersion of the refractive index has been analyzed in terms of single oscillator model of free carrier absorption to estimate the dispersion and oscillation energy. The lattice dielectric constant and the ratio of free carrier concentration to free carrier effective mass have been determined. Moreover, photoluminescence measurements of the thin films in the spectral range from 350 nm to 900 nm have been presented. Electrical measurements for resistivity evaluation of the films have been done. An analysis in terms of order-disorder of the material has been presented to provide more consistency in the results.

  10. Optical constants of CH3NH3PbBr3 perovskite thin films measured by spectroscopic ellipsometry

    KAUST Repository

    Alias, Mohd Sharizal

    2016-07-14

    The lack of optical constants information for hybrid perovskite of CH3NH3PbBr3 in thin films form can delay the progress of efficient LED or laser demonstration. Here, we report on the optical constants (complex refractive index and dielectric function) of CH3NH3PbBr3 perovskite thin films using spectroscopic ellipsometry. Due to the existence of voids, the refractive index of the thin films is around 8% less than the single crystals counterpart. The energy bandgap is around 2.309 eV as obtained from photoluminescence and spectrophotometry spectra, and calculated from the SE analysis. The precise measurement of optical constants will be useful in designing optical devices using CH3NH3PbBr3 thin films.

  11. Optical constants and structural properties of thin gold films

    DEFF Research Database (Denmark)

    Yakubovsky, Dmitry I.; Arsenin, Aleksey V.; Stebunov, Yury V.

    2017-01-01

    We report a comprehensive experimental study of optical and electrical properties of thin polycrystalline gold films in a wide range of film thicknesses (from 20 to 200 nm). Our experimental results are supported by theoretical calculations based on the measured morphology of the fabricated gold...... rules for thin-film plasmonic and nanophotonic devices....... films. We demonstrate that the dielectric function of the metal is determined by its structural morphology. Although the fabrication process can be absolutely the same for different films, the dielectric function can strongly depend on the film thickness. Our studies show that the imaginary part...

  12. Bi-axially crumpled silver thin-film electrodes for dielectric elastomer actuators

    International Nuclear Information System (INIS)

    Low, Sze-Hsien; Lau, Gih-Keong

    2014-01-01

    Metal thin films, which have high conductivity, are much stiffer and may fracture at a much lower strain than dielectric elastomers. In order to fabricate compliant electrodes for use in dielectric elastomer actuators (DEAs), metal thin films have been formed into either zigzag patterns or corrugations, which favour bending and only allow uniaxial DEA deformations. However, biaxially compliant electrodes are desired in order to maximize generated forces of DEA. In this paper, we present crumpled metal thin-film electrodes that are biaxially compliant and have full area coverage over the dielectric elastomer. These crumpled metal thin-film electrodes are more stretchable than flat metal thin films; they remain conductive beyond 110% radial strain. Also, crumpling reduced the stiffening effect of metal thin films on the soft elastomer. As such, DEAs using crumpled metal thin-film electrodes managed to attain relatively high actuated area strains of up to 128% at 1.8 kV (102 Vμm −1 ). (paper)

  13. Optical and microwave dielectric properties of pulsed laser deposited Na{sub 0.5}Bi{sub 0.5}TiO{sub 3} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Joseph, Andrews; Goud, J. Pundareekam; Raju, K. C. James [School of Physics, University of Hyderabad, Hyderabad, Telangana 500046 (India); Emani, Sivanagi Reddy [Advanced Center of Research in High Energy Materials (ACRHEM), School of Physics, University of Hyderabad, Telangana 500046 (India)

    2016-05-23

    Optical properties of pulsed laser deposited (PLD) sodium bismuth titanate thin films (NBT), are investigated at wavelengths of 190-2500 nm. Microwave dielectric properties were investigated using the Split Post Dielectric Resonator (SPDR) technique. At 10 GHz, the NBT films have a dielectric constant of 205 and loss tangent of 0.0373 at room temperature. The optical spectra analysis reveals that NBT thin films have an optical band gap E{sub g}=3.55 eV and it has a dielectric constant of 3.37 at 1000 nm with dielectric loss of 0.299. Hence, NBT is a promising candidate for photonic device applications.

  14. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    International Nuclear Information System (INIS)

    Le Paven, C.; Lu, Y.; Nguyen, H.V.; Benzerga, R.; Le Gendre, L.; Rioual, S.; Benzegoutta, D.; Tessier, F.; Cheviré, F.

    2014-01-01

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO 3 and Pt(111)/TiO 2 /SiO 2 /(001)Si substrates by RF magnetron sputtering, using a La 2 Ti 2 O 7 homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La 2 Ti 2 O 7 films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti 4+ ions, with no trace of Ti 3+ , and provides a La/Ti ratio of 1.02. The depositions being performed from a La 2 Ti 2 O 7 target under oxygen rich plasma, the same composition (La 2 Ti 2 O 7 ) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2 1 space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO 3 substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La 2 Ti 2 O 7 orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La 2 Ti 2 O 7 films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La 2 Ti 2 O 7 chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing

  15. Structural characterization and dielectric properties of BaTiO3 thin films obtained by spin coating

    Directory of Open Access Journals (Sweden)

    Branimir Bajac

    2014-12-01

    Full Text Available Barium titanate thin films were prepared by spin coating deposition technique of an acetic precursor sol and sintered at 750, 900 and 1050 °C. Phase composition of the obtained thin films was characterized by X-ray diffraction and Raman spectroscopy. Their morphology was analysed by scanning electron microscopy and atomic force microscopy. Dielectric properties of thin films sintered at 750 and 900 °C were characterized by LCD device, where the influence of sintering temperature on dielectric permittivity and loss tangent was inspected. It was concluded that higher sintering temperature increases grain size and amount of tetragonal phase, hence higher relative permittivity was recorded. The almost constant relative permittivity in the measured frequency (800 Hz–0.5 MHz and temperature (25–200 °C ranges as well as low dielectric loss are very important for the application of BaTiO3 films in microelectronic devices.

  16. Effect of La doping on crystalline orientation, microstructure and dielectric properties of PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Wencai; Li, Qi; Wang, Xing [Dalian Univ. of Technology, Dalian (China). School of Mechanical Engineering; Yin, Zhifu [Jilin Univ., Changchun (China). Faculty of the School of Mechanical Science and Engineering; Zou, Helin [Dalian Univ. of Technology, Dalian (China). Key Lab. for Micro/Nano Systems and Technology

    2017-11-01

    Lanthanum (La)-modified lead zirconate titanate (PLZT) thin films with doping concentration from 0 to 5 at.-% have been fabricated by sol-gel methods to investigate the effects of La doping on crystalline orientation, microstructure and dielectric properties of the modified films. The characterization of PLZT thin films were performed by X-ray diffractometry (XRD), scanning electron microscopy (SEM) and precision impedance analysis. XRD analysis showed that PLZT films with La doping concentration below 4 at.-% exhibited (100) preferred orientation. SEM results indicated that PLZT films presented dense and columnar microstructures when La doping concentration was less than 3 at.-%, while the others showed columnar microstructures only at the bottom of the cross section. The maximum dielectric constant (1502.59 at 100 Hz) was obtained in a 2 at.-% La-doped film, which increased by 53.9 % compared with undoped film. Without introducing a seed layer, (100) oriented PLZT thin films were prepared by using conventional heat treatment process and adjusting La doping concentration.

  17. Nature of Dielectric Properties, Electric Modulus and AC Electrical Conductivity of Nanocrystalline ZnIn2Se4 Thin Films

    Science.gov (United States)

    El-Nahass, M. M.; Attia, A. A.; Ali, H. A. M.; Salem, G. F.; Ismail, M. I.

    2018-02-01

    The structural characteristics of thermally deposited ZnIn2Se4 thin films were indexed utilizing x-ray diffraction as well as scanning electron microscopy techniques. Dielectric properties, electric modulus and AC electrical conductivity of ZnIn2Se4 thin films were examined in the frequency range from 42 Hz to 106 Hz. The capacitance, conductance and impedance were measured at different temperatures. The dielectric constant and dielectric loss decrease with an increase in frequency. The maximum barrier height was determined from the analysis of the dielectric loss depending on the Giuntini model. The real part of the electric modulus revealed a constant maximum value at higher frequencies and the imaginary part of the electric modulus was characterized by the appearance of dielectric relaxation peaks. The AC electrical conductivity obeyed the Jonscher universal power law. Correlated barrier hopping model was the appropriate mechanism for AC conduction in ZnIn2Se4 thin films. Estimation of the density of states at the Fermi level and activation energy, for AC conduction, was carried out based on the temperature dependence of AC electrical conductivity.

  18. Dielectric property study of poly(4-vinylphenol)-graphene oxide nanocomposite thin film

    Science.gov (United States)

    Roy, Dhrubojyoti

    2018-05-01

    Thin film capacitor device having a sandwich structure of indium tin oxide (ITO)-coated glass/polymer or polymer nanocomposite /silver has been fabricated and their dielectric and leakage current properties has been studied. The dielectric properties of the capacitors were characterized for frequencies ranging from 1 KHz to 1 MHz. 5 wt% Poly(4-vinylphenol)(PVPh)-Graphene (GO) nanocomposite exhibited an increase in dielectric constant to 5.6 and small rise in dielectric loss to around˜0.05 at 10 KHz w.r.t polymer. The DC conductivity measurements reveal rise of leakage current in nanocomposite.

  19. Cellulose triacetate, thin film dielectric capacitor

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Jow, T. Richard (Inventor)

    1995-01-01

    Very thin films of cellulose triacetate are cast from a solution containing a small amount of high boiling temperature, non-solvent which evaporates last and lifts the film from the casting surface. Stretched, oriented, crystallized films have high electrical breakdown properties. Metallized films less than about 2 microns in thickness form self-healing electrodes for high energy density, pulsed power capacitors. Thicker films can be utilized as a dielectric for a capacitor.

  20. Lanthanum titanium perovskite compound: Thin film deposition and high frequency dielectric characterization

    Energy Technology Data Exchange (ETDEWEB)

    Le Paven, C., E-mail: claire.lepaven@univ-rennes1.fr [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Lu, Y. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Nguyen, H.V. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); CEA LETI, Minatec Campus, 38054 Grenoble (France); Benzerga, R.; Le Gendre, L. [Institut d' Electronique et de Télécommunications de Rennes (IETR, UMR-CNRS 6164), Equipe Matériaux Fonctionnels, IUT Saint Brieuc, Université de Rennes 1, 22000 Saint Brieuc (France); Rioual, S. [Laboratoire de Magnétisme de Brest (EA CNRS 4522), Université de Bretagne Occidentale, 29000 Brest (France); Benzegoutta, D. [Institut des Nanosciences de Paris (INSP, UMR CNRS 7588), Université Pierre et Marie Curie, 75005 Paris (France); Tessier, F.; Cheviré, F. [Institut des Sciences Chimiques de Rennes (ISCR, UMR-CNRS 6226), Equipe Verres et Céramiques, Université de Rennes 1, 35000 Rennes (France); and others

    2014-02-28

    Perovskite lanthanum titanium oxide thin films were deposited on (001) MgO, (001) LaAlO{sub 3} and Pt(111)/TiO{sub 2}/SiO{sub 2}/(001)Si substrates by RF magnetron sputtering, using a La{sub 2}Ti{sub 2}O{sub 7} homemade target sputtered under oxygen reactive plasma. The films deposited at 800 °C display a crystalline growth different than those reported on monoclinic ferroelectric La{sub 2}Ti{sub 2}O{sub 7} films. X-ray photoelectron spectroscopy analysis shows the presence of titanium as Ti{sup 4+} ions, with no trace of Ti{sup 3+}, and provides a La/Ti ratio of 1.02. The depositions being performed from a La{sub 2}Ti{sub 2}O{sub 7} target under oxygen rich plasma, the same composition (La{sub 2}Ti{sub 2}O{sub 7}) is proposed for the deposited films, with an unusual orthorhombic cell and Cmc2{sub 1} space group. The films have a textured growth on MgO and Pt/Si substrates, and are epitaxially grown on LaAlO{sub 3} substrate. The dielectric characterization displays stable values of the dielectric constant and of the losses in the frequency range [0.1–20] GHz. No variation of the dielectric constant has been observed when a DC electric field up to 250 kV/cm was applied, which does not match a classical ferroelectric behavior at high frequencies and room temperature for the proposed La{sub 2}Ti{sub 2}O{sub 7} orthorhombic phase. At 10 GHz and room temperature, the dielectric constant of the obtained La{sub 2}Ti{sub 2}O{sub 7} films is ε ∼ 60 and the losses are low (tanδ < 0.02). - Highlights: • Lanthanum titanium oxide films were deposited by reactive magnetron sputtering. • A La{sub 2}Ti{sub 2}O{sub 7} chemical composition is proposed, with an unusual orthorhombic cell. • At 10 GHz, the dielectric losses are lower than 0.02. • No variation of the dielectric constant is observed under DC electric biasing.

  1. Solid thin film materials for use in thin film charge-coupled devices

    International Nuclear Information System (INIS)

    Lynch, S.J.

    1983-01-01

    Solid thin films deposited by vacuum deposition were evaluated to ascertain their effectiveness for use in the manufacturing of charge-coupled devices (CCDs). Optical and electrical characteristics of tellurium and Bi 2 Te 3 solid thin films were obtained in order to design and to simulate successfully the operation of thin film (TF) CCDs. In this article some of the material differences between single-crystal material and the island-structured thin film used in TFCCDs are discussed. The electrical parameters were obtained and tabulated, e.g. the mobility, conductivity, dielectric constants, permittivity, lifetime of holes and electrons in the thin films and drift diffusion constants. The optical parameters were also measured and analyzed. After the design was complete, experimental TFCCDs were manufactured and were successfully operated utilizing the aforementioned solid thin films. (Auth.)

  2. Dielectric Properties of Cd1-xZnxSe Thin Film Semiconductors

    International Nuclear Information System (INIS)

    Wahab, L.A.; Farrag, A.A.; Zayed, H.A.

    2012-01-01

    Cd 1-x Zn x Se (x=0, 0.5 and 1) thin films of thickness 300 nm have been deposited on highly cleaned glass substrates (Soda-lime glass) by thermal evaporation technique under pressure 10-5 Torr. The crystal structure, lattice parameters and grain size were determined from X-ray diffraction patterns of these films. The dielectric response and ac conductivity of the films are investigated in the frequency range from 80 Hz to 5 MHz and temperature range from 300 K to 420 K. AC conductivity increases linearly with the frequency according to the power relation σ a c (ψ)=A (ψ) s . The dielectric constant and loss show low values at high frequencies. The relaxation time t, resistance R and capacitance C were calculated from Nyquist diagram. The behavior can be modeled by an equivalent parallel RC circuit.

  3. Optical constant of thin gold films

    DEFF Research Database (Denmark)

    Yakubovsky, D. I.; Fedyanin, D. Yu; Arsenin, A. V.

    2017-01-01

    The performance of metal-based devices is limited by ohmic losses in the metal, which are determined by electron scattering. The structural properties of gold thin films also play an important role in the film quality, which may affect its' optical properties and the overall capability...... and spectroscopic ellipsometry, the structural morphology and optical properties of polycrystalline gold thin films (fabricated by e-beam deposition at a low sputtering rate smooth gold) in the thickness range of 20 - 200 nm. By extracting the real and imaginary dielectric function and the Drude parameter...... of the device. At the same time, metal films of different thicknesses are needed for different applications and, since these films are polycrystalline, their internal properties and surface roughness can greatly vary from one thickness to another. In this work, we study, using atomic force microscopy...

  4. Study of PECVD films containing flourine and carbon and diamond like carbon films for ultra low dielectric constant interlayer dielectric applications

    Science.gov (United States)

    Sundaram, Nandini Ganapathy

    Lowering the capacitance of Back-end-of-line (BEOL) structures by decreasing the dielectric permittivity of the interlayer dielectric material in integrated circuits (ICs) lowers device delay times, power consumption and parasitic capacitance. a:C-F films that are thermally stable at 400°C were deposited using tetrafluorocarbon and disilane (5% by volume in Helium) as precursors. The bulk dielectric constant (k) of the film was optimized from 2.0 / 2.2 to 1.8 / 1.91 as-deposited and after heat treatment. Films, with highly promising k-values but discarded for failing to meet shrinkage rate requirements were salvaged by utilizing a novel extended heat treatment scheme. Film properties including chemical bond structure, F/C ratio, refractive index, surface planarity, contact angle, dielectric constant, flatband voltage shift, breakdown field potential and optical energy gap were evaluated by varying process pressure, power, substrate temperature and flow rate ratio (FRR) of processing gases. Both XPS and FTIR results confirmed that the stoichiometry of the ultra-low k (ULK) film is close to that of CF2 with no oxygen. C-V characteristics indicated the presence of negative charges that are either interface trapped charges or bulk charges. Average breakdown field strength was in the range of 2-8 MV/cm while optical energy gap varied between 2.2 eV and 3.4 eV. Irradiation or plasma damage significantly impacts the ability to integrate the film in VSLI circuits. The film was evaluated after exposure to oxygen plasma and HMDS vapors and no change in the FTIR spectra or refractive index was observed. Film is resistant to attack by developers CD 26 and KOH. While the film dissolves in UVN-30 negative resist, it is impermeable to PGDMA. A 12% increase in dielectric constant and a decrease in contact angle from 65° to 47° was observed post e-beam exposure. The modified Gaseous Electronics Conference (mGEC) reference cell was used to deposit DLC films using CH4 and Argon as

  5. Dielectric properties of DC reactive magnetron sputtered Al2O3 thin films

    International Nuclear Information System (INIS)

    Prasanna, S.; Mohan Rao, G.; Jayakumar, S.; Kannan, M.D.; Ganesan, V.

    2012-01-01

    Alumina (Al 2 O 3 ) thin films were sputter deposited over well-cleaned glass and Si substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 °C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al 2 O 3 -Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: ► Al 2 O 3 thin films were deposited by DC reactive magnetron sputtering. ► The films were found to be amorphous up to annealing temperature of 550 C. ► An increase in rms roughness of the films was observed with annealing. ► Al-Al 2 O 3 -Al thin film capacitors were fabricated and dielectric constant was 7.5. ► The activation energy decreased with increase in frequency.

  6. Application of Dielectric, Ferroelectric and Piezoelectric Thin Film Devices in Mobile Communication and Medical Systems

    NARCIS (Netherlands)

    Klee, M.; Beelen, D.; Keurl, W.; Kiewitt, R.; Kumar, B.; Mauczok, R.; Reimann, K.; Renders, Ch.; Roest, A.; Roozeboom, F.; Steeneken, P.G.; Tiggelman, M.P.J.; Vanhelmont, F.; Wunnicke, O.; Lok, P.; Neumann, K.; Fraser, J.; Schmitz, G.

    2007-01-01

    Dielectric, ferroelectric and piezoelectric thin films are getting more and more attention for next generation mobile communication and medical systems. Thin film technologies based on dielectric, ferroelectric and piezoelectric thin films enable System-in-Package (SiP) devices, resulting in optimal

  7. Off Axis Growth of Strontium Titanate Films with High Dielectric Constant Tuning and Low Loss

    Science.gov (United States)

    Kampangkeaw, Satreerat

    2002-03-01

    Using off-axis pulsed laser deposition, we have grown strontium titanate (STO) films on neodymium gallate (NGO) and lanthanum aluminate (LAO) substrates. We measured the film dielectric constant and loss tangent as a function of temperature in the 10kHz to 1 MHz frequency range. We found that the loss is less than 0.01 We also obtained a figure of merit from the relative variation of the dielectric constant divided by the loss tangent. The obtained figured of merit at 35K and 1MHz is about 1000 comparable to bulk values. The dielectric constant of these films can be changed by a factor of 4-8 in the presence of a DC electric field up to 5V/μm. The films show significant variations of dielectric properties grown on different substrates at different locations respect to the axis of the plume. The STO films on LAO having high dielectric constant and dielectric tuning were grown in region near the center of the plume. On the other hand, STO on NGO shows this effect only on the films grown far from the plume axis.

  8. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  9. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2014-01-01

    Full Text Available This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using hafnium oxide (HfO2 gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chemical reaction of the IGZO thin film and enhancing the gate oxide quality to adjust the electrical characteristics of the TFTs. However, the hafnium atom diffused the IGZO thin film, causing interface roughness because of the stability of the HfO2 dielectric thin film during high-temperature annealing. In this study, the annealing temperature was optimized at 200°C for a HfO2 gate dielectric TFT exhibiting high mobility, a high ION/IOFF ratio, low IOFF current, and excellent subthreshold swing (SS.

  10. A methodology for the preparation of nanoporous polyimide films with low dielectric constants

    International Nuclear Information System (INIS)

    Jiang Lizhong; Liu Jiugui; Wu Dezhen; Li Hangquan; Jin Riguang

    2006-01-01

    A method to generate nanoporous polyimide films with low dielectric constants was proposed. The preparation consisted of two steps. Firstly, a polyimide/silica hybrid film was prepared via sol-gel process. Secondly, the hybrid film was treated with hydrofluoric acid to remove the dispersed silica particles, leaving pores with diameters between 20 and 120 nm, depending on the size of silica particles. Both hybrid and porous films were subjected to a variety of characterizations including transmission electron microscopy observation, dielectric constant measurement and tensile strength measurement

  11. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  12. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  13. Changes of optical, dielectric, and structural properties of Si15Sb85 phase change memory thin films under different initializing laser power

    International Nuclear Information System (INIS)

    Huang Huan; Zhang Lei; Wang Yang; Han Xiaodong; Wu Yiqun; Zhang Ze; Gan Fuxi

    2011-01-01

    Research highlights: → We study the optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization. → The optical and dielectric constants, absorption coefficient of Si 15 Sb 85 change regularly with the increasing laser power. → The optical band gaps of Si 15 Sb 85 irradiated upon different power lasers were calculated. → HRTEM images of the samples were observed and the changes of optical and dielectric constants are determined by crystalline structures changes of the films. - Abstract: The optical, dielectric, and structural characteristics of Si 15 Sb 85 phase change memory thin films under a moving continuous-wave laser initialization are studied by using spectroscopic ellipsometry and high-resolution transmission electron microscopy. The dependence of complex refractive index, dielectric functions, absorption coefficient, and optical band gap of the films on its crystallization extents formed by the different initialization laser power are analyzed in detail. The structural change from as-deposited amorphous phase to distorted rhombohedra-Sb-like crystalline structure with the increase of initialization laser power is clearly observed with sub-nanometer resolution. The optical and dielectric constants, the relationship between them, and the local atomic arrangements of this new phase change material can help explain the phase change mechanism and design the practical phase change memory devices.

  14. Dielectric Scattering Patterns for Efficient Light Trapping in Thin-Film Solar Cells.

    Science.gov (United States)

    van Lare, Claire; Lenzmann, Frank; Verschuuren, Marc A; Polman, Albert

    2015-08-12

    We demonstrate an effective light trapping geometry for thin-film solar cells that is composed of dielectric light scattering nanocavities at the interface between the metal back contact and the semiconductor absorber layer. The geometry is based on resonant Mie scattering. It avoids the Ohmic losses found in metallic (plasmonic) nanopatterns, and the dielectric scatterers are well compatible with nearly all types of thin-film solar cells, including cells produced using high temperature processes. The external quantum efficiency of thin-film a-Si:H solar cells grown on top of a nanopatterned Al-doped ZnO, made using soft imprint lithography, is strongly enhanced in the 550-800 nm spectral band by the dielectric nanoscatterers. Numerical simulations are in good agreement with experimental data and show that resonant light scattering from both the AZO nanostructures and the embedded Si nanostructures are important. The results are generic and can be applied on nearly all thin-film solar cells.

  15. Pentacene based thin film transistors with high-k dielectric Nd2O3 as a gate insulator

    International Nuclear Information System (INIS)

    Sarma, R.; Saikia, D.

    2010-01-01

    We have investigated the pentacene based Organic Thin Film Transistors (OTFTs) with high-k dielectric Nd 2 O 3 . Use of high dielectric constant (high-k) gate insulator Nd 2 O 3 reduces the threshold voltage and sub threshold swing of the OTFTs. The calculated threshold voltage -2.2V and sub-threshold swing 1V/decade, current ON-OFF ratio is 1.7 X 10 4 and mobility is 0.13cm 2 /V.s. Pentacene film is deposited on Nd 2 O 3 surface using two step deposition method. Deposited pentacene film is found poly crystalline in nature. (author)

  16. Plasmonic versus dielectric enhancement in thin-film solar cells

    DEFF Research Database (Denmark)

    Dühring, Maria Bayard; Mortensen, N. Asger; Sigmund, Ole

    2012-01-01

    to its metallic counterpart. We show that the enhanced normalized short-circuit current for a cell with silicon strips can be increased 4 times compared to the best performance for strips of silver, gold, or aluminium. For this particular case, the simple dielectric grating may outperform its plasmonic......Several studies have indicated that broadband absorption of thin-film solar cells can be enhanced by use of surface-plasmon induced resonances of metallic parts like strips or particles. The metallic parts may create localized modes or scatter incoming light to increase absorption in thin......-film semiconducting material. For a particular case, we show that coupling to the same type of localized slab-waveguide modes can be obtained by a surface modulation consisting of purely dielectric strips. The purely dielectric device turns out to have a significantly higher broadband enhancement factor compared...

  17. Cyanoresin, cyanoresin/cellulose triacetate blends for thin film, dielectric capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S. (Inventor); Lewis, Carol R. (Inventor); Cygan, Peter J. (Inventor); Jow, T. Richard (Inventor)

    1996-01-01

    Non brittle dielectric films are formed by blending a cyanoresin such as cyanoethyl, hydroxyethyl cellulose (CRE) with a compatible, more crystalline resin such as cellulose triacetate. The electrical breakdown strength of the blend is increased by orienting the films by uniaxial or biaxial stretching. Blends of high molecular weight CRE with high molecular weight cyanoethyl cellulose (CRC) provide films with high dielectric constants.

  18. A study on linear and non-linear optical constants of Rhodamine B thin film deposited on FTO glass

    Science.gov (United States)

    Yahia, I. S.; Jilani, Asim; Abutalib, M. M.; AlFaify, S.; Shkir, M.; Abdel-wahab, M. Sh.; Al-Ghamdi, Attieh A.; El-Naggar, A. M.

    2016-06-01

    The aim of this research was to fabricate/deposit the good quality thin film of Rhodamine B dye on fluorine doped tin oxide glass substrate by the low cost spin coating technique and study their linear and nonlinear optical parameters. The thickness of the thin film was measured about 300 nm with alpha step system. The transmittance of the fabricated thin film was found to be above 75% corresponding to the fluorine doped tin oxide layer. The structural analysis was performed with X-rays diffraction spectroscopy. Atomic force microscope showed the topographic image of deposited thin film. Linear optical constant like absorption coefficient, band gap, and extinction index was calculated. The dielectric constant was calculated to know the optical response of Rhodamine B dye over fluorine doped tin oxide substrate. The nonlinear optical constant like linear optical susceptibility χ(1), nonlinear optical susceptibility χ(3), nonlinear refractive index (n2) were calculated by spectroscopic method. This method has advantage over the experimental method like Z-Scan for organic dye base semiconductors for future advance optoelectronics applications like dye synthesis solar cell.

  19. Structural, electrical, and dielectric properties of Cr doped ZnO thin films: Role of Cr concentration

    Energy Technology Data Exchange (ETDEWEB)

    Gürbüz, Osman, E-mail: osgurbuz@yildiz.edu.tr; Okutan, Mustafa

    2016-11-30

    Highlights: • Magnetic material of Cr and semiconductor material of ZnO were grown by the magnetron sputtering co-sputter technique. • Perfect single crystalline structures were grown. • DC and AC conductivity with dielectric properties as a function of frequency (f = 5Hz–13 MHz) at room temperature were measured and compared. • Cr doped ZnO can be used in microwave, sensor and optoelectronic devices as the electrical conductivity increases while dielectric constant decreases with the Cr content. - Abstract: An undoped zinc oxide (ZnO) and different concentrations of chromium (Cr) doped ZnO Cr{sub x}ZnO{sub 1−x} (x = 3.74, 5.67, 8.10, 11.88, and 15.96) thin films were prepared using a magnetron sputtering technique at room temperature. These films were characterized by X-ray diffraction (XRD), High resolution scanning electron microscope (HR-SEM), and Energy dispersive X-ray spectrometry (EDS). XRD patterns of all the films showed that the films possess crystalline structure with preferred orientation along the (100) crystal plane. The average crystallite size obtained was found to be between 95 and 83 nm which was beneficial in high intensity recording peak. Both crystal quality and crystallite sizes decrease with increasing Cr concentration. The crystal and grain sizes of the all film were investigated using SEM analysis. The surface morphology that is grain size changes with increase Cr concentration and small grains coalesce together to form larger grains for the Cr{sub 11.88}ZnO and Cr{sub 15.96}ZnO samples. Impedance spectroscopy studies were carried out in the frequencies ranging from 5 Hz to 13 MHz at room temperature. The undoped ZnO film had the highest dielectric value, while dielectric values of other films decreased as doping concentrations increased. Besides, the dielectric constants decreased whereas the loss tangents increased with increasing Cr content. This was considered to be related to the reduction of grain size as Cr content in Zn

  20. Estimation of optical constants of a bio-thin layer (onion epidermis), using SPR spectroscopy

    International Nuclear Information System (INIS)

    Rehman, Saif-ur-; Hayashi, Shinji; Sekkat, Zouheir; Mumtaz, Huma; Shaukat, S F

    2014-01-01

    We estimate the optical constants of a biological thin layer (Allium cepa) by surface plasmon resonance (SPR) spectroscopy. For this study, the fresh inner thin epidermis of an onion bulb was used and stacked directly on gold (Au) and silver (Ag) film surfaces in order to identify the shift in SPR mode of each metal film at an operating wavelength of 632.8 nm. The thickness and dielectric constants of the biological thin layer were determined by matching the experimental SPR curves to theoretical ones. The thickness and roughness of bare Au and Ag thin films were also measured by atomic force microscopy (AFM); the results of which are in good agreement with those obtained through experiment. Due to the high surface roughness of the natural onion epidermis layer, AFM could not measure the exact thickness of an onion epidermis. It is estimated that the value of the real part of the dielectric constant of an onion epidermis is between the dielectric constants of water and air. (paper)

  1. Plasma polymerized high energy density dielectric films for capacitors

    Science.gov (United States)

    Yamagishi, F. G.

    1983-01-01

    High energy density polymeric dielectric films were prepared by plasma polymerization of a variety of gaseous monomers. This technique gives thin, reproducible, pinhole free, conformable, adherent, and insoluble coatings and overcomes the processing problems found in the preparation of thin films with bulk polymers. Thus, devices are prepared completely in a vacuum environment. The plasma polymerized films prepared all showed dielectric strengths of greater than 1000 kV/cm and in some cases values of greater than 4000 kV/cm were observed. The dielectric loss of all films was generally less than 1% at frequencies below 10 kHz, but this value increased at higher frequencies. All films were self healing. The dielectric strength was a function of the polymerization technique, whereas the dielectric constant varied with the structure of the starting material. Because of the thin films used (thickness in the submicron range) surface smoothness of the metal electrodes was found to be critical in obtaining high dielectric strengths. High dielectric strength graft copolymers were also prepared. Plasma polymerized ethane was found to be thermally stable up to 150 C in the presence of air and 250 C in the absence of air. No glass transitions were observed for this material.

  2. Influence of stress on the structural and dielectric properties of rf magnetron sputtered zinc oxide thin film

    Science.gov (United States)

    Menon, Rashmi; Sreenivas, K.; Gupta, Vinay

    2008-05-01

    Highly c axis oriented zinc oxide (ZnO) thin films have been prepared on 1737 Corning glass substrate by planar rf magnetron sputtering under varying pressure (10-50mTorr) and different oxygen percentage (40%-100%) in reactive gas mixtures. The as-grown ZnO thin films were found to have stress over a wide range from -6×1010to-9×107dynes/cm2. The presence of stress depends strongly on processing conditions, and films become almost stress free under a unique combination of sputtering pressure and reactive gas composition. The studies show a correlation of stress with structural and electrical properties of the ZnO thin film. The stressed films possess high electrical conductivity and exhibits strong dielectric dispersion over a wide frequency (1kHz-1MHz). The dielectric constant ɛ'(ω) of stress free ZnO film was almost frequency independent and was close to the bulk value. The measured value of dc conductivity, σdc(ω) and ac conductivity σac(ω) of stress free ZnO film was 1.3×10-9 and 6.8×10-5Ω-1cm-1, respectively. The observed variation in the structural and electrical properties of ZnO thin film with stress has been analyzed in the light of growth kinetics.

  3. A study on linear and non-linear optical constants of Rhodamine B thin film deposited on FTO glass

    Energy Technology Data Exchange (ETDEWEB)

    Yahia, I.S. [Nano-Science & Semiconductor Labs, Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Jilani, Asim, E-mail: asim.jilane@gmail.com [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); Abutalib, M.M. [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); AlFaify, S. [Nano-Science & Semiconductor Labs, Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Shkir, M. [Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Abdel-wahab, M.Sh.; Al-Ghamdi, Attieh A. [Centre of Nanotechnology, Physics Department-Faculty of Science-AL Faisaliah Campus, King Abdulaziz University, P.O. Box 80200, Jeddah 21589 (Saudi Arabia); El-Naggar, A.M. [Exploitation of Renewable Energy Applications in Saudi Arabia, Physics & Astronomy Department, College of Science, King Saud University, P.O.Box 2455, Riyadh 11451 (Saudi Arabia)

    2016-06-01

    The aim of this research was to fabricate/deposit the good quality thin film of Rhodamine B dye on fluorine doped tin oxide glass substrate by the low cost spin coating technique and study their linear and nonlinear optical parameters. The thickness of the thin film was measured about 300 nm with alpha step system. The transmittance of the fabricated thin film was found to be above 75% corresponding to the fluorine doped tin oxide layer. The structural analysis was performed with X-rays diffraction spectroscopy. Atomic force microscope showed the topographic image of deposited thin film. Linear optical constant like absorption coefficient, band gap, and extinction index was calculated. The dielectric constant was calculated to know the optical response of Rhodamine B dye over fluorine doped tin oxide substrate. The nonlinear optical constant like linear optical susceptibility χ{sup (1)}, nonlinear optical susceptibility χ{sup (3)}, nonlinear refractive index (n{sub 2}) were calculated by spectroscopic method. This method has advantage over the experimental method like Z-Scan for organic dye base semiconductors for future advance optoelectronics applications like dye synthesis solar cell.

  4. Improved Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Lewis, Carol R.; Cygan, Peter J.; Jow, T. Richard

    1994-01-01

    Dielectric films made from blends of some commercially available high-dielectric-constant cyanoresins with each other and with cellulose triacetate (CTA) have both high dielectric constants and high breakdown strengths. Dielectric constants as high as 16.2. Films used to produce high-energy-density capacitors.

  5. Dielectric properties of DC reactive magnetron sputtered Al{sub 2}O{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Prasanna, S. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Mohan Rao, G. [Department of Instrumentation, Indian Institute of Science (IISc), Bangalore, 560 012 (India); Jayakumar, S., E-mail: s_jayakumar_99@yahoo.com [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Kannan, M.D. [Thin Film Center, Department of Physics, PSG College of Technology, Coimbatore, 641 004 (India); Ganesan, V. [Low Temperature Lab, UGC-DAE Consortium for Scientific Research (CSR), Indore, 452 017 (India)

    2012-01-31

    Alumina (Al{sub 2}O{sub 3}) thin films were sputter deposited over well-cleaned glass and Si < 100 > substrates by DC reactive magnetron sputtering under various oxygen gas pressures and sputtering powers. The composition of the films was analyzed by X-ray photoelectron spectroscopy and an optimal O/Al atomic ratio of 1.59 was obtained at a reactive gas pressure of 0.03 Pa and sputtering power of 70 W. X-ray diffraction results revealed that the films were amorphous until 550 Degree-Sign C. The surface morphology of the films was studied using scanning electron microscopy and the as-deposited films were found to be smooth. The topography of the as-deposited and annealed films was analyzed by atomic force microscopy and a progressive increase in the rms roughness of the films from 3.2 nm to 4.53 nm was also observed with increase in the annealing temperature. Al-Al{sub 2}O{sub 3}-Al thin film capacitors were then fabricated on glass substrates to study the effect of temperature and frequency on the dielectric property of the films. Temperature coefficient of capacitance, AC conductivity and activation energy were determined and the results are discussed. - Highlights: Black-Right-Pointing-Pointer Al{sub 2}O{sub 3} thin films were deposited by DC reactive magnetron sputtering. Black-Right-Pointing-Pointer The films were found to be amorphous up to annealing temperature of 550 C. Black-Right-Pointing-Pointer An increase in rms roughness of the films was observed with annealing. Black-Right-Pointing-Pointer Al-Al{sub 2}O{sub 3}-Al thin film capacitors were fabricated and dielectric constant was 7.5. Black-Right-Pointing-Pointer The activation energy decreased with increase in frequency.

  6. Materials science, integration, and performance characterization of high-dielectric constant thin film based devices

    Science.gov (United States)

    Fan, Wei

    To overcome the oxidation and diffusion problems encountered during Copper integration with oxide thin film-based devices, TiAl/Cu/Ta heterostructure has been first developed in this study. Investigation on the oxidation and diffusion resistance of the laminate structure showed high electrical conductance and excellent thermal stability in oxygen environment. Two amorphous oxide layers that were formed on both sides of the TiAl barrier after heating in oxygen have been revealed as the structure that effectively prevents oxygen penetration and protects the integrity of underlying Cu layer. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were subsequently deposited on the Cu-based bottom electrode by RF magnetron sputtering to investigate the interaction between the oxide and Cu layers. The thickness of the interfacial layer and interface roughness play critical roles in the optimization of the electrical performance of the BST capacitors using Cu-based electrode. It was determined that BST deposition at moderate temperature followed by rapid thermal annealing in pure oxygen yields BST/Cu capacitors with good electrical properties for application to high frequency devices. The knowledge obtained on the study of barrier properties of TiAl inspired a continuous research on the materials science issues related to the application of the hybrid TiAlOx, as high-k gate dielectric in MOSFET devices. Novel fabrication process such as deposition of ultra-thin TiAl alloy layer followed by oxidation with atomic oxygen has been established in this study. Stoichiometric amorphous TiAlOx layers, exhibiting only Ti4+ and Al3+ states, were produced with a large variation of oxidation temperature (700°C to room temperature). The interfacial SiOx formation between TiAlOx and Si was substantially inhibited by the use of the low temperature oxidation process. Electrical characterization revealed a large permittivity of 30 and an improved band structure for the produced TiAlOx layers

  7. Fabrication and Performance Study of Uniform Thin Film Integrated ...

    African Journals Online (AJOL)

    The transmission line model of a uniform rectangular thin film R-C-KR structure consisting of a dielectric layer of constant per unit shunt capacitance C sandwiched between two resistive thin films of constant per unit length resistances R and KR has been analysed using the concept of matrix parameter functions. The above ...

  8. Self-standing chitosan films as dielectrics in organic thin-film transistors

    Directory of Open Access Journals (Sweden)

    J. Morgado

    2013-12-01

    Full Text Available Organic thin film transistors, using self-standing 50 µm thick chitosan films as dielectric, are fabricated using sublimed pentacene or two conjugated polymers deposited by spin coating as semiconductors. Field-effect mobilities are found to be similar to values obtained with other dielectrics and, in the case of pentacene, a value (0.13 cm2/(V•s comparable to high performing transistors was determined. In spite of the low On/Off ratios (a maximum value of 600 was obtained for the pentacene-based transistors, these are promising results for the area of sustainable organic electronics in general and for biocompatible electronics in particular.

  9. Ferroelectricity, Piezoelectricity, and Dielectricity of 0.06PMnN-0.94PZT(45/55 Thin Film on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Tao Zhang

    2015-01-01

    Full Text Available The high piezoelectricity and high quality factor ferroelectric thin films are important for electromechanical applications especially the micro electromechanical system (MEMS. The ternary compound ferroelectric thin films 0.06Pb(Mn1/3, Nb2/3O3 + 0.94Pb(Zr0.45, Ti0.55O3 (0.06PMnN-0.94PZT(45/55 were deposited on silicon(100 substrates by RF magnetron sputtering method considering that Mn and Nb doping will improve PZT properties in this research. For comparison, nondoped PZT(45/55 films were also deposited. The results show that both of thin films show polycrystal structures with the main (111 and (101 orientations. The transverse piezoelectric coefficients are e31,eff=−4.03 C/m2 and e31,eff=-3.5 C/m2, respectively. These thin films exhibit classical ferroelectricity, in which the coercive electric field intensities are 2Ec=147.31 kV/cm and 2Ec=135.44 kV/cm, and the saturation polarization Ps=30.86 μC/cm2 and Ps=17.74 μC/cm2, and the remnant polarization Pr=20.44 μC/cm2 and Pr=9.87 μC/cm2, respectively. Moreover, the dielectric constants and loss are εr=681 and D=5% and εr=537 and D=4.3%, respectively. In conclusion, 0.06PMnN-0.94PZT(45/55 thin films act better than nondoped films, even though their dielectric constants are higher. Their excellent ferroelectricity, piezoelectricity, and high power and energy storage property, especially the easy fabrication, integration realizable, and potentially high quality factor, make this kind of thin films available for the realistic applications.

  10. Preparation and dielectric properties of compositionally graded lead barium zirconate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hao, Xihong, E-mail: xhhao@imust.edu.c [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China); School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhang, Zhiqing [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhou, Jing [State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan 430070 (China); An, Shengli [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Baotou 014010 (China); Zhai, Jiwei [Functional Materials Research Laboratory, Tongji University, Shanghai 200092 (China)

    2010-07-09

    Both up and down compositionally graded (Pb{sub 1-x}Ba{sub x})ZrO{sub 3} (PBZ) thin films with increasing x from 0.4 to 0.6 were deposited on Pt(1 1 1)-buffer layered silicon substrates through a sol-gel method. The microstructure and dielectric properties of graded PBZ thin films were investigated systemically. X-ray diffraction patterns confirmed that both PBZ films had crystallized into a pure perovskite phase after annealed 700 {sup o}C. Electrical measurement results showed that although up graded films had a slightly larger tunability, dielectric loss of down graded films was much lower than that of up graded films. Therefore, the figure of merit of down graded PBZ films was greatly enhanced, as compared with up graded films. Moreover, down graded PBZ thin films also displayed excellent temperature stability with a smaller temperature coefficient of capacitance (TCC) of -0.59 x 10{sup -3} {sup o}C{sup -1} from 20 {sup o}C to 80 {sup o}C.

  11. Dielectric response of fully and partially depleted ferroelectric thin films and inversion of the thickness effect

    International Nuclear Information System (INIS)

    Misirlioglu, I B; Yildiz, M

    2013-01-01

    We study the effect of full and partial depletion on the dielectric response characteristics of ferroelectric thin films with impurities via a computational approach. Using a thermodynamic approach along with the fundamental equations for semiconductors, we show that films with partial depletion display unique features and an enhanced dielectric response compared with those fully depleted. We find that the capacitance peak at switching can be significantly suppressed in the case of high impurity densities (>10 25 m −3 ) with relatively low ionization energy, of the order of 0.5 eV. For conserved number of species in films, electromigration of ionized impurities at room temperature is negligible and has nearly no effect on the dielectric response. In films with high impurity density, the dielectric response at zero bias is enhanced with respect to charge-free films or those with relatively low impurity density ( 24 m −3 ). We demonstrate that partially depleted films should be expected to exhibit peculiar capacitance–voltage characteristics at low and high bias and that the thickness effect probed in experiments in ferroelectric thin films could be entirely inverted in thin films with depletion charges where a higher dielectric response can be measured in thicker films. Therefore, depletion charge densities in ferroelectric thin films should be estimated before size-effect-related studies. Finally, we noted that these findings are in good qualitative agreement with dielectric measurements carried out on PbZr x Ti 1−x O 3 . (paper)

  12. Laser-induced damage to thin film dielectric coatings

    International Nuclear Information System (INIS)

    Walker, T.W.

    1980-01-01

    The laser-induced damage thresholds of dielectric thin film coatings have been found to be more than an order of magnitude lower than the bulk material damage thresholds. Prior damage studies have been inconclusive in determining the damage mechanism which is operative in thin films. A program was conducted in which thin film damage thresholds were measured as a function of laser wavelength (1.06 μm, 0.53 μm, 0.35 μm and 0.26 μm), laser pulse length (5 and 15 nanoseconds), film materials and film thickness. The large matrix of data was compared to predictions given by avalanche ionization, multiphoton ionization and impurity theories of laser damage. When Mie absorption cross-sections and the exact thermal equations were included into the impurity theory excellent agreement with the data was found. The avalanche and multiphoton damage theories could not account for most parametric variations in the data. For example, the damage thresholds for most films increased as the film thickness decreased and only the impurity theory could account for this behavior. Other observed changes in damage threshold with changes in laser wavelength, pulse length and film material could only be adequately explained by the impurity theory. The conclusion which results from this study is that laser damage in thin film coatings results from absorbing impurities included during the deposition process

  13. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    International Nuclear Information System (INIS)

    Zhu Xiaohong; Ren Yinjuan; Zhang Caiyun; Zhu Jiliang; Zhu Jianguo; Xiao Dingquan; Defaÿ, Emmanuel; Aïd, Marc

    2013-01-01

    Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm −1 ) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes. (paper)

  14. Preferential growth and enhanced dielectric properties of Ba0.7Sr0.3TiO3 thin films with preannealed Pt bottom electrode

    Science.gov (United States)

    Zhu, Xiaohong; Defaÿ, Emmanuel; Aïd, Marc; Ren, Yinjuan; Zhang, Caiyun; Zhu, Jiliang; Zhu, Jianguo; Xiao, Dingquan

    2013-03-01

    Ba0.7Sr0.3TiO3 (BST) thin films, about 100 nm in thickness, were prepared on unannealed and 700 °C-preannealed Pt bottom electrodes by the ion beam sputtering and post-deposition annealing method. It was found that the preannealed Pt layer has a more compact structure, making it not only a bottom electrode but also a good template for high-quality BST thin film growth. The BST films deposited on preannealed Pt bottom electrodes showed (0 0 l)-preferred orientation, dense and uniform microstructure with no intermediate phase formed at the film/electrode interface, and thus enhanced dielectric properties. As a result, the typical relative dielectric constant and tunability (under a dc electric field of 1 MV cm-1) reach 180 and 50.1%, respectively, for the BST thin films with preannealed Pt bottom electrodes, which are significantly higher than those (166 and 41.3%, respectively) for the BST thin films deposited on unannealed Pt bottom electrodes.

  15. (Ba+Sr)/Ti ratio dependence of the dielectric properties for (Ba0.5Sr0.5)TiO3 thin films prepared by ion beam sputtering

    Science.gov (United States)

    Yamamichi, Shintaro; Yabuta, Hisato; Sakuma, Toshiyuki; Miyasaka, Yoichi

    1994-03-01

    (Ba0.5Sr0.5)TiO3 thin films were prepared by ion beam sputtering from powder targets with (Ba+Sr)/Ti ratios ranging from 0.80 to 1.50. All of the perovskite (Ba,Sr)TiO3 films were single phase except for the film with a (Ba+Sr)/Ti ratio of 1.41. The dielectric constant values notably depended on the (Ba+Sr)/Ti ratio for films thicker than 70 nm. The highest dielectric constant of 580 was achieved for the 5% (Ba+Sr) rich film. This (Ba+Sr)/Ti ratio dependence was diminished by the thickness dependence for thinner films. The grain sizes for the 9% (Ba+Sr) rich film and for the 6% (Ba+Sr) poor film ranged from 70 to 100 nm and from 30 to 60 nm, respectively. This grain size difference could explain why slightly A-site rich (Ba,Sr)TiO3 films have a larger dielectric constant than A-site poor films.

  16. Dielectric properties of Ca(Zr0.05Ti0.95)O3 thin films prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Simoes, A.Z.; Santos, L.P.S.; Santos, M.R.M.C.; Longo, E.; Varela, J.A.

    2006-01-01

    Ca(Zr 0.05 Ti 0.95 )O 3 (CZT) thin films were grown on Pt(111)/Ti/SiO 2 /Si(100) substrates by the soft chemical method. The films were deposited from spin-coating technique and annealed at 928K for 4h under oxygen atmosphere. CZT films present orthorhombic structure with a crack free and granular microstructure. Atomic force microscopy and field-emission scanning electron microscopy showed that CZT present grains with about 47nm and thickness about 450nm. Dielectric constant and dielectric loss of the films was approximately 210 at 100kHz and 0.032 at 1MHz. The Au/CZT/Pt capacitor shows a hysteresis loop with remnant polarization of 2.5μC/cm 2 , and coercive field of 18kV/cm, at an applied voltage of 6V. The leakage current density was about 4.6x10 -8 A/cm 2 at 3V. Dielectric constant-voltage curve is located at zero bias field suggesting the absence of internal electric fields

  17. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-López, Manuel Angel Quevedo

    2011-06-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  18. Thin film transistors for flexible electronics: Contacts, dielectrics and semiconductors

    KAUST Repository

    Quevedo-Ló pez, Manuel Angel Quevedo; Wondmagegn, Wudyalew T.; Alshareef, Husam N.; Ramí rez-Bon, Rafael; Gnade, Bruce E.

    2011-01-01

    The development of low temperature, thin film transistor processes that have enabled flexible displays also present opportunities for flexible electronics and flexible integrated systems. Of particular interest are possible applications in flexible sensor systems for unattended ground sensors, smart medical bandages, electronic ID tags for geo-location, conformal antennas, radiation detectors, etc. In this paper, we review the impact of gate dielectrics, contacts and semiconductor materials on thin film transistors for flexible electronics applications. We present our recent results to fully integrate hybrid complementary metal oxide semiconductors comprising inorganic and organic-based materials. In particular, we demonstrate novel gate dielectric stacks and semiconducting materials. The impact of source and drain contacts on device performance is also discussed. Copyright © 2011 American Scientific Publishers.

  19. Nonlinear dielectric thin films for high-power electric storage with energy density comparable with electrochemical supercapacitors.

    Science.gov (United States)

    Yao, Kui; Chen, Shuting; Rahimabady, Mojtaba; Mirshekarloo, Meysam Sharifzadeh; Yu, Shuhui; Tay, Francis Eng Hock; Sritharan, Thirumany; Lu, Li

    2011-09-01

    Although batteries possess high energy storage density, their output power is limited by the slow movement of charge carriers, and thus capacitors are often required to deliver high power output. Dielectric capacitors have high power density with fast discharge rate, but their energy density is typically much lower than electrochemical supercapacitors. Increasing the energy density of dielectric materials is highly desired to extend their applications in many emerging power system applications. In this paper, we review the mechanisms and major characteristics of electric energy storage with electrochemical supercapacitors and dielectric capacitors. Three types of in-house-produced ferroic nonlinear dielectric thin film materials with high energy density are described, including (Pb(0.97)La(0.02))(Zr(0.90)Sn(0.05)Ti(0.05))O(3) (PLZST) antiferroelectric ceramic thin films, Pb(Zn(1/3)Nb(2/3))O(3-)Pb(Mg(1/3)Nb(2/3))O(3-)PbTiO(3) (PZN-PMN-PT) relaxor ferroelectric ceramic thin films, and poly(vinylidene fluoride) (PVDF)-based polymer blend thin films. The results showed that these thin film materials are promising for electric storage with outstandingly high power density and fairly high energy density, comparable with electrochemical supercapacitors.

  20. Low-Temperature Solution-Processed Gate Dielectrics for High-Performance Organic Thin Film Transistors

    Directory of Open Access Journals (Sweden)

    Jaekyun Kim

    2015-10-01

    Full Text Available A low-temperature solution-processed high-k gate dielectric layer for use in a high-performance solution-processed semiconducting polymer organic thin-film transistor (OTFT was demonstrated. Photochemical activation of sol-gel-derived AlOx films under 150 °C permitted the formation of a dense film with low leakage and relatively high dielectric-permittivity characteristics, which are almost comparable to the results yielded by the conventionally used vacuum deposition and high temperature annealing method. Octadecylphosphonic acid (ODPA self-assembled monolayer (SAM treatment of the AlOx was employed in order to realize high-performance (>0.4 cm2/Vs saturation mobility and low-operation-voltage (<5 V diketopyrrolopyrrole (DPP-based OTFTs on an ultra-thin polyimide film (3-μm thick. Thus, low-temperature photochemically-annealed solution-processed AlOx film with SAM layer is an attractive candidate as a dielectric-layer for use in high-performance organic TFTs operated at low voltages.

  1. Temperature Effects on a-IGZO Thin Film Transistors Using HfO2 Gate Dielectric Material

    OpenAIRE

    Lin, Yu-Hsien; Chou, Jay-Chi

    2014-01-01

    This study investigated the temperature effect on amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFTs) using hafnium oxide (HfO2) gate dielectric material. HfO2 is an attractive candidate as a high-κ dielectric material for gate oxide because it has great potential to exhibit superior electrical properties with a high drive current. In the process of integrating the gate dielectric and IGZO thin film, postannealing treatment is an essential process for completing the chem...

  2. Dielectric and piezoelectric properties of lead-free (Bi,Na)TiO3-based thin films

    Science.gov (United States)

    Abazari, M.; Safari, A.; Bharadwaja, S. S. N.; Trolier-McKinstry, S.

    2010-02-01

    Dielectric and piezoelectric properties of morphotropic phase boundary (Bi,Na)TiO3-(Bi,K)TiO3-BaTiO3 epitaxial thin films deposited on SrRuO3 coated SrTiO3 substrates were reported. Thin films of 350 nm thickness exhibited small signal dielectric permittivity and loss tangent values of 750 and 0.15, respectively, at 1 kHz. Ferroelectric hysteresis measurements indicated a remanent polarization value of 30 μC/cm2 with a coercive field of 85-100 kV/cm. The thin film transverse piezoelectric coefficient (e31,f) of these films after poling at 600 kV/cm was found to be -2.2 C/m2. The results indicate that these BNT-based thin films are a potential candidate for lead-free piezoelectric devices.

  3. Determination and analysis of optical constants for Ge{sub 15}Se{sub 60}Bi{sub 25} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Atyia, H.E., E-mail: hebaelghrip@hotmail.com [Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt); Physics Department, Faculty of Applied Medical Science at Turabah, Taif University, Turabah (Saudi Arabia); Hegab, N.A. [Physics Department, Faculty of Education, Ain Shams University, Roxy, Cairo (Egypt)

    2014-12-01

    Thin films of Ge{sub 15}Se{sub 60}Bi{sub 25} were deposited, at room temperature, on glass substrates by thermal evaporation technique. The optical reflectance and transmittance of amorphous Ge{sub 15}Se{sub 60}Bi{sub 25} films were measured at normal incident in the wavelength range (500–2500 nm). The optical constants, the refractive index n and the absorption index k, were determined and analyzed according to different approximate methods using the transmittance measurements only and accurate method using the transmittance and reflectance measurements. Analysis of the absorption index k data reveal the values of the optical band gap E{sub g}{sup opt}, the width of tails E{sub e} and the type of transitions. Some optical parameters such as, high frequency dielectric constant ε{sub ∞}, dispersion parameters (oscillation energy E{sub s} and the dispersion energy E{sub d}), real and imaginary parts of complex dielectric constant (ε{sub 1} and ε{sub 2}) and dielectric parameters (dissipation factor tan δ, dielectric relaxation time τ, the volume and surface energy loss functions) were estimated by analyzing the refractive index n data.The relative errors for all optical parameters depending on different approximate methods were identified and discussed.

  4. Stable dielectric response of low-loss aromatic polythiourea thin films on Pt/SiO2 substrate

    Directory of Open Access Journals (Sweden)

    A. Eršte

    2016-03-01

    Full Text Available We have investigated dielectric properties of aromatic polythiourea (ArPTU, a polar polymer containing high dipolar moments with very low defect levels thin films that were developed on Pt/SiO2 substrate. The detected response is compared to the response of commercially available polymers, such as high density polyethylene (HDPE and polypropylene (PP, which are at present used in foil capacitors. Stable values of the dielectric constant ε′≈5 (being twice higher than in HDPE and PP over broad temperature and frequency ranges and dielectric losses as low as in commercial systems suggest ArPTU as a promising candidate for future use in a variety of applications.

  5. Properties of electropolymerised polypyrrole thin film on silver

    Science.gov (United States)

    Jamadade, Shivaji A.; Puri, Vijaya

    2009-07-01

    This paper reports the properties of electropolymerised polypyrrole thin film on silver. The transmission, reflection, conductivity and dielectric behavior of polypyrrole coated silver has been studied in the 8-12 GHz frequency range of the electromagnetic spectrum. The polypyrrole thin film makes silver a better conductor for microwaves. The microwave conductivity is larger than the DC conductivity by many orders of magnitude. The real and imaginary part of dielectric constant increases in magnitude with increasing doping level and also it decreases in magnitude with increasing frequency.

  6. Studies on dielectric properties, opto-electrical parameters and electronic polarizability of thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hassanien, Ahmed Saeed, E-mail: a.s.hassanien@gmail.com [Engineering Mathematics and Physics Department, Faculty of Engineering (Shoubra), Benha University (Egypt); Physics Department, Faculty of Science and Humanities in Ad-Dawadmi, Shaqra University, 11911 (Saudi Arabia)

    2016-06-25

    The objective of this work is to study the influence of the addition of more Se on dielectric properties, opto-electrical parameters and electronic polarizability of amorphous chalcogenide Cd{sub 50}S{sub 50−x}Se{sub x} thin films (30 ≤ x ≤ 50 at%). Thin films of thickness 200 nm were synthesized by vacuum deposition at ≈8.2 × 10{sup −4} Pa. Both refractive index and extinction coefficient were used to obtain all the studied parameters. The high frequency dielectric constant, real and imaginary parts of dielectric constant were discussed. Drude theory was applied to investigate opto-electrical parameters, like optical carrier concentration, optical mobility and optical resistivity. Moreover, other parameters were investigated and studied, e.g. Drude parameters, volume and surface energy loss functions, dielectric loss factor, dielectric relaxation time, complex optical conductivity and electronic polarizability as well as optical electronegativity and third-order nonlinear optical susceptibility. Values of electronic polarizability and nonlinear optical susceptibility were found to be decreased while optical electronegativity increased as Se-content was increased. Increment of Se-content in amorphous Cd{sub 50}S{sub 50−x}Se{sub x} thin films has also led to minimize the energy losses when electromagnetic waves propagate through films as well as optical conductivity and the speed of light increased. The other studied properties and parameters of Cd{sub 50}S{sub 50−x}Se{sub x} films were found to be strongly dependent upon Se-content. - Highlights: • Thermally evaporated amorphous Cd{sub 50}S{sub 50−x}Se{sub x} (30 ≤ x ≤ 50) thin films were deposited. • Refractive index and absorption index were used to determine almost all properties. • Dielectric properties, Drude parameters and electronic polarizability were studied. • Addition of more Se to CdSSe matrix led to improve the opto-electrical properties. • New data were obtained and

  7. Functional Design of Dielectric-Metal-Dielectric-Based Thin-Film Encapsulation with Heat Transfer and Flexibility for Flexible Displays.

    Science.gov (United States)

    Kwon, Jeong Hyun; Choi, Seungyeop; Jeon, Yongmin; Kim, Hyuncheol; Chang, Ki Soo; Choi, Kyung Cheol

    2017-08-16

    In this study, a new and efficient dielectric-metal-dielectric-based thin-film encapsulation (DMD-TFE) with an inserted Ag thin film is proposed to guarantee the reliability of flexible displays by improving the barrier properties, mechanical flexibility, and heat dissipation, which are considered to be essential requirements for organic light-emitting diode (OLED) encapsulation. The DMD-TFE, which is composed of Al 2 O 3 , Ag, and a silica nanoparticle-embedded sol-gel hybrid nanocomposite, shows a water vapor transmission rate of 8.70 × 10 -6 g/m 2 /day and good mechanical reliability at a bending radius of 30 mm, corresponding to 0.41% strain for 1000 bending cycles. The electrical performance of a thin-film encapsulated phosphorescent organic light-emitting diode (PHOLED) was identical to that of a glass-lid encapsulated PHOLED. The operational lifetimes of the thin-film encapsulated and glass-lid encapsulated PHOLEDs are 832 and 754 h, respectively. After 80 days, the thin-film encapsulated PHOLED did not show performance degradation or dark spots on the cell image in a shelf-lifetime test. Finally, the difference in lifetime of the OLED devices in relation to the presence and thickness of a Ag film was analyzed by applying various TFE structures to fluorescent organic light-emitting diodes (FOLEDs) that could generate high amounts of heat. To demonstrate the difference in heat dissipation effect among the TFE structures, the saturated temperatures of the encapsulated FOLEDs were measured from the back side surface of the glass substrate, and were found to be 67.78, 65.12, 60.44, and 39.67 °C after all encapsulated FOLEDs were operated at an initial luminance of 10 000 cd/m 2 for sufficient heat generation. Furthermore, the operational lifetime tests of the encapsulated FOLED devices showed results that were consistent with the measurements of real-time temperature profiles taken with an infrared camera. A multifunctional hybrid thin-film encapsulation

  8. Nanostructured pyronin Y thin films as a new organic semiconductor: Linear/nonlinear optics, band gap and dielectric properties

    Energy Technology Data Exchange (ETDEWEB)

    Zahran, H.Y. [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Yahia, I.S., E-mail: dr_isyahia@yahoo.com [Metallurgical Lab.1, Nanoscience Laboratory for Environmental and Bio-medical Applications (NLEBA), Semiconductor Lab., Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt); Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Alamri, F.H. [Advanced Functional Materials & Optoelectronic Laboratory (AFMOL), Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia)

    2017-05-15

    Pyronin Y dye (PY) is a kind of xanthene derivatives. Thin films of pyronin Y were deposited onto highly cleaned glass substrates using low-cost/spin coating technique. The structure properties of pyronin Y thin films with different thicknesses were investigated by using X-ray diffraction (XRD) and atomic force microscope (AFM). PY thin films for all the studied thicknesses have an amorphous structure supporting the short range order of the grain size. AFM supports the nanostructure with spherical/clusters morphologies of the investigated thin films. The optical constants of pyronin Y thin films for various thicknesses were studied by using UV–vis–NIR spectrophotometer in the wavelength range 350–2500 nm. The transmittance T(λ), reflectance R(λ) spectral and absorbance (abs(λ)) were obtained for all film thicknesses at room temperature and the normal light incident. These films showed a high transmittance in the wide scale wavelengths. For different thicknesses of the studied thin films, the optical band gaps were determined and their values around 2 eV. Real and imaginary dielectric constants, dissipation factor and the nonlinear optical parameters were calculated in the wavelengths to the range 300–2500 nm. The pyronin Y is a new organic semiconductor with a good optical absorption in UV–vis regions and it is suitable for nonlinear optical applications. - Highlights: • Pyronin Y (PY) nanostructured thin films were deposited by using spin coating technique. • XRD/AFM were used to study the structure of PY films. • The optical band gap was calculated on the basis of Tauc's model. • Linear/nonlinear optical parameters are calculated and interpreted via the applied optical theories. • PY thin films is a new organic semiconductor for its application in optoelectronic devices.

  9. Dielectric properties of electron irradiated PbZrO 3 thin films

    Indian Academy of Sciences (India)

    The present paper deals with the study of the effects of electron (8 MeV) irradiation on the dielectric and ferroelectric properties of PbZrO3 thin films grown by sol–gel technique. The films were (0.62 m thick) subjected to electron irradiation using Microtron accelerator (delivered dose 80, 100, 120 kGy). The films were well ...

  10. The Effects of Postprocessing on Physical and Solution Deposition of Complex Oxide Thin Films for Tunable Applications

    Science.gov (United States)

    2016-02-01

    BST film capacitor devices were fabricated using physical and chemical solution deposition techniques. The typical dielectric constant of the...electrode loss, and the parallel resistor- capacitor circuit represents the capacitance and the dielectric loss, assuming lead inductance is...Thin barium strontium titanate (BST) films are being developed as dielectric film for use in tunable radio frequency (RF)/microwave applications. Thin

  11. Sol–gel deposited ceria thin films as gate dielectric for CMOS ...

    Indian Academy of Sciences (India)

    Sol–gel deposited ceria thin films as gate dielectric for CMOS technology. ANIL G KHAIRNAR ... The semiconductor roadmap following Moore's law is responsible for ..... The financial support from University Grants Commi- ssion (UGC), New ...

  12. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    International Nuclear Information System (INIS)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S.; Noriega, J.R.; Vasselli, J.J.; Chabal, Y.J.; Gnade, B.E.

    2013-01-01

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm −1 and 362 MVm −1 for neat and crosslinked films

  13. Electrostatically assisted fabrication of silver-dielectric core/shell nanoparticles thin film capacitor with uniform metal nanoparticle distribution and controlled spacing.

    Science.gov (United States)

    Li, Xue; Niitsoo, Olivia; Couzis, Alexander

    2016-03-01

    An electrostatically-assisted strategy for fabrication of thin film composite capacitors with controllable dielectric constant (k) has been developed. The capacitor is composed of metal-dielectric core/shell nanoparticle (silver/silica, Ag@SiO2) multilayer films, and a backfilling polymer. Compared with the simple metal particle-polymer mixtures where the metal nanoparticles (NP) are randomly dispersed in the polymer matrix, the metal volume fraction in our capacitor was significantly increased, owing to the densely packed NP multilayers formed by the electrostatically assisted assembly process. Moreover, the insulating layer of silica shell provides a potential barrier that reduces the tunneling current between neighboring Ag cores, endowing the core/shell nanocomposites with a stable and relatively high dielectric constant (k) and low dielectric loss (D). Our work also shows that the thickness of the SiO2 shell plays a dominant role in controlling the dielectric properties of the nanocomposites. Control over metal NP separation distance was realized not only by variation the shell thickness of the core/shell NPs but also by introducing a high k nanoparticle, barium strontium titanate (BST) of relatively smaller size (∼8nm) compared to 80-160nm of the core/shell Ag@SiO2 NPs. The BST assemble between the Ag@SiO2 and fill the void space between the closely packed core/shell NPs leading to significant enhancement of the dielectric constant. This electrostatically assisted assembly method is promising for generating multilayer films of a large variety of NPs over large areas at low cost. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. High Dielectric Performance of Solution-Processed Aluminum Oxide-Boron Nitride Composite Films

    Science.gov (United States)

    Yu, Byoung-Soo; Ha, Tae-Jun

    2018-04-01

    The material compositions of oxide films have been extensively investigated in an effort to improve the electrical characteristics of dielectrics which have been utilized in various electronic devices such as field-effect transistors, and storage capacitors. Significantly, solution-based compositions have attracted considerable attention as a highly effective and practical technique to replace vacuum-based process in large-area. Here, we demonstrate solution-processed composite films consisting of aluminum oxide (Al2O3) and boron nitride (BN), which exhibit remarkable dielectric properties through the optimization process. The leakage current of the optimized Al2O3-BN thin films was decreased by a factor of 100 at 3V, compared to pristine Al2O3 thin film without a loss of the dielectric constant or degradation of the morphological roughness. The characterization by X-ray photoelectron spectroscopy measurements revealed that the incorporation of BN with an optimized concentration into the Al2O3 dielectric film reduced the density of oxygen vacancies which act as defect states, thereby improving the dielectric characteristics.

  15. Frequency and Temperature Dependent Dielectric Properties of Free-standing Strontium Titanate Thin Films.

    Science.gov (United States)

    Dalberth, Mark J.; Stauber, Renaud E.; Anderson, Britt; Price, John C.; Rogers, Charles T.

    1998-03-01

    We will report on the frequency and temperature dependence of the complex dielectric function of free-standing strontium titanate (STO) films. STO is an incipient ferroelectric with electric-field tunable dielectric properties of utility in microwave electronics. The films are grown epitaxially via pulsed laser deposition on a variety of substrates, including lanthanum aluminate (LAO), neodymium gallate (NGO), and STO. An initial film of yttrium barium cuprate (YBCO) is grown on the substrate, followed by deposition of the STO layer. Following deposition, the sacrificial YBCO layer is chemically etched away in dilute nitric acid, leaving the substrate and a released, free-standing STO film. Coplanar capacitor structures fabricated on the released films allow us to measure the dielectric response. We observe a peak dielectric function in excess of 5000 at 35K, change in dielectric constant of over a factor of 8 for 10Volt/micron electric fields, and temperature dependence above 50K that is very similar to bulk material. The dielectric loss shows two peaks, each with a thermally activated behavior, apparently arising from two types of polar defects. We will discuss the correlation between dielectric properties, growth conditions, and strain in the free-standing STO films.

  16. Structural, dielectric and AC conductivity study of Sb2O3 thin film ...

    Indian Academy of Sciences (India)

    52

    However, to date, no reports have appeared on impedance spectroscopy, modulus behavior, electrical conductivity, dielectric relaxation and dielectric properties of crystalline Sb2O3 thin films. This paper deals for the first time with the frequency and temperature dependence of AC conductivity and complex electric modulus ...

  17. Mechanical property changes in porous low-k dielectric thin films during processing

    Energy Technology Data Exchange (ETDEWEB)

    Stan, G., E-mail: gheorghe.stan@nist.gov; Gates, R. S. [Material Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Kavuri, P. [Physical Measurement Laboratory, National Institute of Standards and Technology, Gaithersburg, Maryland 20899 (United States); Torres, J.; Michalak, D.; Ege, C.; Bielefeld, J.; King, S. W. [Logic Technology Development, Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2014-10-13

    The design of future generations of Cu-low-k dielectric interconnects with reduced electronic crosstalk often requires engineering materials with an optimal trade off between their dielectric constant and elastic modulus. This is because the benefits associated with the reduction of the dielectric constant by increasing the porosity of materials, for example, can adversely affect their mechanical integrity during processing. By using load-dependent contact-resonance atomic force microscopy, the changes in the elastic modulus of low-k dielectric materials due to processing were accurately measured. These changes were linked to alterations sustained by the structure of low-k dielectric films during processing. A two-phase model was used for quantitative assessments of the elastic modulus changes undergone by the organosilicate skeleton of the structure of porous and pore-filled dielectrics.

  18. Magnetoelectric coupling effect in transition metal modified polycrystalline BiFeO3 thin films

    International Nuclear Information System (INIS)

    Sreenivas Puli, Venkata; Kumar Pradhan, Dhiren; Gollapudi, Sreenivasulu; Coondoo, Indrani; Panwar, Neeraj; Adireddy, Shiva; Chrisey, Douglas B.; Katiyar, Ram S.

    2014-01-01

    Rare-earth (Sm) and transition metal (Co) modified polycrystalline BiFeO 3 (BFO) thin films have been deposited on Pt/TiO 2 /SiO 2 /Si substrate successfully through pulsed laser deposition (PLD) technique. Piezoelectric, leakage current and temperature dependent dielectric and magnetic behaviour were investigated for the films. Typical “butterfly-shaped” loop were observed in BSFCO films with an effective piezoelectric constant (d 33 ) ∼94 pm/V at 0.6 MV/cm. High dielectric constant ∼900 and low dielectric loss ∼0.25 were observed at room temperature. M–H loops have shown relatively high saturation magnetization ∼35 emu/cm 3 at a maximum field of H ∼20 kOe. Enhanced magnetoelectric coupling response is observed under applied magnetic field. The multiferroic, piezoelectric, leakage current behaviours were explored. Such studies should be helpful in designing multiferroic materials based on BSFCO films. - Highlights: • Transition metal modified polycrystalline BiFeO 3 thin films prepared using PLD. • High ME-coupling response was observed in co-substituted BiFeO 3 thin films. • High magnetization ∼35 emu/cm 3 at a maximum field of H ∼20 kOe. • Low leakage current might be due to co-substitution in BiFeO 3 thin films. • A notable piezoelectric constant d 33 ∼94 pm/V was found in BiFeO 3 thin films

  19. Atmospheric Pressure Plasma Jet-Assisted Synthesis of Zeolite-Based Low-k Thin Films.

    Science.gov (United States)

    Huang, Kai-Yu; Chi, Heng-Yu; Kao, Peng-Kai; Huang, Fei-Hung; Jian, Qi-Ming; Cheng, I-Chun; Lee, Wen-Ya; Hsu, Cheng-Che; Kang, Dun-Yen

    2018-01-10

    Zeolites are ideal low-dielectric constant (low-k) materials. This paper reports on a novel plasma-assisted approach to the synthesis of low-k thin films comprising pure-silica zeolite MFI. The proposed method involves treating the aged solution using an atmospheric pressure plasma jet (APPJ). The high reactivity of the resulting nitrogen plasma helps to produce zeolite crystals with high crystallinity and uniform crystal size distribution. The APPJ treatment also remarkably reduces the time for hydrothermal reaction. The zeolite MFI suspensions synthesized with the APPJ treatment are used for the wet deposition to form thin films. The deposited zeolite thin films possessed dense morphology and high crystallinity, which overcome the trade-off between crystallinity and film quality. Zeolite thin films synthesized using the proposed APPJ treatment achieve low leakage current (on the order of 10 -8 A/cm 2 ) and high Young's modulus (12 GPa), outperforming the control sample synthesized without plasma treatment. The dielectric constant of our zeolite thin films was as low as 1.41. The overall performance of the low-k thin films synthesized with the APPJ treatment far exceed existing low-k films comprising pure-silica MFI.

  20. Comparison of neat and photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene thin film dielectrics formed by spin-coating

    Energy Technology Data Exchange (ETDEWEB)

    Iyore, O.D.; Roodenko, K.; Winkler, P.S. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Noriega, J.R.; Vasselli, J.J. [Electrical Engineering Department, The University of Texas at Tyler, Tyler, TX 75799 (United States); Chabal, Y.J. [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States); Gnade, B.E., E-mail: gnade@utdallas.edu [Materials Science and Engineering Department, The University of Texas at Dallas, Richardson, TX 75080 (United States)

    2013-12-02

    We report the characterization of photo-crosslinked polyvinylidene fluoride-co-hexafluoropropylene (PVDF-HFP) thin film, metal–insulator–metal capacitors fabricated using standard semiconductor processing techniques. We characterize the capacitors using in-situ vibrational spectroscopy during thermally-assisted poling and correlate the Fourier transform infrared spectroscopy (FTIR) results with X-ray diffraction (XRD) results. FTIR analysis of the neat PVDF-HFP showed α → β transformations during poling at room temperature and at 55 °C. α → β transformations were observed for the crosslinked polymer only during poling at 55 °C. XRD data revealed that photo-crosslinking caused the polymer to partially crystallize into the β-phase. The similar behavior of the neat and crosslinked samples at 55 °C suggests that a higher activation energy was needed for α → β transformations in crosslinked PVDF-HFP during poling. Electrical measurements showed that photo-crosslinking had no significant effect on the dielectric constant and dielectric loss of PVDF-HFP. However, the dielectric strength and maximum energy density of the crosslinked polymer were severely reduced. - Highlights: • Polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP) dielectrics were studied. • Phase transformations were observed only at 55 °C for the crosslinked PVDF-HFP. • Crosslinking had no strong effect on the dielectric constant of PVDF-HFP. • Breakdown strengths were 620 MVm{sup −1} and 362 MVm{sup −1} for neat and crosslinked films.

  1. Investigation of optical pump on dielectric tunability in PZT/PT thin film by THz spectroscopy.

    Science.gov (United States)

    Ji, Jie; Luo, Chunya; Rao, Yunkun; Ling, Furi; Yao, Jianquan

    2016-07-11

    The dielectric spectra of single-layer PbTiO3 (PT), single-layer PbZrxTi1-xO3 (PZT) and multilayer PZT/PT thin films under an external optical field were investigated at room temperature by time-domain terahertz (THz) spectroscopy. Results showed that the real part of permittivity increased upon application of an external optical field, which could be interpreted as hardening of the soft mode and increasing of the damping coefficient and oscillator strength. Furthermore, the central mode was observed in the three films. Among the dielectric property of the three thin films studied, the tunability of the PZT/PT superlattice was the largest.

  2. High energy density capacitors fabricated by thin film technology

    International Nuclear Information System (INIS)

    Barbee, T W; Johnson, G W; Wagner, A V.

    1999-01-01

    Low energy density in conventional capacitors severely limits efforts to miniaturize power electronics and imposes design limitations on electronics in general. We have successfully applied physical vapor deposition technology to greatly increase capacitor energy density. The high dielectric breakdown strength we have achieved in alumina thin films allows high energy density to be achieved with this moderately low dielectric constant material. The small temperature dependence of the dielectric constant, and the high reliability, high resistivity, and low dielectric loss of Al 2 O 3 , make it even more appealing. We have constructed single dielectric layer thin film capacitors and shown that they can be stacked to form multilayered structures with no loss in yield for a given capacitance. Control of film growth morphology is critical for achieving the smooth, high quality interfaces between metal and dielectric necessary for device operation at high electric fields. Most importantly, high rate deposition with extremely low particle generation is essential for achieving high energy storage at a reasonable cost. This has been achieved by reactive magnetron sputtering in which the reaction to form the dielectric oxide has been confined to the deposition surface. By this technique we have achieved a yield of over 50% for 1 cm 2 devices with an energy density of 14 J per cubic centimeter of Al 2 O 3 dielectric material in 1.2 kV, 4 nF devices. By further reducing defect density and increasing the dielectric constant of the material, we will be able to increase capacitance and construct high energy density devices to meet the requirements of applications in power electronics

  3. Optical properties of WO3 thin films using surface plasmon resonance technique

    International Nuclear Information System (INIS)

    Paliwal, Ayushi; Sharma, Anjali; Gupta, Vinay; Tomar, Monika

    2014-01-01

    Indigenously assembled surface plasmon resonance (SPR) technique has been exploited to study the thickness dependent dielectric properties of WO 3 thin films. WO 3 thin films (80 nm to 200 nm) have been deposited onto gold (Au) coated glass prism by sputtering technique. The structural, optical properties and surface morphology of the deposited WO 3 thin films were studied using X-ray diffraction, UV-visible spectrophotometer, Raman spectroscopy, and Scanning electron microscopy (SEM). XRD analysis shows that all the deposited WO 3 thin films are exhibiting preferred (020) orientation and Raman data indicates that the films possess single phase monoclinic structure. SEM images reveal the variation in grain size with increase in thickness. The SPR reflectance curves of the WO 3 /Au/prism structure were utilized to estimate the dielectric properties of WO 3 thin films at optical frequency (λ = 633 nm). As the thickness of WO 3 thin film increases from 80 nm to 200 nm, the dielectric constant is seen to be decreasing from 5.76 to 3.42, while the dielectric loss reduces from 0.098 to 0.01. The estimated value of refractive index of WO 3 film is in agreement to that obtained from UV-visible spectroscopy studies. The strong dispersion in refractive index is observed with wavelength of incident laser light

  4. Structural and dielectric properties of (001) and (111)-oriented BaZr0.2Ti0.8O3 epitaxial thin films

    International Nuclear Information System (INIS)

    Ventura, J.; Fina, I.; Ferrater, C.; Langenberg, E.; Coy, L.E.; Polo, M.C.; Garcia-Cuenca, M.V.; Fabrega, L.; Varela, M.

    2010-01-01

    We have grown and characterized BaZr 0.2 Ti 0.8 O 3 (BZT) epitaxial thin films deposited on (001) and (111)-oriented SrRuO 3 -buffered SrTiO 3 substrates by pulsed laser deposition. Structural and morphological characterizations were performed using X-ray diffractometry and atomic force microscopy, respectively. A cube-on-cube epitaxial relationship was ascertained from the θ-2θ and φ diffractograms in both (001) and (111)-oriented films. The (001)-oriented films showed a smooth granular morphology, whereas the faceted pyramid-like crystallites of the (111)-oriented films led to a rough surface. The dielectric response of BZT at room temperature was measured along the growth direction. The films were found to be ferroelectric, although a well-saturated hysteresis loop was obtained only for the (001)-oriented films. High leakage currents were observed for the (111) orientation, likely associated to charge transport along the boundaries of its crystallites. The remanent polarization, coercive field, dielectric constant, and relative change of dielectric permittivity (tunability) of (111)-oriented BZT were higher than those of (001)-oriented BZT.

  5. Magnetoelectric coupling effect in transition metal modified polycrystalline BiFeO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sreenivas Puli, Venkata, E-mail: pvsri123@gmail.com [Department of Physics and Engineering Physics, Tulane University, New Orleans, LA 70118 (United States); Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States); Kumar Pradhan, Dhiren [Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States); Gollapudi, Sreenivasulu [Department of Physics, Oakland University, Rochester, MI 48309-4401 (United States); Coondoo, Indrani [Department of Materials and Ceramic and CICECO, University of Aveiro, 3810-193 Aveiro (Portugal); Panwar, Neeraj [Department of Physics, Central University of Rajasthan, Bandar Sindri, Kishangarh 305801, Rajasthan (India); Adireddy, Shiva; Chrisey, Douglas B. [Department of Physics and Engineering Physics, Tulane University, New Orleans, LA 70118 (United States); Katiyar, Ram S. [Department of Physics and Institute of Functional Nanomaterials, University of Puerto Rico, San Juan, PR 00936 (United States)

    2014-11-15

    Rare-earth (Sm) and transition metal (Co) modified polycrystalline BiFeO{sub 3} (BFO) thin films have been deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si substrate successfully through pulsed laser deposition (PLD) technique. Piezoelectric, leakage current and temperature dependent dielectric and magnetic behaviour were investigated for the films. Typical “butterfly-shaped” loop were observed in BSFCO films with an effective piezoelectric constant (d{sub 33}) ∼94 pm/V at 0.6 MV/cm. High dielectric constant ∼900 and low dielectric loss ∼0.25 were observed at room temperature. M–H loops have shown relatively high saturation magnetization ∼35 emu/cm{sup 3} at a maximum field of H ∼20 kOe. Enhanced magnetoelectric coupling response is observed under applied magnetic field. The multiferroic, piezoelectric, leakage current behaviours were explored. Such studies should be helpful in designing multiferroic materials based on BSFCO films. - Highlights: • Transition metal modified polycrystalline BiFeO{sub 3} thin films prepared using PLD. • High ME-coupling response was observed in co-substituted BiFeO{sub 3} thin films. • High magnetization ∼35 emu/cm{sup 3} at a maximum field of H ∼20 kOe. • Low leakage current might be due to co-substitution in BiFeO{sub 3} thin films. • A notable piezoelectric constant d{sub 33} ∼94 pm/V was found in BiFeO{sub 3} thin films.

  6. Electrical characterization of thin film ferroelectric capacitors

    NARCIS (Netherlands)

    Tiggelman, M.P.J.; Reimann, K.; Klee, M.; Beelen, D.; Keur, W.; Schmitz, Jurriaan; Hueting, Raymond Josephus Engelbart

    2006-01-01

    Tunable capacitors can be used to facilitate the reduction of components in wireless technologies. The tunability of the capacitors is caused by the sensitivity of the relative dielectric constant to a change in polarization with electric field. Thin film ferroelectric MIM capacitors on silicon

  7. Epitaxial growth and dielectric properties of Bi sub 2 VO sub 5 sub . sub 5 thin films on TiN/Si substrates with SrTiO sub 3 buffer layers

    CERN Document Server

    Lee, H Y; Choi, B C; Jeong, J H; Joseph, M; Tabata, H; Kawai, T

    2000-01-01

    Bi sub 2 VO sub 5 sub . sub 5 (BVO) thin films were epitaxially grown on SrTiO sub 3 /TiN/Si substrates by using pulsed laser ablation. A TiN thin film was prepared at 700 .deg. C as a bottom electrode. The TiN film exhibited a high alpha axis orientation and a very smooth morphology. Before the preparation of the BVO thin film, a crystallized SrTiO sub 3 thin film was deposited as a buffer layer on TiN/Si. The BVO thin film grown at a substrate temperature at 700 .deg. C and an oxygen pressure of 50 mTorr was found to be epitaxial along the c-axis. Also, BVO films were observed to have flat surfaces and the step-flow modes. The dielectric constant of the BVO film on STO/TiN/Si was constant at about 8 approx 4 in the applied frequency range between 10 sup 2 and 10 sup 6 Hz.

  8. Microwave Characterization of Ba-Substituted PZT and ZnO Thin Films.

    Science.gov (United States)

    Tierno, Davide; Dekkers, Matthijn; Wittendorp, Paul; Sun, Xiao; Bayer, Samuel C; King, Seth T; Van Elshocht, Sven; Heyns, Marc; Radu, Iuliana P; Adelmann, Christoph

    2018-05-01

    The microwave dielectric properties of (Ba 0.1 Pb 0.9 )(Zr 0.52 Ti 0.48 )O 3 (BPZT) and ZnO thin films with thicknesses below were investigated. No significant dielectric relaxation was observed for both BPZT and ZnO up to 30 GHz. The intrinsic dielectric constant of BPZT was as high as 980 at 30 GHz. The absence of strong dielectric dispersion and loss peaks in the studied frequency range can be linked to the small grain diameters in these ultrathin films.

  9. Novel organic semiconductors and dielectric materials for high performance and low-voltage organic thin-film transistors

    Science.gov (United States)

    Yoon, Myung-Han

    Two novel classes of organic semiconductors based on perfluoroarene/arene-modified oligothiophenes and perfluoroacyl/acyl-derivatized quaterthiophens are developed. The frontier molecular orbital energies of these compounds are studied by optical spectroscopy and electrochemistry while solid-state/film properties are investigated by thermal analysis, x-ray diffraction, and scanning electron microscopy. Organic thin film transistors (OTFTs) performance parameters are discussed in terms of the interplay between semiconductor molecular energetics and film morphologies/microstructures. For perfluoroarene-thiophene oligomer systems, majority charge carrier type and mobility exhibit a strong correlation with the regiochemistry of perfluoroarene incorporation. In quaterthiophene-based semiconductors, carbonyl-functionalization allows tuning of the majority carrier type from p-type to ambipolar and to n-type. In situ conversion of a p-type semiconducting film to n-type film is also demonstrated. Very thin self-assembled or spin-on organic dielectric films have been integrated into OTFTs to achieve 1 - 2 V operating voltages. These new dielectrics are deposited either by layer-by-layer solution phase deposition of molecular precursors or by spin-coating a mixture of polymer and crosslinker, resulting in smooth and virtually pinhole-free thin films having exceptionally large capacitances (300--700 nF/cm2) and low leakage currents (10 -9 - 10-7 A/cm2). These organic dielectrics are compatible with various vapor- or solution-deposited p- and n-channel organic semiconductors. Furthermore, it is demonstrated that spin-on crosslinked-polymer-blend dielectrics can be employed for large-area/patterned electronics, and complementary inverters. A general approach for probing semiconductor-dielectric interface effects on OTFT performance parameters using bilayer gate dielectrics is presented. Organic semiconductors having p-, n-type, or ambipolar majority charge carriers are grown on

  10. Zirconium titanate thin film prepared by surface sol-gel process and effects of thickness on dielectric property

    CERN Document Server

    Kim, C H

    2002-01-01

    Single phase of multicomponent oxide ZrTiO sub 4 film could be prepared through surface sol-gel route simply by coating the mixture of 100mM zirconium butoxide and titanium butoxide on Pt/Ti/SiO sub 2 /Si(100) substrate, following pyrolysis at 450 .deg. C, and annealing it at 770 .deg. C. The dielectric constant of the film was reduced as the film thickness decreased due to of the interfacial effects caused by layer/electrode and a few voids inside the multilayer. However, the dielectric property was independent of applied dc bias sweeps voltage (-2 to +2 V). The dielectric constant of bulk film, 31.9, estimated using series-connected capacitor model was independent of film thickness and frequency in the measurement range, but theoretical interfacial thickness, t sub i , was dependent on the frequency. It reached a saturated t sub i value, 6.9 A, at high frequency by extraction of some capacitance component formed at low frequency range. The dielectric constant of bulk ZrTiO sub 4 pellet-shaped material was 3...

  11. Influence of Doping Concentration on Dielectric, Optical, and Morphological Properties of PMMA Thin Films

    Directory of Open Access Journals (Sweden)

    Lyly Nyl Ismail

    2012-01-01

    Full Text Available PMMA thin films were deposited by sol gel spin coating method on ITO substrates. Toluene was used as the solvent to dissolve the PMMA powder. The PMMA concentration was varied from 30 ~ 120 mg. The dielectric properties were measured at frequency of 0 ~ 100 kHz. The dielectric permittivity was in the range of 7.3 to 7.5 which decreased as the PMMA concentration increased. The dielectric loss is in the range of 0.01 ~ –0.01. All samples show dielectric characteristics which have dielectric loss is less than 0.05. The optical properties for thin films were measured at room temperature across 200 ~ 1000 nm wavelength region. All samples are highly transparent. The energy band gaps are in the range of 3.6 eV to 3.9 eV when the PMMA concentration increased. The morphologies of the samples show that all samples are uniform and the surface roughness increased as the concentration increased. From this study, it is known that, the dielectric, optical, and morphology properties were influenced by the amount of PMMA concentration in the solution.

  12. Aggregate linear properties of ferroelectric ceramics and polycrystalline thin films: Calculation by the method of effective piezoelectric medium

    Science.gov (United States)

    Pertsev, N. A.; Zembilgotov, A. G.; Waser, R.

    1998-08-01

    The effective dielectric, piezoelectric, and elastic constants of polycrystalline ferroelectric materials are calculated from single-crystal data by an advanced method of effective medium, which takes into account the piezoelectric interactions between grains in full measure. For bulk BaTiO3 and PbTiO3 polarized ceramics, the dependences of material constants on the remanent polarization are reported. Dielectric and elastic constants are computed also for unpolarized c- and a-textured ferroelectric thin films deposited on cubic or amorphous substrates. It is found that the dielectric properties of BaTiO3 and PbTiO3 polycrystalline thin films strongly depend on the type of crystal texture. The influence of two-dimensional clamping by the substrate on the dielectric and piezoelectric responses of polarized films is described quantitatively and shown to be especially important for the piezoelectric charge coefficient of BaTiO3 films.

  13. Enhanced polarization and dielectric properties of Pb(Zr1-xTix)O3 thin films

    Science.gov (United States)

    Ortega, N.; Kumar, Ashok; Katiyar, R. S.

    2008-10-01

    We report the fabrication of PbZr0.57Ti0.43O3 (PZT) thin films with preferential growth along (111) and random crystalline orientation on the platinized silicon substrates using pulsed laser deposition technique. X-ray diffraction patterns and surface morphology indicate increase in grain size and nucleation, which support better perovskite matrix with increase in annealing temperature. We observed large dielectric constant (˜4000) and enhanced remanent polarization 70 μC/cm2 at room temperature attributed to grain growth and intermetallic Pt-Pb transient phase. Frequency dependent polarization showed minor reduction in polarization above 10 kHz frequencies. Normalized fatigue characteristic of PZT thin films showed minimal 25% degradation in remanent polarization after 109 cycles, which may be useful for memory devices. ac conductivity spectra illustrated that anomaly near the phase transition temperature with activation energy (Ea˜0.60-0.75 eV) supports the intrinsic nature of ferroelectric phase transition.

  14. Optical properties of WO{sub 3} thin films using surface plasmon resonance technique

    Energy Technology Data Exchange (ETDEWEB)

    Paliwal, Ayushi; Sharma, Anjali; Gupta, Vinay, E-mail: drguptavinay@gmail.com, E-mail: vgupta@physics.du.ac.in [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Tomar, Monika [Department of Physics, Miranda House, University of Delhi, Delhi 110007 (India)

    2014-01-28

    Indigenously assembled surface plasmon resonance (SPR) technique has been exploited to study the thickness dependent dielectric properties of WO{sub 3} thin films. WO{sub 3} thin films (80 nm to 200 nm) have been deposited onto gold (Au) coated glass prism by sputtering technique. The structural, optical properties and surface morphology of the deposited WO{sub 3} thin films were studied using X-ray diffraction, UV-visible spectrophotometer, Raman spectroscopy, and Scanning electron microscopy (SEM). XRD analysis shows that all the deposited WO{sub 3} thin films are exhibiting preferred (020) orientation and Raman data indicates that the films possess single phase monoclinic structure. SEM images reveal the variation in grain size with increase in thickness. The SPR reflectance curves of the WO{sub 3}/Au/prism structure were utilized to estimate the dielectric properties of WO{sub 3} thin films at optical frequency (λ = 633 nm). As the thickness of WO{sub 3} thin film increases from 80 nm to 200 nm, the dielectric constant is seen to be decreasing from 5.76 to 3.42, while the dielectric loss reduces from 0.098 to 0.01. The estimated value of refractive index of WO{sub 3} film is in agreement to that obtained from UV-visible spectroscopy studies. The strong dispersion in refractive index is observed with wavelength of incident laser light.

  15. Large dielectric constant ({epsilon}/{epsilon}{sub 0}>6000) Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} thin films for high-performance microwave phase shifters

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C. M. [Department of Physics, University of Colorado, Boulder, Colorado 80309 (United States); Rivkin, T. V. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Parilla, P. A. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Perkins, J. D. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Ginley, D. S. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Kozyrev, A. B. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation); Oshadchy, V. N. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation); Pavlov, A. S. [Electrotechnical University of St. Petersburg, St. Petersburg, Russia 197376 (Russian Federation)

    2000-04-03

    We deposited epitaxial Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} (BST) films via laser ablation on MgO and LaAlO{sub 3} (LAO) substrates for tunable microwave devices. Postdeposition anneals ({approx}1100 degree sign C in O{sub 2}) improved the morphology and overall dielectric properties of films on both substrates, but shifted the temperature of maximum dielectric constant (T{sub max}) up for BST/LAO and down for BST/MgO. These substrate-dependent T{sub max} shifts had opposite effects on the room-temperature dielectric properties. Overall, BST films on MgO had the larger maximum dielectric constant ({epsilon}/{epsilon}{sub 0}{>=}6000) and tunability ({delta}{epsilon}/{epsilon}{>=}65%), but these maxima occurred at 227 K. 30 GHz phase shifters made from similar films had figures of merit (ratio of maximum phase shift to insertion loss) of {approx}45 degree sign /dB and phase shifts of {approx}400 degree sign under 500 V ({approx}13 V/{mu}m) bias, illustrating their utility for many frequency-agile microwave devices. (c) 2000 American Institute of Physics.

  16. Black metal thin films by deposition on dielectric antireflective moth-eye nanostructures

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Caringal, Gideon Peter; Clausen, Jeppe Sandvik

    2015-01-01

    Although metals are commonly shiny and highly reflective, we here show that thin metal films appear black when deposited on a dielectric with antireflective moth-eye nanostructures. The nanostructures were tapered and close-packed, with heights in the range 300-600 nm, and a lateral, spatial...... frequency in the range 5-7 mu m(-1). A reflectance in the visible spectrum as low as 6%, and an absorbance of 90% was observed for an Al film of 100 nm thickness. Corresponding experiments on a planar film yielded 80% reflectance and 20% absorbance. The observed absorbance enhancement is attributed...... to a gradient effect causing the metal film to be antireflective, analogous to the mechanism in dielectrics and semiconductors. We find that the investigated nanostructures have too large spatial frequency to facilitate efficient coupling to the otherwise non-radiating surface plasmons. Applications...

  17. Surface Plasmon Waves on Thin Metal Films.

    Science.gov (United States)

    Craig, Alan Ellsworth

    Surface-plasmon polaritons propagating on thin metal films bounded by dielectrics of nearly equal refractive indexes comprise two bound modes. Calculations indicate that, while the modes are degenerate on thick films, both the real and the imaginary components of the propagation constants for the modes split into two branches on successively thinner films. Considering these non-degenerate modes, the mode exhibiting a symmetric (antisymmetric) transverse profile of the longitudinally polarized electric field component, has propagation constant components both of which increase (decrease) with decreasing film thickness. Theoretical propagation constant eigenvalue (PCE) curves have been plotted which delineate this dependence of both propagation constant components on film thickness. By means of a retroreflecting, hemispherical glass coupler in an attenuated total reflection (ATR) configuration, light of wavelength 632.8 nm coupled to the modes of thin silver films deposited on polished glass substrates. Lorentzian lineshape dips in the plots of reflectance vs. angle of incidence indicate the presence of the plasmon modes. The real and imaginary components of the propagation constraints (i.e., the propagation constant and loss coefficient) were calculated from the angular positions and widths of the ATR resonances recorded. Films of several thicknesses were probed. Results which support the theoretically predicted curves were reported.

  18. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  19. Elasticity Constants of a Two-Phase Tungsten Thin Film

    Directory of Open Access Journals (Sweden)

    Mohamed Fares Slim

    2018-05-01

    Full Text Available The IET was used to determine the macroscopic elasticity constants of the multiphase coating. In order to determine the macroscopic elasticity constants of the film firstly, a critical assessment of Young’s modulus determination was done by comparing all the models proposed in the literature. The best model was identified and a study was performed to identify and quantify the most influent factors on the global uncertainty. Secondly, an enhanced formulation to determine the shear modulus of coating by IET was developed. The methodology was applied on a tungsten thin film deposited by DC magnetron sputtering.

  20. Infrared reflectance measurement for InN thin film characterization

    International Nuclear Information System (INIS)

    Fukui, K.; Kugumiya, Y.; Nakagawa, N.; Yamamoto, A.

    2006-01-01

    Infrared reflectance measurements of a series of InN thin films have been performed and attempt to derive carrier concentration and other physical constants for InN thin film characterization. Fitting calculations are performed by use of the dielectric function equation based on phonon-plasmon coupling model. Longitudinal and transverse optical phonon frequencies, plasma frequency and their damping parameters can be derived from fitting. From those results, electrical and phonon properties of InN and characterization of films are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    International Nuclear Information System (INIS)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping. (orig.)

  2. Effect of La and W dopants on dielectric and ferroelectric properties of PZT thin films prepared by sol-gel process

    Science.gov (United States)

    Xiao, Mi; Zhang, Zebin; Zhang, Weikang; Zhang, Ping

    2018-01-01

    La or W-doped lead zirconate titanate thin films (PLZT or PZTW) were prepared on platinized silicon substrates by sol-gel process. The effects of La or W dopant on the phase development, microstructure, dielectric and ferroelectric characteristics of films were studied. For PLZT films, the optimum doping concentration was found to be 2 mol%. While for PZTW films, the dielectric and ferroelectric properties were found to be improved as the doping concentration increased. The fatigue properties of PLZT and PZTW thin films were also investigated, the results showed that A- or B-site donor doping could improve the fatigue properties of PZT thin films. The theory of oxygen vacancy was used to explain the performance improvement caused by donor doping.

  3. Corrosion in low dielectric constant Si-O based thin films: Buffer concentration effects

    International Nuclear Information System (INIS)

    Zeng, F. W.; Lane, M. W.; Gates, S. M.

    2014-01-01

    Organosilicate glass (OSG) is often used as an interlayer dielectric (ILD) in high performance integrated circuits. OSG is a brittle material and prone to stress-corrosion cracking reminiscent of that observed in bulk glasses. Of particular concern are chemical-mechanical planarization techniques and wet cleans involving solvents commonly encountered in microelectronics fabrication where the organosilicate film is exposed to aqueous environments. Previous work has focused on the effect of pH, surfactant, and peroxide concentration on the subcritical crack growth of these films. However, little or no attention has focused on the effect of the conjugate acid/base concentration in a buffer. Accordingly, this work examines the “strength” of the buffer solution in both acidic and basic environments. The concentration of the buffer components is varied keeping the ratio of acid/base and therefore pH constant. In addition, the pH was varied by altering the acid/base ratio to ascertain any additional effect of pH. Corrosion tests were conducted with double-cantilever beam fracture mechanics specimens and fracture paths were verified with ATR-FTIR. Shifts in the threshold fracture energy, the lowest energy required for bond rupture in the given environment, G TH , were found to shift to lower values as the concentration of the base in the buffer increased. This effect was found to be much larger than the effect of the hydroxide ion concentration in unbuffered solutions. The results are rationalized in terms of the salient chemical bond breaking process occurring at the crack tip and modeled in terms of the chemical potential of the reactive species

  4. Sodium beta-alumina thin films as gate dielectrics for AlGaN/GaN metal—insulator—semiconductor high-electron-mobility transistors

    International Nuclear Information System (INIS)

    Tian Ben-Lang; Chen Chao; Li Yan-Rong; Zhang Wan-Li; Liu Xing-Zhao

    2012-01-01

    Sodium beta-alumina (SBA) is deposited on AlGaN/GaN by using a co-deposition process with sodium and Al 2 O 3 as the precursors. The X-ray diffraction (XRD) spectrum reveals that the deposited thin film is amorphous. The binding energy and composition of the deposited thin film, obtained from the X-ray photoelectron spectroscopy (XPS) measurement, are consistent with those of SBA. The dielectric constant of the SBA thin film is about 50. Each of the capacitance—voltage characteristics obtained at five different frequencies shows a high-quality interface between SBA and AlGaN. The interface trap density of metal—insulator—semiconductor high-electron-mobility transistor (MISHEMT) is measured to be (3.5∼9.5)×10 10 cm −2 ·eV −1 by the conductance method. The fixed charge density of SBA dielectric is on the order of 2.7×10 12 cm −2 . Compared with the AlGaN/GaN metal—semiconductor heterostructure high-electron-mobility transistor (MESHEMT), the AlGaN/GaN MISHEMT usually has a threshold voltage that shifts negatively. However, the threshold voltage of the AlGaN/GaN MISHEMT using SBA as the gate dielectric shifts positively from −5.5 V to −3.5 V. From XPS results, the surface valence-band maximum (VBM-EF) of AlGaN is found to decrease from 2.56 eV to 2.25 eV after the SBA thin film deposition. The possible reasons why the threshold voltage of AlGaN/GaN MISHEMT with the SBA gate dielectric shifts positively are the influence of SBA on surface valence-band maximum (VBM-EF), the reduction of interface traps and the effects of sodium ions, and/or the fixed charges in SBA on the two-dimensional electron gas (2DEG). (condensed matter: structural, mechanical, and thermal properties)

  5. Band Alignment and Optical Properties of (ZrO20.66(HfO20.34 Gate Dielectrics Thin Films on p-Si (100

    Directory of Open Access Journals (Sweden)

    Dahlang Tahir

    2011-11-01

    Full Text Available (ZrO20.66(HfO20.34 dielectric films on p-Si (100 were grown by atomic layer deposition method, for which the conduction band offsets, valence band offsets and band gaps were obtained by using X-ray photoelectron spectroscopy and reflection electron energy loss spectroscopy. The band gap, valence and conduction band offset values for (ZrO20.66(HfO20.34 dielectric thin film, grown on Si substrate were about 5.34, 2.35 and 1.87 eV respectively. This band alignment was similar to that of ZrO2. In addition, The dielectric function ε (k, ω, index of refraction n and the extinction coefficient k for the (ZrO20.66(HfO20.34 thin films were obtained from a quantitative analysis of REELS data by comparison to detailed dielectric response model calculations using the QUEELS-ε (k,ω-REELS software package. These optical properties are similar with ZrO2 dielectric thin films.

  6. Effects of crystallization on structural and dielectric properties of thin amorphous films of (1 - x)BaTiO3-xSrTiO3 (x=0-0.5, 1.0)

    Science.gov (United States)

    Kawano, H.; Morii, K.; Nakayama, Y.

    1993-05-01

    The possibilities for fabricating solid solutions of (Ba1-x,Srx)TiO3 (x≤0.5,1.0) by crystallization of amorphous films and for improving their dielectric properties by adjusting the Sr content were investigated. Thin amorphous films were prepared from powder targets consisting of mixtures of BaTiO3 and SrTiO3 by sputtering with a neutralized Ar-ion beam. The amorphous films crystallized into (Ba1-x, Srx)TiO3 solid solutions with a cubic perovskite-type structure after annealing in air at 923 K for more than 1 h. The Debye-type dielectric relaxation was observed for the amorphous films, whereas the crystallized films showed paraelectric behavior. The relative dielectric constants were of the order of 20 for the amorphous samples, but increased greatly after crystallization to about 60-200, depending on the composition; a larger increase in the dielectric constant was observed in the higher Sr content films, in the range x≤0.5, which could be correlated with an increase in the grain size of the crystallites. The crystallization processes responsible for the difference in the grain size are discussed based on the microstructural observations.

  7. Analysis of structural and optical properties of annealed fullerene thin films

    Science.gov (United States)

    El-Nahass, M. M.; Ali, H. A. M.; Gadallah, A.-S.; Atta Khedr, M.; Afify, H. A.

    2015-08-01

    Fullerene thin films were thermally deposited onto different substrates. The films annealed at 523 K for 10 h. X-ray diffraction technique was used to examine the structure of the films. The morphology of films was examined by field emission scanning electron microscopy. Fourier transform infrared spectra were recorded in wavenumber range 400-2000 cm-1. The optical characteristics were analyzed using UV- Vis-NIR spectrophotometric measurements in the spectral range 200-2500 nm. The refractive index and extinction coefficient were determined. Some dispersion parameters were calculated such as single oscillator energy, dispersion energy, dielectric constant at high frequency and lattice dielectric constant. As well as, the nonlinear optical susceptibility χ(3) and nonlinear refractive index n2 were determined.

  8. Dielectric spectroscopy of Ag-starch nanocomposite films

    Science.gov (United States)

    Meena; Sharma, Annu

    2018-04-01

    In the present work Ag-starch nanocomposite films were fabricated via chemical reduction route. The formation of Ag nanoparticles was confirmed using transmission electron microscopy (TEM). Further the effect of varying concentration of Ag nanoparticles on the dielectric properties of starch has been studied. The frequency response of dielectric constant (ε‧), dielectric loss (ε″) and dissipation factor tan(δ) has been studied in the frequency range of 100 Hz to 1 MHz. Dielectric data was further analysed using Cole-Cole plots. The dielectric constant of starch was found to be 4.4 which decreased to 2.35 in Ag-starch nanocomposite film containing 0.50 wt% of Ag nanoparticles. Such nanocomposites with low dielectric constant have potential applications in microelectronic technologies.

  9. Development of a metrology method for composition and thickness of barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Remmel, Thomas; Werho, Dennis; Liu, Ran; Chu, Peir

    1998-01-01

    Thin films of barium strontium titanate (BST) are being investigated as the charge storage dielectric in advanced memory devices, due to their promise for high dielectric constant. Since the capacitance of BST films is a function of both stoichiometry and thickness, implementation into manufacturing requires precise metrology methods to monitor both of these properties. This is no small challenge, considering the BST film thicknesses are 60 nm or less. A metrology method was developed based on X-ray Fluorescence and applied to the measurement of stoichiometry and thickness of BST thin films in a variety of applications

  10. Positron and positronium annihilation in low-dielectric-constant films studied by a pulsed positron beam

    International Nuclear Information System (INIS)

    Suzuki, R.; Ohdaira, T.; Kobayashi, Y.; Ito, K.; Yu, R.S.; Shioya, Y.; Ichikawa, H.; Hosomi, H.; Ishikiriyama, K.; Shirataki, H.; Matsuno, S.; Xu, J.

    2004-01-01

    Positron and positronium annihilation in porous low-dielectric-constant (low-k) films deposited by plasma-enhanced chemical vapor deposition (PECVD) and spin-on dielectric (SOD) have been investigated by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The ortho-positronium (o-Ps) lifetime strongly depends on the deposition condition. In general, PECVD low-k films have shorter o-Ps lifetimes than SOD low-k films, indicating PECVD low-k films have smaller pores. Since o-Ps diffusion and escaping from the surface occurs in most of porous SOD films, three-gamma annihilation measurement is important. To investigate o-Ps behavior in SOD films, we have carried out two-dimensional (2D) PALS measurement, which measures annihilation time and pulse-height of the scintillation detector simultaneously. Monte-Carlo simulation of the o-Ps diffusion and escaping in porous films has been carried out to simulate the 2D-PALS results. (orig.)

  11. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud; Nayak, Pradipta K.; Wang, Zhenwei; Alshareef, Husam N.

    2016-01-01

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  12. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics

    KAUST Repository

    Alshammari, Fwzah Hamud

    2016-08-24

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm2 V-1 s-1, but increased to 13.3 cm2 V-1 s-1 using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance. © 2016 American Chemical Society.

  13. Structural and electrical properties of Ta2O5 thin films prepared by ...

    Indian Academy of Sciences (India)

    The dielectric constant and leakage current density of the Ta2O5 thin films increase with increasing powers of the UV- lamps. Effects of UV- lamp powers on the structural and electrical properties were discussed. Keywords. Chemical vapour deposition processes; oxides; dielectric material; MOS capacitor. 1. Introduction.

  14. Relaxorlike dielectric behavior in Ba0.7Sr0.3TiO3 thin films

    Science.gov (United States)

    Zednik, Ricardo J.; McIntyre, Paul C.; Baniecki, John D.; Ishii, Masatoshi; Shioga, Takeshi; Kurihara, Kazuaki

    2007-03-01

    We present the results of a systematic dielectric study for sputter deposited barium strontium titanate thin film planar capacitors measured over a wide temperature range of 20-575K for frequencies between 1kHz and 1MHz. Our observations of dielectric loss peaks in the temperature and frequency domains cannot be understood in the typical framework of intrinsic phonon losses. We find that the accepted phenomenological Curie-von Schweidler dielectric behavior (universal relaxation law) in our barium strontium titanate films is only applicable over a narrow temperature range. An excellent fit to the Vogel-Fulcher expression suggests relaxorlike behavior in these films. The activation energy of the observed phenomenon suggests that oxygen ion motion play a role in the apparent relaxor behavior, although further experimental work is required to test this hypothesis.

  15. High temperature polymer film dielectrics for aerospace power conditioning capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Venkat, Narayanan, E-mail: venkats3@gmail.co [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Dang, Thuy D. [Air Force Research Laboratory-Nanostructured and Biological Materials Branch (AFRL/RXBN) (United States); Bai Zongwu; McNier, Victor K. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); DeCerbo, Jennifer N. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States); Tsao, B.-H. [University of Dayton Research Institute (UDRI), Dayton, OH 45469 (United States); Stricker, Jeffery T. [Air Force Research Laboratory-Electrical Technology Branch (AFRL/RZPE), Wright-Patterson Air Force Base, OH 45433 (United States)

    2010-04-15

    Polymer dielectrics are the preferred materials of choice for capacitive energy-storage applications because of their potential for high dielectric breakdown strengths, low dissipation factors and good dielectric stability over a wide range of frequencies and temperatures, despite having inherently lower dielectric constants relative to ceramic dielectrics. They are also amenable to large area processing into films at a relatively lower cost. Air Force currently has a strong need for the development of compact capacitors which are thermally robust for operation in a variety of aerospace power conditioning applications. While such applications typically use polycarbonate (PC) dielectric films in wound capacitors for operation from -55 deg. C to 125 deg. C, future power electronic systems would require the use of polymer dielectrics that can reliably operate up to elevated temperatures in the range of 250-350 deg. C. The focus of this research is the generation and dielectric evaluation of metallized, thin free-standing films derived from high temperature polymer structures such as fluorinated polybenzoxazoles, post-functionalized fluorinated polyimides and fluorenyl polyesters incorporating diamond-like hydrocarbon units. The discussion is centered mainly on variable temperature dielectric measurements of film capacitance and dissipation factor and the effects of thermal cycling, up to a maximum temperature of 350 deg. C, on film dielectric performance. Initial studies clearly point to the dielectric stability of these films for high temperature power conditioning applications, as indicated by their relatively low temperature coefficient of capacitance (TCC) (approx2%) over the entire range of temperatures. Some of the films were also found to exhibit good dielectric breakdown strengths (up to 470 V/mum) and a film dissipation factor of the order of <0.003 (0.3%) at the frequency of interest (10 kHz) for the intended applications. The measured relative dielectric

  16. Dielectrophoretic deformation of thin liquid films induced by surface charge patterns on dielectric substrates

    NARCIS (Netherlands)

    Berendsen, C.W.J.; Kuijpers, C.J.; Zeegers, J.C.H.; Darhuber, A.A.

    2013-01-01

    We studied the deformation of thin liquid films induced by surface charge patterns at the solid–liquid interface quantitatively by experiments and numerical simulations. We deposited a surface charge distribution on dielectric substrates by applying potential differences between a conductive liquid

  17. Ferroelectric properties of PZT/BFO multilayer thin films prepared using the sol-gel method.

    Science.gov (United States)

    Jo, Seo-Hyeon; Lee, Sung-Gap; Lee, Young-Hie

    2012-01-05

    In this study, Pb(Zr0.52Ti0.48)O3/BiFeO3 [PZT/BFO] multilayer thin films were fabricated using the spin-coating method on a Pt(200 nm)/Ti(10 nm)/SiO2(100 nm)/p-Si(100) substrate alternately using BFO and PZT metal alkoxide solutions. The coating-and-heating procedure was repeated several times to form the multilayer thin films. All PZT/BFO multilayer thin films show a void-free, uniform grain structure without the presence of rosette structures. The relative dielectric constant and dielectric loss of the six-coated PZT/BFO [PZT/BFO-6] thin film were approximately 405 and 0.03%, respectively. As the number of coatings increased, the remanent polarization and coercive field increased. The values for the BFO-6 multilayer thin film were 41.3 C/cm2 and 15.1 MV/cm, respectively. The leakage current density of the BFO-6 multilayer thin film at 5 V was 2.52 × 10-7 A/cm2.

  18. Optical spectroscopy, optical conductivity, dielectric properties and new methods for determining the gap states of CuSe thin films

    International Nuclear Information System (INIS)

    Sakr, G.B.; Yahia, I.S.; Fadel, M.; Fouad, S.S.; Romcevic, N.

    2010-01-01

    Research highlights: → The structural, optical dispersion parameters and the Raman spectroscopy have been studied for CuSe thin films. → X-ray diffraction results indicate the amorphous nature of the thermally evaporated CuSe thin films. → The refractive index shows an anomalous dispersion at the lower wavelength (absorption region) and a normal dispersion at the higher wavelengths (transparent region). → The refractive index dispersion obeys the single oscillator model proposed by Wemple and DiDomenico WDD model and the single oscillator parameters were determined. → The band gap of CuSe thin films was determined by three novel methods i.e. (relaxation time, real and imaginary dielectric constant and real and imaginary optical conductivity) which in a good agreement with the Tauc band gap value. - Abstract: The paper describes the structural and optical properties of CuSe thin films. X-ray diffraction pattern indicates that CuSe thin film has an amorphous structure. Transmittance T(λ) and reflectance R(λ) measurements in the wavelength range (300-1700 nm) were used to calculate the refractive index n(λ), the absorption index and the optical dispersion parameters according to Wemple and Didomenico WDD model. The dispersion curve of the refractive index shows an anomalous dispersion in the absorption region and a normal dispersion in the transparent region. The optical bandgap has been estimated and confirmed by four different methods. The value for the direct bandgap for the as-deposited CuSe thin film approximately equals 2.7 eV. The Raman spectroscopy was used to identify and quantify the individual phases presented in the CuSe films.

  19. Growth Related Carrier Mobility Enhancement of Pentacene Thin-Film Transistors with High-k Oxide Gate Dielectric

    International Nuclear Information System (INIS)

    Ai-Fang, Yu; Qiong, Qi; Peng, Jiang; Chao, Jiang

    2009-01-01

    Carrier mobility enhancement from 0.09 to 0.59 cm 2 /Vs is achieved for pentacene-based thin-film transistors (TFTs) by modifying the HfO 2 gate dielectric with a polystyrene (PS) thin film. The improvement of the transistor's performance is found to be strongly related to the initial film morphologies of pentacene on the dielectrics. In contrast to the three-dimensional island-like growth mode on the HfO 2 surface, the Stranski-Krastanov growth mode on the smooth and nonpolar PS/HfO 2 surface is believed to be the origin of the excellent carrier mobility of the TFTs. A large well-connected first monolayer with fewer boundaries is formed via the Stranski–Krastanov growth mode, which facilitates a charge transport parallel to the substrate and promotes higher carrier mobility. (cross-disciplinary physics and related areas of science and technology)

  20. Growth and characteristics of PbS/polyvinyl alcohol nanocomposites for flexible high dielectric thin film applications

    International Nuclear Information System (INIS)

    Hmar, J.J.L.; Majumder, T.; Mondal, S.P.

    2016-01-01

    PbS/polyvinyl alcohol (PbS/PVA) nanocomposites have been grown by a chemical bath deposition process at various growth temperatures (60–100 °C). Transmission electron microscopy (TEM) study revealed the formation of PbS nanoparticles of diameter 6–20 nm encapsulated in PVA matrix. Optical band gap of the nanocomposite films have been found to decrease (1.45 eV–0.67 eV) with increase in growth temperature from 60 °C to 100 °C. The impedance measurements have been carried out by depositing the PbS/PVA films on indium tin oxide (ITO) coated flexible polyethylene terephthalate (PET) substrates. The room temperature dielectric permittivity and ac conductivity measurements have been carried out for ITO/PbS/PVA/Al devices deposited at various growth temperatures. The nanocomposite films demonstrate superior dielectric permittivity compare to pure PVA polymer. The flexibility studies of ITO/PbS/PVA/Al devices have been performed at different bending angles. - Highlights: • PbS nanoparticles of diameter 6–20 nm were grown in polyvinyl (PVA) matrix. • Optical band gap of nanocomposite films was varied from 1.45–0.67 eV. • The nanocomposite thin films demonstrated superior dielectric permittivity. • Flexibility study of thin film devices was performed at various bending angles.

  1. Infrared characterization of strontium titanate thin films

    International Nuclear Information System (INIS)

    Almeida, B.G.; Pietka, A.; Mendes, J.A.

    2004-01-01

    Strontium titanate thin films have been prepared at different oxygen pressures with various post-deposition annealing treatments. The films were deposited by pulsed laser ablation at room temperature on Si(0 0 1) substrates with a silica buffer layer. Infrared reflectance measurements were performed in order to determine relevant film parameters such as layer thicknesses and chemical composition. The infrared reflectance spectra were fitted by using adequate dielectric function forms for each layer. The fitting procedure provided the extraction of the dielectric functions of the strontium titanate film, the silica layer and the substrate. The as-deposited films are found to be amorphous, and their infrared spectra present peaks corresponding to modes with high damping constants. As the annealing time and temperature increases the strontium titanate layer becomes more ordered so that it can be described by its SrTiO 3 bulk mode parameters. Also, the silica layer grows along with the ordering of the strontium titanate film, due to oxidation during annealing

  2. Nonlinear optical parameters of nanocrystalline AZO thin film measured at different substrate temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Jilani, Asim, E-mail: asim.jilane@gmail.com [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Abdel-wahab, M.Sh [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Materials Science and Nanotechnology Department, Faculty of Postgraduate Studies for Advanced Sciences, Beni -Suef University, Beni-Suef (Egypt); Al-ghamdi, Attieh A. [Centre of Nanotechnology, King Abdulaziz University, Jeddah (Saudi Arabia); Dahlan, Ammar sadik [Department of architecture, faculty of environmental design, King Abdulaziz University, Jeddah (Saudi Arabia); Yahia, I.S. [Department of Physics, Faculty of Science, King Khalid University, P.O. Box 9004, Abha (Saudi Arabia); Nano-Science & Semiconductor Labs, Department of Physics, Faculty of Education, Ain Shams University, Roxy, 11757 Cairo (Egypt)

    2016-01-15

    The 2.2 wt% of aluminum (Al)-doped zinc oxide (AZO) transparent and preferential c-axis oriented thin films were prepared by using radio frequency (DC/RF) magnetron sputtering at different substrate temperature ranging from room temperature to 200 °C. For structural analysis, X-ray Diffraction (XRD) and Atomic Force Electron Microscope (AFM) was used for morphological studies. The optical parameters such as, optical energy gap, refractive index, extinction coefficient, dielectric loss, tangent loss, first and third order nonlinear optical properties of transparent films were investigated. High transmittance above 90% and highly homogeneous surface were observed in all samples. The substrate temperature plays an important role to get the best transparent conductive oxide thin films. The substrate temperature at 150 °C showed the growth of highly transparent AZO thin film. Energy gap increased with the increased in substrate temperature of Al doped thin films. Dielectric constant and loss were found to be photon energy dependent with substrate temperature. The change in substrate temperature of Al doped thin films also affect the non-liner optical properties of thin films. The value of χ{sup (3)} was found to be changed with the grain size of the thin films that directly affected by the substrate temperature of the pure and Al doped ZnO thin films.

  3. Magneto-optical properties of BiFeO3 thin films using surface plasmon resonance technique

    International Nuclear Information System (INIS)

    Paliwal, Ayushi; Sharma, Anjali; Tomar, Monika; Gupta, Vinay

    2014-01-01

    Indigeneously assembled surface plasmon resonance (SPR) set up has been exploited to study the magnetic field dependent optical properties of BiFeO 3 thin films. BiFeO 3 thin films have been deposited onto gold (Au) coated glass prism by using pulsed laser deposition technique. The surface plasmon modes in prism/Au/BiFeO 3 /air structure have been excited in Kretschmann configuration at the interface of Au/BiFeO 3 thin films. The SPR reflectance curves obtained for prism/Au/BiFeO 3 /air structure were utilized to investigate the optical properties of BiFeO 3 thin films at optical frequency (λ=633 nm) as a function of applied magnetic field. SPR curves shows a continuous shift towards lower angles with increasing applied magnetic field, which indicate the promising application of ferromagnetic BiFeO 3 film as a magnetic field sensor. Complex dielectric constant of deposited BiFeO 3 film was determined by fitting the experimental SPR data with Fresnel's equations. The variation of complex dielectric constant and refractive index of BiFeO 3 film was studied with increase in magnetic field, and the sensitivity of magnetic field sensor was found to be about 0.52 RIU/T

  4. Poly(vinyl acetate)/clay nanocomposite materials for organic thin film transistor application.

    Science.gov (United States)

    Park, B J; Sung, J H; Park, J H; Choi, J S; Choi, H J

    2008-05-01

    Nanocomposite materials of poly(vinyl acetate) (PVAc) and organoclay were fabricated, in order to be utilized as dielectric materials of the organic thin film transistor (OTFT). Spin coating condition of the nanocomposite solution was examined considering shear viscosity of the composite materials dissolved in chloroform. Intercalated structure of the PVAc/clay nanocomposites was characterized using both wide-angle X-ray diffraction and TEM. Fracture morphology of the composite film on silicon wafer was also observed by SEM. Dielectric constant (4.15) of the nanocomposite materials shows that the PVAc/clay nanocomposites are applicable for the gate dielectric materials.

  5. Low-voltage bendable pentacene thin-film transistor with stainless steel substrate and polystyrene-coated hafnium silicate dielectric.

    Science.gov (United States)

    Yun, Dong-Jin; Lee, Seunghyup; Yong, Kijung; Rhee, Shi-Woo

    2012-04-01

    The hafnium silicate and aluminum oxide high-k dielectrics were deposited on stainless steel substrate using atomic layer deposition process and octadecyltrichlorosilane (OTS) and polystyrene (PS) were treated improve crystallinity of pentacene grown on them. Besides, the effects of the pentacene deposition condition on the morphologies, crystallinities and electrical properties of pentacene were characterized. Therefore, the surface treatment condition on dielectric and pentacene deposition conditions were optimized. The pentacene grown on polystyrene coated high-k dielectric at low deposition rate and temperature (0.2-0.3 Å/s and R.T.) showed the largest grain size (0.8-1.0 μm) and highest crystallinity among pentacenes deposited various deposition conditions, and the pentacene TFT with polystyrene coated high-k dielectric showed excellent device-performance. To decrease threshold voltage of pentacene TFT, the polystyrene-thickness on high-k dielectric was controlled using different concentration of polystyrene solution. As the polystyrene-thickness on hafnium silicate decreases, the dielectric constant of polystyrene/hafnium silicate increases, while the crystallinity of pentacene grown on polystyrene/hafnium silicate did not change. Using low-thickness polystyrene coated hafnium silicate dielectric, the high-performance and low voltage operating (pentacene thin film transistor (μ: ~2 cm(2)/(V s), on/off ratio, >1 × 10(4)) and complementary inverter (DC gains, ~20) could be fabricated.

  6. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S. [Department of Electrical and Computer Engineering, University of Waterloo, 200 University Avenue West, Waterloo, Ontario N2L 3G1 (Canada)

    2015-07-13

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer into the IGZO and resulted in higher resistivity films.

  7. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.

    2013-07-18

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  8. High performance In2O3 thin film transistors using chemically derived aluminum oxide dielectric

    KAUST Repository

    Nayak, Pradipta K.; Hedhili, Mohamed N.; Cha, Dong Kyu; Alshareef, Husam N.

    2013-01-01

    We report high performance solution-deposited indium oxide thin film transistors with field-effect mobility of 127 cm2/Vs and an Ion/Ioff ratio of 106. This excellent performance is achieved by controlling the hydroxyl group content in chemically derived aluminum oxide (AlOx) thin-film dielectrics. The AlOx films annealed in the temperature range of 250–350 °C showed higher amount of Al-OH groups compared to the films annealed at 500 °C, and correspondingly higher mobility. It is proposed that the presence of Al-OH groups at the AlOx surface facilitates unintentional Al-doping and efficient oxidation of the indium oxide channel layer, leading to improved device performance.

  9. Surface, interface and thin film characterization of nano-materials using synchrotron radiation

    International Nuclear Information System (INIS)

    Kimura, Shigeru; Kobayashi, Keisuke

    2005-01-01

    From the results of studies in the nanotechnology support project of the Ministry of Education, Culture, Sports, Science and Technology of Japan, several investigations on the surface, interface and thin film characterization of nano-materials are described; (1) the MgB 2 thin film by X-ray diffraction, (2) the magnetism of the Pt thin film on a Co film by X-ray magnetic circular dichroism measurement, (3) the structure and physical properties of oxygen molecules absorbed in a micro hole of the cheleted polymer crystal by the direct observation in X-ray powder diffraction, and (4) the thin film gate insulator with a large dielectric constant, thermally treated HfO 2 /SiO 2 /Si, by X-ray photoelectron spectroscopy. (M.H.)

  10. Optical and electrical properties of chemical bath deposited cobalt sulphide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Govindasamy, Geetha [R& D Centre, Bharathiar University, Coimbatore (India); Murugasen, Priya, E-mail: priyamurugasen15@gmail.com [Department of Physics, Saveetha Engineering, Chennai, Tamil Nadu (India); Sagadevan, Suresh [Department of Physics, AMET University, Chennai, Tamil Nadu (India)

    2017-01-15

    Cobalt sulphide (CoS) thin films were synthesized using the Chemical Bath Deposition (CBD) technique. X-ray diffraction (XRD) analysis was used to study the structure and the crystallite size of CoS thin film. Scanning Electron Microscope (SEM) studies reveal the surface morphology of these films. The optical properties of the CoS thin films were determined using UV-Visible absorption spectrum. The optical band gap of the thin films was found to be 1.6 eV. Optical constants such as the refractive index, the extinction coefficient and the electric susceptibility were determined. The dielectric studies were carried out at different frequencies and at different temperatures for the prepared CoS thin films. In addition, the plasma energy of the valence electron, Penn gap or average energy gap, the Fermi energy and electronic polarizability of the thin films were determined. The AC electrical conductivity measurement was also carried out for the thin films. The activation energy was determined by using DC electrical conductivity measurement. (author)

  11. Atomic Layer Deposited Thin Films for Dielectrics, Semiconductor Passivation, and Solid Oxide Fuel Cells

    Science.gov (United States)

    Xu, Runshen

    Atomic layer deposition (ALD) utilizes sequential precursor gas pulses to deposit one monolayer or sub-monolayer of material per cycle based on its self-limiting surface reaction, which offers advantages, such as precise thickness control, thickness uniformity, and conformality. ALD is a powerful means of fabricating nanoscale features in future nanoelectronics, such as contemporary sub-45 nm metal-oxide-semiconductor field effect transistors, photovoltaic cells, near- and far-infrared detectors, and intermediate temperature solid oxide fuel cells. High dielectric constant, kappa, materials have been recognized to be promising candidates to replace traditional SiO2 and SiON, because they enable good scalability of sub-45 nm MOSFET (metal-oxide-semiconductor field-effect transistor) without inducing additional power consumption and heat dissipation. In addition to high dielectric constant, high-kappa materials must meet a number of other requirements, such as low leakage current, high mobility, good thermal and structure stability with Si to withstand high-temperature source-drain activation annealing. In this thesis, atomic layer deposited Er2O3 doped TiO2 is studied and proposed as a thermally stable amorphous high-kappa dielectric on Si substrate. The stabilization of TiO2 in its amorphous state is found to achieve a high permittivity of 36, a hysteresis voltage of less than 10 mV, and a low leakage current density of 10-8 A/cm-2 at -1 MV/cm. In III-V semiconductors, issues including unsatisfied dangling bonds and native oxides often result in inferior surface quality that yields non-negligible leakage currents and degrades the long-term performance of devices. The traditional means for passivating the surface of III-V semiconductors are based on the use of sulfide solutions; however, that only offers good protection against oxidation for a short-term (i.e., one day). In this work, in order to improve the chemical passivation efficacy of III-V semiconductors

  12. Sub-Micrometer Zeolite Films on Gold-Coated Silicon Wafers with Single-Crystal-Like Dielectric Constant and Elastic Modulus

    Energy Technology Data Exchange (ETDEWEB)

    Tiriolo, Raffaele [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Rangnekar, Neel [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Zhang, Han [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Shete, Meera [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Bai, Peng [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Nelson, John [Characterization Facility, University of Minnesota, 12 Shepherd Labs, 100 Union St. S.E. Minneapolis MN 55455 USA; Karapetrova, Evguenia [Surface Scattering and Microdiffraction, X-ray Science Division, Argonne National Laboratory, 9700 S. Cass Ave, Building 438-D002 Argonne IL 60439 USA; Macosko, Christopher W. [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA; Siepmann, Joern Ilja [Department of Chemistry and Chemistry Theory Center, University of Minnesota, 207 Pleasant St SE Minneapolis MN 55455 USA; Lamanna, Ernesto [Department of Health Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Lavano, Angelo [Department of Medical and Surgical Sciences, University Magna Graecia of Catanzaro, Viale Europa 88100 Catanzaro Italy; Tsapatsis, Michael [Department of Chemical Engineering and Materials Science, University of Minnesota, 421 Washington Ave SE Minneapolis MN 55455 USA

    2017-05-08

    A low-temperature synthesis coupled with mild activation produces zeolite films exhibiting low dielectric constant (low-k) matching the theoretically predicted and experimentally measured values for single crystals. This synthesis and activation method allows for the fabrication of a device consisting of a b-oriented film of the pure-silica zeolite MFI (silicalite-1) supported on a gold-coated silicon wafer. The zeolite seeds are assembled by a manual assembly process and subjected to optimized secondary growth conditions that do not cause corrosion of the gold underlayer, while strongly promoting in-plane growth. The traditional calcination process is replaced with a non-thermal photochemical activation to ensure preservation of an intact gold layer. The dielectric constant (k), obtained through measurement of electrical capacitance in a metal-insulator-metal configuration, highlights the ultralow k approximate to 1.7 of the synthetized films, which is among the lowest values reported for an MFI film. There is large improvement in elastic modulus of the film (E approximate to 54 GPa) over previous reports, potentially allowing for integration into silicon wafer processing technology.

  13. THIN FILMS OF A NEW ORGANIC SINGLE-COMPONENT FERROELECTRIC 2-METHYLBENZIMIDAZOLE

    Directory of Open Access Journals (Sweden)

    E. V. Balashova

    2016-09-01

    Full Text Available Subject of Research.We present results of structural and dielectric study of organic ferroelectric 2-methylbenzimidazole (MBI thin films. Method. The films have been grown on substrates of leuco-sapphire, fused and crystalline silica, neodymium gallate, bismuth germanate, gold, aluminium, platinum. The films have been grown by two different methods: substrate covering by ethanol solution of MBI and subsequent ethanol evaporation; sublimation at the temperature near 375 K under atmospheric pressure. Crystallographic orientation studies have been performed by means of «DRON-3» X-ray diffractometer, block structure of the films has been determined by «LaboPol-3» polarizing microscope. Small-signal dielectric response has been received with the use of «MIT 9216A» digital LCR-meter, while strong-signal dielectric response has been studied by Sawyer-Tower circuit. Main Resuts. We have shown that the films obtained by evaporation are continuous and textured. Obtained film structure depends on the concentration of the solution. Films may consist of blocks that are splitted crystals like spherulite. Spontaneous polarization components in such films may be directed both perpendicularly and in the film plane. We have also obtained structures consisting of single-crystal blocks with spontaneous polarization components being allocated in the film plane. Block sizes vary from a few to hundreds of microns. Films obtained by sublimation are amorphous or dendritic. The dielectric properties of the films obtained by evaporation have been studied. We have shown that the dielectric constant and dielectric loss tangent increase under heating. The dielectric hysteresis loops are observed at the temperature equal to 291-379 K. The remnant polarization increases with temperature for constant amplitude of the external electric field, and achieves 4.5mC/cm2, while the coercive field remains constant. We propose that such behavior is explained by increase of the

  14. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  15. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.; Quevedo-Ló pez, Manuel Angel Quevedo; Alshareef, Husam N.; Gnade, Bruce E.; Ramí rez-Bon, Rafael

    2010-01-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  16. Dielectric properties of PMMA-SiO2 hybrid films

    KAUST Repository

    Morales-Acosta, M. D.

    2010-03-01

    Organic-inorganic hybrid films were synthesized by a modified sol-gel process. PMMASiO2 films were prepared using methylmethacrylate (MMA), tetraethil-orthosilicate (TEOS) as silicon dioxide source, and 3-trimetoxi-silil-propil-methacrylate (TMSPM) as coupling agent. FTIR measurements were performed on the hybrid films to confirm the presence of PMMA-SiO2 bonding. In addition, metal-insulator-metal (MIM) devices were fabricated to study the dielectric constant of the films as function of frequency (1 KHz to 1 MHz). Electrical results show a weak trend of the dielectric constant of the hybrid films with MMA molar ratio. More importantly, the PMMA-SiO2 hybrid films showed a higher dielectric constant than SiO2 and PMMA layers, which is likely due to the presence of additional C-O-C bond. © (2010) Trans Tech Publications.

  17. Growth of large-size-two-dimensional crystalline pentacene grains for high performance organic thin film transistors

    Directory of Open Access Journals (Sweden)

    Chuan Du

    2012-06-01

    Full Text Available New approach is presented for growth of pentacene crystalline thin film with large grain size. Modification of dielectric surfaces using a monolayer of small molecule results in the formation of pentacene thin films with well ordered large crystalline domain structures. This suggests that pentacene molecules may have significantly large diffusion constant on the modified surface. An average hole mobility about 1.52 cm2/Vs of pentacene based organic thin film transistors (OTFTs is achieved with good reproducibility.

  18. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  19. Growth and characterization of MMA/SiO2 hybrid low-k thin films for ...

    Indian Academy of Sciences (India)

    We have successfully incorporated MMA monomer and eliminated the polymerization step to lower the dielectric constant of deposited thin film. The presence of peak of C=C bond in Fourier transform infrared (FTIR) spectra and carbon peak in energy dispersive (EDAX) spectra confirms the incorporation of carbon in the film ...

  20. Optical excitations in small particles and thin films

    International Nuclear Information System (INIS)

    Fuchs, R.

    1980-01-01

    The method of local optics can be used for calculating absorption and scattering of light by a small particle or a thin film. One writes D(r,ω) = epsilon (ω)E(r,ω), and solves Maxwell's equations using standard boundary conditions. A more exact approach is to use a nonlocal dielectric constant epsilon (r-r',ω), which is the same as that of the bulk material, in the expression: D(r,ω) = ∫ epsilon (r-r',ω)E(r',ω)d 3 r'. In such a theory one disregards the modification of the dielectric constant near the surface, and the surface is taken into account approximately by introducing appropriate additional boundary conditions. A still more microscopic or exact method, applicable to a metal, is to write the equation using a dielectric constant epsilon (r,r',ω) which depends on r and r' separately. This dielectric tensor contains information about the modified response near the surface, and includes effects of surface states. Another method, applicable to infrared properties on ionic crystals, relates the optical properties to the normal mode eigenvectors and eigenvalues

  1. Structure and optical properties of nanocrystalline NiO thin film synthesized by sol-gel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Al-Ghamdi, A.A. [King Abdulaziz University, Faculty of Science, Physics Department, Jeddah (Saudi Arabia); Mahmoud, Waleed E., E-mail: w_e_mahmoud@yahoo.co [King Abdulaziz University, Faculty of Science, Physics Department, Jeddah (Saudi Arabia); Suez Canal University, Faculty of Science, Physics Department, Ismailia (Egypt); Yaghmour, S.J.; Al-Marzouki, F.M. [King Abdulaziz University, Faculty of Science, Physics Department, Jeddah (Saudi Arabia)

    2009-11-03

    NiO thin film was prepared by sol-gel spin-coating method. This thin film annealed at T = 600 deg. C. The structure of NiO thin film was investigated by means of X-ray diffraction (XRD) technique and scanning electron microscopy (SEM). The optical properties of the deposited film were characterized from the analysis of the experimentally recorded transmittance and reflectance data in the spectral wavelength range of 300-800 nm. The values of some important parameters of the studied films are determined, such as refractive index (n), extinction coefficient (k), optical absorption coefficient (alpha) and band energy gap (E{sub g}). According to the analysis of dispersion curves, it has been found that the dispersion data obeyed the single oscillator of the Wemple-DiDomenico model, from which the dispersion parameters and high-frequency dielectric constant were determined. In such work, from the transmission spectra, the dielectric constant (epsilon{sub i}nfinity), the third-order optical nonlinear susceptibility chi{sup (3)}, volume energy loss function (VELF) and surface energy loss function (SELF) were determined.

  2. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    International Nuclear Information System (INIS)

    Zhu, X. H.; Defaye, E.; Aied, M.; Guigues, B.; Dubarry, C.

    2009-01-01

    Dielectric properties of Ba 0.7 Sr 0.3 TiO 3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  3. Thermal strain-induced dielectric anisotropy in Ba0.7Sr0.3TiO3 thin films grown on silicon-based substrates

    Science.gov (United States)

    Zhu, X. H.; Guigues, B.; Defaÿ, E.; Dubarry, C.; Aïd, M.

    2009-07-01

    Dielectric properties of Ba0.7Sr0.3TiO3 (BST) thin films, which were prepared on silicon-based substrates by ion beam sputtering and postdeposition annealing method, were systematically investigated in different electrode configurations of metal-insulator-metal and coplanar interdigital capacitors. It was found that a large dielectric anisotropy exists in the films with better in-plane dielectric properties (higher dielectric permittivity and tunability) than those along the out-of-plane direction. The observed anisotropic dielectric responses are explained qualitatively in terms of a thermal strain effect that is related to dissimilar film strains along the in-plane and out-of-plane directions. Another reason for the dielectric anisotropy is due to different influences of the interfacial low-dielectric layer between the BST film and the substrate (metal electrode).

  4. Investigation of Ultraviolet Light Curable Polysilsesquioxane Gate Dielectric Layers for Pentacene Thin Film Transistors.

    Science.gov (United States)

    Shibao, Hideto; Nakahara, Yoshio; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) comprising 3-methacryloxypropyl groups was investigated as an ultraviolet (UV)-light curable gate dielectric-material for pentacene thin film transistors (TFTs). The surface of UV-light cured PSQ films was smoother than that of thermally cured ones, and the pentacene layers deposited on the UV-Iight cured PSQ films consisted of larger grains. However, carrier mobility of the TFTs using the UV-light cured PSQ films was lower than that of the TFTs using the thermally cured ones. It was shown that the cross-linker molecules, which were only added to the UV-light cured PSQ films, worked as a major mobility-limiting factor for the TFTs.

  5. Thickness-dependent piezoelectric behaviour and dielectric properties of lanthanum modified BiFeO3 thin films

    Directory of Open Access Journals (Sweden)

    Glenda Biasotto

    2011-03-01

    Full Text Available Bi0.85La0.15FeO3 (BLFO thin films were deposited on Pt(111/Ti/SiO2 /Si substrates by the soft chemical method. Films with thicknesses ranging from 140 to 280 nm were grown on platinum coated silicon substrates at 500°C for 2 hours. The X-ray diffraction analysis of BLFO films evidenced a hexagonal structure over the entire thickness range investigated. The grain size of the film changes as the number of the layers increases, indicating thickness dependence. It is found that the piezoelectric response is strongly influenced by the film thickness. It is shown that the properties of BiFeO3 thin films, such as lattice parameter, dielectric permittivity, piezoeletric coefficient etc., are functions of misfit strains.

  6. Impedance spectroscopic and dielectric analysis of Ba0.7Sr0.3TiO3 thin films

    International Nuclear Information System (INIS)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Defaÿ, E.; Yangui, B.

    2012-01-01

    Highlights: ► The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba 0.7 Sr 0.3 TiO 3 . ► The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. ► The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. ► The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba 0.7 Sr 0.3 TiO 3 thin film with Pt/BST/Pt/TiO 2 /SiO 2 structure was prepared by ion beam sputtering. The film was post annealed at 700 °C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1–10 5 Hz] at different temperatures [175–350 °C]. The Nyquist plots (Z″ vs . Z′) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z″ and M″ are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M ″ /M ″ max shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  7. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    Science.gov (United States)

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  8. Structural and magneto-dielectric property of (1-x)SBT-xLSMO nanocomposite thin films

    International Nuclear Information System (INIS)

    Maity, Sarmistha; Bhattacharya, D.; Dhar, A.; Ray, S.K.

    2009-01-01

    Full text: In recent years, interest in multiferroic materials has been increasing due to their potential applications. As single-phase multiferroic materials have very low room temperature magnetoelectric coefficient, recent studies have been concentrated on the possibility of attaining a coupling between the two order parameters by designing composites with magnetostrictive and piezoelectric phases via stress mediation. Composite thin films with homogenous matrix, composition spread with terminal layers being ferromagnetic and ferroelectric, layer-by-layer growth, superlattices, as well as epitaxial growth of ferromagnetic and ferroelectric layers on suitable substrates are been currently considered. In the present work, a nanostructured composite thin film of strontium bismuth tantalate (SBT) (ferroelectric layer) and lanthanum strontium manganese oxide (LSMO) (ferromagnetic layer) were fabricated using pulsed laser deposition. Phase separated multiferroic thin films with thickness varying from 50nm to 150nm were deposited from composite target (1-x)SBT-xLSMO with x=0.2, 0.5, 0.8. Grazing angle X-ray diffraction study combined with photo electron spectroscopy with depth profiling was carried out to study the phase separation. Interface quality of the thin film on silicon substrate was studied by Rutherford backscattering spectroscopy. Influence of film thickness and composition (x) on the electrical property of film was examined using impedance spectroscopy. The composite films exhibited ferroelectric as well as ferromagnetic characteristics at room temperature. A small kink in the dielectric spectra near the Neel temperature of LSMO confirmed the magneto-electric effect in the nanocomposite films

  9. Low temperature dielectric relaxation and charged defects in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    A. Artemenko

    2013-04-01

    Full Text Available We report a dielectric relaxation in BaTiO3-based ferroelectric thin films of different composition and with several growth modes: sputtering (with and without magnetron and sol-gel. The relaxation was observed at cryogenic temperatures (T < 100 K for frequencies from 100 Hz up to 10 MHz. This relaxation activation energy is always lower than 200 meV and is very similar to the relaxation that we reported in the parent bulk perovskites. Based on our Electron Paramagnetic Resonance (EPR investigation, we ascribe this dielectric relaxation to the hopping of electrons among Ti3+-V(O charged defects. Being dependent on the growth process and on the amount of oxygen vacancies, this relaxation can be a useful probe of defects in actual integrated capacitors with no need for specific shaping.

  10. Structural and dielectric properties of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films grown by PLD

    Energy Technology Data Exchange (ETDEWEB)

    James, K. K.; Satish, B.; Jayaraj, M. K. [Nanophotonic and Optoelectronic Devices Laboratory, Department of Physics, Cochin University of Science and Technology, Kerala (India)

    2014-01-28

    Ferroelectric thin films of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} (BST) were deposited on Si/SiO{sub 2}/TiO{sub 2}/Pt (PtSi) substrate by pulsed laser deposition (PLD). Crystalline films with perovskite structure were obtained without post-deposition annealing. Phase purity of the deposited films was confirmed by x-ray diffraction. The lowest value of FWHM obtained for the film deposited at oxygen pressure 5.4×10{sup −4} mbar and substrate temperature 600°C, indicates the high crystallinity of the film. The room temperature dielectric constant at 100 kHz was 85. Butterfly loop, which is the characteristic of ferroelectric materials, was obtained in the regime of −4 to +4V. The leakage current density was nearly 9×10{sup −13} Acm{sup −2}.

  11. Structural and dielectric characterization of sputtered Tantalum Titanium Oxide thin films for high temperature capacitor applications

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A., E-mail: rouahi_ahlem@yahoo.fr [Univ. Grenoble Alpes, G2Elab, F-38000 (France); Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Challali, F. [Laboratoire des Sciences des Procédés et des Matériaux (LSPM)-CNRS-UPR3407, Université Paris13, 99 Avenue Jean-Baptiste Clément, 93430, Villetaneuse (France); Dakhlaoui, I. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Vallée, C. [CNRS, LTM, CEA-LETI, F-38000 Grenoble (France); Salimy, S. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Jomni, F.; Yangui, B. [Laboratoire Matériaux Organisation et Propriétés (LMOP), Université de Tunis El Manar, 2092 Tunis (Tunisia); Besland, M.P.; Goullet, A. [Institut des Matériaux Jean Rouxel (IMN) UMR CNRS 6502, Université de Nantes, 2, rue de la Houssinière, B.P. 32229, 44322, Nantes, Cedex 3 (France); Sylvestre, A. [Univ. Grenoble Alpes, G2Elab, F-38000 (France)

    2016-05-01

    In this study, the dielectric properties of metal-oxide-metal capacitors based on Tantalum Titanium Oxide (TiTaO) thin films deposited by reactive magnetron sputtering on aluminum bottom electrode are investigated. The structure of the films was characterized by Atomic Force Microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The dielectric properties of TiTaO thin films were studied by complex impedance spectroscopy over a wide frequency range (10{sup -2} - to 10{sup 5} Hz) and temperatures in -50 °C to 325 °C range. The contributions of different phases, phases’ boundaries and conductivity effect were highlighted by Cole – Cole diagram (ε” versus ε’). Two relaxation processes have been identified in the electric modulus plot. A first relaxation process appears at low temperature with activation energy of 0.37 eV and it is related to the motion of Ti{sup 4+} (Skanavi’s model). A second relaxation process at high temperature is related to Maxwell-Wagner-Sillars relaxation with activation energy of 0.41 eV. - Highlights: • Titanium Tantalum Oxide thin films are grown on Aluminum substrate. • The existence of phases was confirmed by X-ray photoelectron spectroscopy. • Conductivity effect appears in Cole-Cole plot. • At low temperatures, a relaxation phenomenon obeys to Skanavi’s model. • Maxwell-Wagner-Sillars polarization is processed at high temperatures.

  12. Optical Properties of Al- and Sb-Doped CdTe Thin Films

    Directory of Open Access Journals (Sweden)

    A. A. J. Al-Douri

    2010-01-01

    Full Text Available Nondoped and (Al, Sb-doped CdTe thin films with 0.5, 1.5, and 2.5  wt.%, respectively, were deposited by thermal evaporation technique under vacuum onto Corning 7059 glass at substrate temperatures ( of room temperature (RT and 423 K. The optical properties of deposited CdTe films such as band gap, refractive index (n, extinction coefficient (, and dielectric coefficients were investigated as function of Al and Sb wt.% doping, respectively. The results showed that films have direct optical transition. Increasing and the wt.% of both types of dopant, the band gap decrease but the optical is constant as n, and real and imaginary parts of the dielectric coefficient increase.

  13. Magneto-optical properties of BiFeO{sub 3} thin films using surface plasmon resonance technique

    Energy Technology Data Exchange (ETDEWEB)

    Paliwal, Ayushi; Sharma, Anjali [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Tomar, Monika [Physics Department, Miranda House, University of Delhi, Delhi 110007 (India); Gupta, Vinay, E-mail: drguptavinay@gmail.com [Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India)

    2014-09-01

    Indigeneously assembled surface plasmon resonance (SPR) set up has been exploited to study the magnetic field dependent optical properties of BiFeO{sub 3} thin films. BiFeO{sub 3} thin films have been deposited onto gold (Au) coated glass prism by using pulsed laser deposition technique. The surface plasmon modes in prism/Au/BiFeO{sub 3}/air structure have been excited in Kretschmann configuration at the interface of Au/BiFeO{sub 3} thin films. The SPR reflectance curves obtained for prism/Au/BiFeO{sub 3}/air structure were utilized to investigate the optical properties of BiFeO{sub 3} thin films at optical frequency (λ=633 nm) as a function of applied magnetic field. SPR curves shows a continuous shift towards lower angles with increasing applied magnetic field, which indicate the promising application of ferromagnetic BiFeO{sub 3} film as a magnetic field sensor. Complex dielectric constant of deposited BiFeO{sub 3} film was determined by fitting the experimental SPR data with Fresnel's equations. The variation of complex dielectric constant and refractive index of BiFeO{sub 3} film was studied with increase in magnetic field, and the sensitivity of magnetic field sensor was found to be about 0.52 RIU/T.

  14. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  15. Properties of RF-Sputtered PZT Thin Films with Ti/Pt Electrodes

    Directory of Open Access Journals (Sweden)

    Cui Yan

    2014-01-01

    Full Text Available Effect of annealing temperature and thin film thickness on properties of Pb(Zr0.53Ti0.47O3 (PZT thin film deposited via radiofrequency magnetron sputtering technique onto Pt/Ti/SiO2/Si substrate was investigated. Average grain sizes of the PZT thin film were measured by atomic force microscope; their preferred orientation was studied through X-ray diffraction analysis. Average residual stress in the thin film was estimated according to the optimized Stoney formula, and impedance spectroscopy characterization was performed via an intelligent LCR measuring instrument. Average grain sizes of PZT thin films were 60 nm~90 nm and their average roughness was less than 2 nm. According to X-ray diffraction analysis, 600°C is the optimal annealing temperature to obtain the PZT thin film with better crystallization. Average residual stress showed that thermal mismatch was the decisive factor of residual stress in Pt/Ti/SiO2/Si substrate; the residual stress in PZT thin film decreased as their thickness increased and increased with annealing temperature. The dielectric constant and loss angle tangent were extremely increased with the thickness of PZT thin films. The capacitance of the device can be adjusted according to the thickness of PZT thin films.

  16. Analysis of mobile ionic impurities in polyvinylalcohol thin films by thermal discharge current and dielectric impedance spectroscopy

    Directory of Open Access Journals (Sweden)

    M. Egginger

    2012-12-01

    Full Text Available Polyvinylalcohol (PVA is a water soluble polymer frequently applied in the field of organic electronics for insulating thin film layers. By-products of PVA synthesis are sodium acetate ions which contaminate the polymer material and can impinge on the electronic performance when applied as interlayer dielectrics in thin film transistors. Uncontrollable voltage instabilities and unwanted hysteresis effects are regularly reported with PVA devices. An understanding of these effects require knowledge about the electronic dynamics of the ionic impurities and their influence on the dielectric properties of PVA. Respective data, which are largely unknown, are being presented in this work. Experimental investigations were performed from room temperature to 125°C on drop-cast PVA films of three different quality grades. Data from thermal discharge current (TDC measurements, polarization experiments, and dielectric impedance spectroscopy concurrently show evidence of mobile ionic carriers. Results from TDC measurements indicate the existence of an intrinsic, build-in electric field of pristine PVA films. The field is caused by asymmetric ionic double layer formation at the two different film-interfaces (substrate/PVA and PVA/air. The mobile ions cause strong electrode polarization effects which dominate dielectric impedance spectra. From a quantitative electrode polarization analysis of isothermal impedance spectra temperature dependent values for the concentration, the mobility and conductivity together with characteristic relaxation times of the mobile carriers are given. Also shown are temperature dependent results for the dc-permittivity and the electronic resistivity. The obtained results demonstrate the feasibility to partly remove contaminants from a PVA solution by dialysis cleaning. Such a cleaning procedure reduces the values of ion concentration, conductivity and relaxation frequency.

  17. Effect of dual-dielectric hydrogen-diffusion barrier layers on the performance of low-temperature processed transparent InGaZnO thin-film transistors

    Science.gov (United States)

    Tari, Alireza; Wong, William S.

    2018-02-01

    Dual-dielectric SiOx/SiNx thin-film layers were used as back-channel and gate-dielectric barrier layers for bottom-gate InGaZnO (IGZO) thin-film transistors (TFTs). The concentration profiles of hydrogen, indium, gallium, and zinc oxide were analyzed using secondary-ion mass spectroscopy characterization. By implementing an effective H-diffusion barrier, the hydrogen concentration and the creation of H-induced oxygen deficiency (H-Vo complex) defects during the processing of passivated flexible IGZO TFTs were minimized. A bilayer back-channel passivation layer, consisting of electron-beam deposited SiOx on plasma-enhanced chemical vapor-deposition (PECVD) SiNx films, effectively protected the TFT active region from plasma damage and minimized changes in the chemical composition of the semiconductor layer. A dual-dielectric PECVD SiOx/PECVD SiNx gate-dielectric, using SiOx as a barrier layer, also effectively prevented out-diffusion of hydrogen atoms from the PECVD SiNx-gate dielectric to the IGZO channel layer during the device fabrication.

  18. Coupled Optical Tamm States in a Planar Dielectric Mirror Structure Containing a Thin Metal Film

    International Nuclear Information System (INIS)

    Zhou Hai-Chun; Yang Guang; Lu Pei-Xiang; Wang Kai; Long Hua

    2012-01-01

    The coupling between two optical Tamm states (OTSs) with the same eigenenergy is numerically investigated in a planar dielectric mirror structure containing a thin metal film. The reflectivity map in this structure at normal incidence is obtained by applying the transfer matrix method. Two splitting branches appear in the photonic bandgap region when both adjacent dielectric layers of metal film are properly set. The splitting energy of two branches strongly depends on the thickness of the metal film. According to the electric field distribution in this structure, it is found that the high-energy branch corresponds to the antisymmetric coupling between two OTSs, while the low-energy branch is associated with the symmetric coupling between two OTSs. Moreover, the optical difference frequency of two branches is located in a broad terahertz region. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  19. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  20. Purely hopping conduction in c-axis oriented LiNbO3 thin films

    Science.gov (United States)

    Shandilya, Swati; Tomar, Monika; Sreenivas, K.; Gupta, Vinay

    2009-05-01

    Dielectric constant and ac conductivity of highly c-axis oriented LiNbO3 thin film grown by pulsed laser deposition were studied in a metal-insulator-metal configuration over a wide temperature (200 to 450 K) and frequency (100 Hz to 1 MHz) range. The preferred oriented Al (1%) doped ZnO film with electrical conductivity 1.1×103 Ω-1 cm-1 was deposited for dual purpose: (1) to serve as nucleating center for LiNbO3 crystallites along preferred c-axis growth direction, and (2) to act as a suitable bottom electrode for electrical studies. The room temperature dc conductivity (σdc) of LiNbO3 film was about 5.34×10-10 Ω-1 cm-1 with activation energy ˜0.3 eV, indicating extrinsic conduction. The ac conductivity σac was found to be much higher in comparison to σdc in the low temperature region (300 K), σac shows a weak frequency dependence, whereas dielectric constant exhibits a strong frequency dispersion. The dielectric dispersion data has been discussed in the light of theoretical models based on Debye type mixed conduction and purely hopping conduction. The dominant conduction in c-axis oriented LiNbO3 thin film is attributed to the purely hopping where both σdc and σac arise due to same mechanism.

  1. Effect of yttrium doping on the dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} thin film produced by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saji, Viswanathan S., E-mail: vssaji@chosun.ac.k [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of); Choe, Han Cheol [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of)

    2009-05-29

    Pure and yttrium substituted CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x/} {sub 2} (x = 0, 0.02, 0.1) thin films were prepared on boron doped silica substrate employing chemical solution deposition, spin coating and rapid thermal annealing. The phase and microstructure of the sintered films were examined using X-ray diffraction and scanning electron microscopy. Dielectric properties of the films were measured at room temperature using electrochemical impedance spectroscopy. Highly ordered polycrystalline CCTO thin film with bimodal grain size distribution was achieved at a sintering temperature of 800 {sup o}C. Yttrium doping was found to have beneficial effects on the dielectric properties of CCTO thin film. Dielectric parameters obtained for a CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x} {sub /2} (x = 0.02) film at 1 KHz were k {approx} 2700 and tan {delta} {approx} 0.07.

  2. Size effects on structural and dielectric properties of PZT thin films at compositions around the morpho tropic phase boundary

    International Nuclear Information System (INIS)

    Lima, Elton Carvalho; Araujo, Eudes Borges; Souza Filho, Antonio Gomes de; Bdikin, Igor

    2011-01-01

    Full text: The demand for portability in consumer electronics has motivated the understanding of size effects on ferroelectric thin films. The actual comprehension of these effects in ferroelectrics is unsatisfactory, since the polarization interacts more strongly than other order parameters such as strain and charge. As a result, extrinsic effects are produced if these variables are uncontrolled and problems such as ferroelectric paraelectric phase transition at nanometers scale remains an unsolved issue. In the present work, the effects of thickness and compositional fractions on the structural and dielectric properties of PbZr 1-x Ti x O 3 (PZT) thin films were studied at a composition around the morphotropic phase boundary (x = 0.50). For this purpose, thin films with different thicknesses and different PbO excess were deposited on Si(100) and Pt=T iO 2 =SiO 2 =Si substrates by a chemical method and crystallized in electric furnace at 700 deg C for 1 hour. The effects of substrate, pyrolysis temperature and excess lead addition in the films are reported. For films with 10 mol% PbO in excess, the pyrolysis in the regime of 300 deg C for 30 minutes was observed to yield PZT pyrochlore free thin films deposited on Pt=T iO 2 =SiO 2 =Si substrate. Out this condition, the transformation from amorphous to the pyrochlore metastable phase is kinetically more favorable that a transformation to the perovskite phase, which is thermodynamically stable. Rietveld refinements based on X-ray diffraction results showed that films present a purely tetragonal phase and that this phase does not change when the film thickness decreases. The dielectric permittivity measurements showed a monoclinic → tetragonal phase transition at 198K. Results showed that the dielectric permittivity (ε) increases continuously from 257 to 463, while the thickness of the PZT films increases from 200 to 710 nm. These results suggests that interface pinning centers can be the responsible mechanism by

  3. Effect of annealing temperature on structural and electrical properties of high-κ YbTixOy gate dielectrics for InGaZnO thin film transistors

    International Nuclear Information System (INIS)

    Pan, Tung-Ming; Chen, Fa-Hsyang; Hung, Meng-Ning

    2015-01-01

    This paper describes the effect of annealing temperature on the structural properties and electrical characteristics of high–κ YbTi x O y gate dielectrics for indium–gallium–zinc–oxide (IGZO) thin-film transistors (TFTs). X-ray diffraction, x-ray photoelectron spectroscopy and atomic force microscopy were used to study the structural, chemical and morphological features, respectively, of these dielectric films annealed at 200, 300 and 400 °C. The YbTi x O y IGZO TFT that had been annealed at 400 °C exhibited better electrical characteristics, such as a small threshold voltage of 0.53 V, a large field-effect mobility of 19.1 cm 2 V −1 s −1 , a high I on /I off ratio of 2.8 × 10 7 , and a low subthreshold swing of 176 mV dec. −1 , relative to those of the systems that had been subjected to other annealing conditions. This result suggests that YbTi x O y dielectric possesses a higher dielectric constant as well as lower oxygen vacancies (or defects) in the film. In addition, the instability of YbTi x O y IGZO TFT was studied under positive gate-bias stress and negative gate-bias stress conditions. (paper)

  4. Electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous InGaZnO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Her, Jim-Long [Division of Natural Science, Center for General Education, Chang Gung University, Taoyuan 333, Taiwan (China); Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Liu, Jiang-Hung; Wang, Hong-Jun; Chen, Ching-Hung [Department of Electronics Engineering, Chang Gung University, Taoyuan 333, Taiwan (China); Koyama, Keiichi [Graduate School of Science and Engineering, Kagoshima University, Kagoshima 890-0065 (Japan)

    2014-10-31

    In this article, we studied the structural properties and electrical characteristics of GdTiO{sub 3} gate dielectric for amorphous indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) applications. The a-IGZO TFT device featuring the GdTiO{sub 3} gate dielectric exhibited better electrical characteristics, including a small threshold voltage of 0.14 V, a large field-effect mobility of 32.3 cm{sup 2}/V-s, a high I{sub on}/I{sub off} current ratio of 4.2 × 10{sup 8}, and a low subthreshold swing of 213 mV/decade. Furthermore, the electrical instability of GdTiO{sub 3} a-IGZO TFTs was investigated under both positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS) conditions. The electron charge trapping in the gate dielectric dominates the PGBS degradation, while the oxygen vacancies control the NGBS degradation. - Highlights: • Indium–gallium–zinc oxide (a-IGZO) thin-film transistor (TFT) • Structural and electrical properties of the GdTiO{sub 3} film were studied. • a-IGZO TFT featuring GdTi{sub x}O{sub y} dielectric exhibited better electrical characteristics. • TFT instability investigated under positive and negative gate-bias stress conditions.

  5. Effect of titanium oxide-polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Della Pelle, Andrea M. [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States); Maliakal, Ashok, E-mail: maliakal@lgsinnovations.com [LGS Innovations, 15 Vreeland Rd., Florham Park, NJ 07932 (United States); Sidorenko, Alexander [Department of Chemistry and Biochemistry, University of the Sciences, 600 South 43rd St., Philadelphia, PA 191034 (United States); Thayumanavan, S. [Department of Chemistry, University of Massachusetts Amherst, 710 N. Pleasant St. Amherst, MA 01003 (United States)

    2012-07-31

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide-polystyrene core-shell nanocomposite (TiO{sub 2}-PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO{sub 2}-PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as {alpha}-sexithiophene ({alpha}-6T) (enhancement factor for field effect mobility ranging from 30-100 Multiplication-Sign higher on TiO{sub 2}-PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for {alpha}-sexithiophene ({alpha}-6T) grown by thermal evaporation on TiO{sub 2}-PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO{sub 2}-PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2 Multiplication-Sign ) increase in mobility with increasing TiO{sub 2}-PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation

  6. Effect of titanium oxide–polystyrene nanocomposite dielectrics on morphology and thin film transistor performance for organic and polymeric semiconductors

    International Nuclear Information System (INIS)

    Della Pelle, Andrea M.; Maliakal, Ashok; Sidorenko, Alexander; Thayumanavan, S.

    2012-01-01

    Previous studies have shown that organic thin film transistors with pentacene deposited on gate dielectrics composed of a blend of high K titanium oxide–polystyrene core–shell nanocomposite (TiO 2 –PS) with polystyrene (PS) perform with an order of magnitude increase in saturation mobility for TiO 2 –PS (K = 8) as compared to PS devices (K = 2.5). The current study finds that this performance enhancement can be translated to alternative small single crystal organics such as α-sexithiophene (α-6T) (enhancement factor for field effect mobility ranging from 30-100× higher on TiO 2 –PS/PS blended dielectrics as compared to homogenous PS dielectrics). Interestingly however, in the case of semicrystalline polymers such as (poly-3-hexylthiophene) P3HT, this dramatic enhancement is not observed, possibly due to the difference in processing conditions used to fabricate these devices (film transfer as opposed to thermal evaporation). The morphology for α-sexithiophene (α-6T) grown by thermal evaporation on TiO 2 –PS/PS blended dielectrics parallels that observed in pentacene devices. Smaller grain size is observed for films grown on dielectrics with higher TiO 2 –PS content. In the case of poly(3-hexylthiophene) (P3HT) devices, constructed via film transfer, morphological differences exist for the P3HT on different substrates, as discerned by atomic force microscopy studies. However, these devices only exhibit a modest (2×) increase in mobility with increasing TiO 2 –PS content in the films. After annealing of the transferred P3HT thin film transistor (TFT) devices, no appreciable enhancement in mobility is observed across the different blended dielectrics. Overall the results support the hypothesis that nucleation rate is responsible for changes in film morphology and device performance in thermally evaporated small molecule crystalline organic semiconductor TFTs. The increased nucleation rate produces organic polycrystalline films with small grain

  7. Combined effect of preferential orientation and Zr/Ti atomic ratio on electrical properties of Pb(ZrxTi1-x)O3 thin films

    International Nuclear Information System (INIS)

    Gong Wen; Li Jingfeng; Chu Xiangcheng; Gui Zhilun; Li Longtu

    2004-01-01

    Lead zirconate titanate [Pb(Zr x Ti 1-x )O 3 , PZT] thin films with various compositions, whose Zr/Ti ratio were varied as 40/60, 48/52, 47/53, and 60/40, were deposited on Pt(111)/Ti/SiO 2 /Si substrates by sol-gel method. A seeding layer was introduced between the PZT layer and the bottom electrode to control the texture of overlaid PZT thin films. A single perovskite PZT thin film with absolute (100) texture was obtained, when lead oxide was used as the seeding crystal, whereas titanium dioxide resulted in highly [111]-oriented PZT films. The dielectric and ferroelectric properties of PZT films with different preferential orientations were evaluated systemically as a function of composition. The maximums of relative dielectric constant were obtained in the morphotropic phase boundary region for both (100)- and (111)-textured PZT films. The ferroelectric properties also greatly depend on films' texture and composition. The intrinsic and extrinsic contributions to dielectric and ferroelectric properties were discussed

  8. Dielectric properties investigation of Cu2O/ZnO heterojunction thin films by electrodeposition

    International Nuclear Information System (INIS)

    Li, Qiang; Xu, Mengmeng; Fan, Huiqing; Wang, Hairong; Peng, Biaolin; Long, Changbai; Zhai, Yuchun

    2013-01-01

    Highlights: ► Bottom-up self-assembly Cu 2 O/ZnO heterojunction was fabricated by electrochemical deposition on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET). ► The dielectric response of Cu 2 O/ZnO heterojunction thin films had been investigated. ► The universal dielectric response was used to investigate the hopping behavior in Cu 2 O/ZnO heterojunction. -- Abstract: Structures and morphologies of the Cu 2 O/ZnO heterojunction electrodeposited on indium tin oxide (ITO) flexible substrate (polyethylene terephthalate-PET) were investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), high resolution transmission electron microscopy (HRTEM), respectively. The dielectric response of bottom-up self-assembly Cu 2 O/ZnO heterojunction was investigated. The low frequency dielectric dispersion (LFDD) was observed. The universal dielectric response (UDR) was used to investigate the frequency dependence of dielectric response for Cu 2 O/ZnO heterojunction, which was attributed to the long range and the short range hopping charge carriers at the low frequency and the high frequency region, respectively

  9. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  10. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  11. Properties of SrBi2Nb2O9 thin films on Pt-coated Si

    International Nuclear Information System (INIS)

    Avila, R.E.; Navarro, P.O.; Martin, V. del C.; Fernandez, L.M.; Sylvester, G.; Retuert, P.J.; Gramsch, E.

    2002-01-01

    SrBi 2 Nb 2 O 9 powders and thin films, on Pt-coated Si, were synthesised by the sol-gel method. Three-layer thin films appear homogeneous down to the 100 nm scale, polycrystalline in the tetragonal Aurivillius phase, at a average thickness of 40 nm per layer. The index of refraction at the center of the visible range increases with the sintering temperature from roughly 2.1 (at 400 Centigrade) to 2.5 (at 700 Centigrade). The expression n 2 -1 increases linearly with the relative density of the thin films, in similar fashion as previous studies in PbTiO 3 thin films. The dielectric constant in quasistatic and high frequency (1 MHz) modes, is between 160 and 230. (Author)

  12. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  13. Relationships among surface processing at the nanometer scale, nanostructure and optical properties of thin oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria

    2004-05-01

    Spectroscopic ellipsometry is used to study the optical properties of nanostructured semiconductor oxide thin films. Various examples of models for the dielectric function, based on Lorentzian oscillators combined with the Drude model, are given based on the band structure of the analyzed oxide. With this approach, the optical properties of thin films are determined independent of the dielectric functions of the corresponding bulk materials, and correlation between the optical properties and nanostructure of thin films is investigated. In particular, in order to discuss the dependence of optical constants on grain size, CeO{sub 2} nanostructured films are considered and parameterized by two-Lorentzian oscillators or two-Tauc-Lorentz model depending on the nanostructure and oxygen deficiency. The correlation among anisotropy, crystalline fraction and optical properties parameterized by a four-Lorentz oscillator model is discussed for nanocrystalline V{sub 2}O{sub 5} thin films. Indium tin oxide thin films are discussed as an example of the presence of graded optical properties related to interfacial reactivity activated by processing conditions. Finally, the example of ZnO shows the potential of ellipsometry in discerning crystal and epitaxial film polarity through the analysis of spectra and the detection of surface reactivity of the two polar faces, i.e. Zn-polarity and O-polarity.

  14. Piezoelectric characterization of Pb(Zr,Ti)O3 thin films deposited on metal foil substrates by dip coating

    Science.gov (United States)

    Hida, Hirotaka; Hamamura, Tomohiro; Nishi, Takahito; Tan, Goon; Umegaki, Toshihito; Kanno, Isaku

    2017-10-01

    We fabricated the piezoelectric bimorphs composed of Pb(Zr,Ti)O3 (PZT) thin films on metal foil substrates. To efficiently inexpensively manufacture piezoelectric bimorphs with high flexibility, 1.2-µm-thick PZT thin films were directly deposited on both surfaces of 10- and 20-µm-thick bare stainless-steel (SS) foil substrates by dip coating with a sol-gel solution. We confirmed that the PZT thin films deposited on the SS foil substrates at 500 °C or above have polycrystalline perovskite structures and the measured relative dielectric constant and dielectric loss were 323-420 and 0.12-0.17, respectively. The PZT bimorphs were demonstrated by comparing the displacements of the cantilever specimens driven by single- and double-side PZT thin films on the SS foil substrates under the same applied voltage. We characterized the piezoelectric properties of the PZT bimorphs and the calculated their piezoelectric coefficient |e 31,f| to be 0.3-0.7 C/m2.

  15. SEMICONDUCTOR DEVICES: Structural and electrical characteristics of lanthanum oxide gate dielectric film on GaAs pHEMT technology

    Science.gov (United States)

    Chia-Song, Wu; Hsing-Chung, Liu

    2009-11-01

    This paper investigates the feasibility of using a lanthanum oxide thin film (La2O3) with a high dielectric constant as a gate dielectric on GaAs pHEMTs to reduce gate leakage current and improve the gate to drain breakdown voltage relative to the conventional GaAs pHEMT. An E/D mode pHEMT in a single chip was realized by selecting the appropriate La2O3 thickness. The thin La2O3 film was characterized: its chemical composition and crystalline structure were determined by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. La2O3 exhibited good thermal stability after post-deposition annealing at 200, 400 and 600 °C because of its high binding-energy (835.6 eV). Experimental results clearly demonstrated that the La2O3 thin film was thermally stable. The DC and RF characteristics of Pt/La2O3/Ti/Au gate and conventional Pt/Ti/Au gate pHEMTs were examined. The measurements indicated that the transistor with the Pt/La2O3/Ti/Au gate had a higher breakdown voltage and lower gate leakage current. Accordingly, the La2O3 thin film is a potential high-k material for use as a gate dielectric to improve electrical performance and the thermal effect in high-power applications.

  16. Thin films for precision optics

    International Nuclear Information System (INIS)

    Araujo, J.F.; Maurici, N.; Castro, J.C. de

    1983-01-01

    The technology of producing dielectric and/or metallic thin films for high precision optical components is discussed. Computer programs were developed in order to calculate and register, graphically, reflectance and transmittance spectra of multi-layer films. The technology of vacuum evaporation of several materials was implemented in our thin-films laboratory; various films for optics were then developed. The possibility of first calculate film characteristics and then produce the film is of great advantage since it reduces the time required to produce a new type of film and also reduces the cost of the project. (C.L.B.) [pt

  17. Electrical Properties of Photodiode Ba0.25Sr0.75TiO3 (BST Thin Film Doped with Ferric Oxide on p-type Si (100 Substrate using Chemical Solution Deposition Method

    Directory of Open Access Journals (Sweden)

    Irzaman

    2011-12-01

    Full Text Available In this paper we have grown pure Ba0.25Sr0.75TiO3 (BST and BST doped by Ferric Oxide Fe2O3 (BFST with doping variations of 5%, 10%, and 15% above type-p Silicon (100 substrate using the chemical solution deposition (CSD method with spin coating technique at rotation speed of 3000 rpm, for 30 seconds. BST thin film are made with a concentration of 1 M 2-methoxyethanol and annealing temperature of 850OC for the Si (100 substrate. Characterization of the thin film is performed for the electrical properties such as the current-voltage (I-V curve using Keithley model 2400 as well as dielectric constant, time constant, pyroelectric characteristics, and depth measurement. The results show that the thin film depth increases if the concentration of the Ferric Oxide doping increases. The I-V characterization shows that the BST and BFST thin film has photodiode properties. The dielectric constant increases with the addition of doping. The maximum dielectric constant value is obtained for 15 % doping concentration namely 83.1 for pure BST and 6.89, 11.1, 41.63 and 83.1, respectively for the Ferric Oxide doping based BST with concentration of 5%, 10%, and 15%. XRD spectra of 15 % of ferric oxide doped BST thin film tetragonal phase, we carried out the lattice constant were a = b = 4.203 Å; c = 4.214 Å; c/a ratio = 1.003

  18. Highly polarized single-c-domain single-crystal Pb(Mn,Nb)O(3)-PZT thin films.

    Science.gov (United States)

    Wasa, Kiyotaka; Adachi, Hideaki; Nishida, Ken; Yamamoto, Takashi; Matsushima, Tomoaki; Kanno, Isaku; Kotera, Hidetoshi

    2012-01-01

    In-plane unstrained single-c-domain/single-crystal thin films of PZT-based ternary ferroelectric perovskite, ξPb(Mn,Nb)O3-(1 - ξ)PZT, were grown on SrRuO(3)/Pt/MgO substrates using magnetron sputtering followed by quenching. The sputtered unstrained thin films exhibit unique ferroelectric properties: high coercive field, Ec > 180 kV/cm, large remanent polarization, P(r) = 100 μC/cm(2), small relative dielectric constants, ε* = 100 to 150, high Curie temperature, Tc = ~600 °C, and bulk-like large transverse piezoelectric constants, e31,f = -12.0 C/m(2) for PZT(48/52) at ξ = 0.06. The unstrained thin films are an ideal structure to extract the bulk ferroelectric properties. Their micro-structures and ferroelectric properties are discussed in relation to the potential applications for piezoelectric MEMS. © 2012 IEEE

  19. Structure disorder degree of polysilicon thin films grown by different processing: Constant C from Raman spectroscopy

    International Nuclear Information System (INIS)

    Wang, Quan; Zhang, Yanmin; Hu, Ran; Ren, Naifei; Ge, Daohan

    2013-01-01

    Flat, low-stress, boron-doped polysilicon thin films were prepared on single crystalline silicon substrates by low pressure chemical vapor deposition. It was found that the polysilicon films with different deposition processing have different microstructure properties. The confinement effect, tensile stresses, defects, and the Fano effect all have a great influence on the line shape of Raman scattering peak. But the effect results are different. The microstructure and the surface layer are two important mechanisms dominating the internal stress in three types of polysilicon thin films. For low-stress polysilicon thin film, the tensile stresses are mainly due to the change of microstructure after thermal annealing. But the tensile stresses in flat polysilicon thin film are induced by the silicon carbide layer at surface. After the thin film doped with boron atoms, the phenomenon of the tensile stresses increasing can be explained by the change of microstructure and the increase in the content of silicon carbide. We also investigated the disorder degree states for three polysilicon thin films by analyzing a constant C. It was found that the disorder degree of low-stress polysilicon thin film larger than that of flat and boron-doped polysilicon thin films due to the phase transformation after annealing. After the flat polysilicon thin film doped with boron atoms, there is no obvious change in the disorder degree and the disorder degree in some regions even decreases

  20. Structure disorder degree of polysilicon thin films grown by different processing: Constant C from Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Quan, E-mail: wangq@mail.ujs.edu.cn [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Zhang, Yanmin; Hu, Ran; Ren, Naifei [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); Ge, Daohan [School of mechanical engineering, Jiangsu University, Zhenjiang 212013 (China); State Key Laboratory of Transducer Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2013-11-14

    Flat, low-stress, boron-doped polysilicon thin films were prepared on single crystalline silicon substrates by low pressure chemical vapor deposition. It was found that the polysilicon films with different deposition processing have different microstructure properties. The confinement effect, tensile stresses, defects, and the Fano effect all have a great influence on the line shape of Raman scattering peak. But the effect results are different. The microstructure and the surface layer are two important mechanisms dominating the internal stress in three types of polysilicon thin films. For low-stress polysilicon thin film, the tensile stresses are mainly due to the change of microstructure after thermal annealing. But the tensile stresses in flat polysilicon thin film are induced by the silicon carbide layer at surface. After the thin film doped with boron atoms, the phenomenon of the tensile stresses increasing can be explained by the change of microstructure and the increase in the content of silicon carbide. We also investigated the disorder degree states for three polysilicon thin films by analyzing a constant C. It was found that the disorder degree of low-stress polysilicon thin film larger than that of flat and boron-doped polysilicon thin films due to the phase transformation after annealing. After the flat polysilicon thin film doped with boron atoms, there is no obvious change in the disorder degree and the disorder degree in some regions even decreases.

  1. Characterization of thin films with synchrotron radiation in SPring-8

    International Nuclear Information System (INIS)

    Komiya, Satoshi

    2005-01-01

    Many studies about thin films by using synchrotron radiation in SPring-8 were reviewed. Structural analyses and assessment of thin films used for electronics, and also assessment of insulating films for the gate used in LSI were carried out. Film thickness, unevenness, and density of SiO 2 films in order of nanomer thickness were determined by interference fringes of x-ray reflection curves. The interface structure of (SiO 2 /Si) films was studied by x-ray crystal truncation rod scattering, and the correlation between leakage character depending on nitrogen concentration and interface structure was clarified on SiON film. The oxygen concentration in HfO films in nanometer thickness was determined by x-ray fluorescence analysis, and the interface reaction for HfO 2 /SiO 2 was clearly observed by electron spectroscopy. The structure of amorphous thin films with large dielectric constant was analyzed by x-ray absorption fine structure (XAFS) spectrum. Devices fabricated from multi-layer films showing giant magnetic resistance were developed for hard disk with a large memory. The character of giant magnetic resistance was governed by multi-layer thin film structure piled up by magnetic and nonmagnetic polycrystalline thin metals. For the multi-layer structure, the concentration distribution of constituent elements was determined to the direction of film thickness by x-ray reflection analysis and grazing incident x-ray fluorescence analysis. In the semiconductor laser source, Ga 1-x In x N, used for DVD, the local structure around In ions was studied by XAFS since constituent instability, especially overpopulation of In element, caused the deterioration of lifetime and light emission of the laser. The lattice constant of the light emission layer in InGaAs was measured by x-ray micro-beams. (author)

  2. Low temperature aluminum nitride thin films for sensory applications

    Energy Technology Data Exchange (ETDEWEB)

    Yarar, E.; Zamponi, C.; Piorra, A.; Quandt, E., E-mail: eq@tf.uni-kiel.de [Institute for Materials Science, Chair for Inorganic Functional Materials, Kiel University, D-24143 Kiel (Germany); Hrkac, V.; Kienle, L. [Institute for Materials Science, Chair for Synthesis and Real Structure, Kiel University, D-24143 Kiel (Germany)

    2016-07-15

    A low-temperature sputter deposition process for the synthesis of aluminum nitride (AlN) thin films that is attractive for applications with a limited temperature budget is presented. Influence of the reactive gas concentration, plasma treatment of the nucleation surface and film thickness on the microstructural, piezoelectric and dielectric properties of AlN is investigated. An improved crystal quality with respect to the increased film thickness was observed; where full width at half maximum (FWHM) of the AlN films decreased from 2.88 ± 0.16° down to 1.25 ± 0.07° and the effective longitudinal piezoelectric coefficient (d{sub 33,f}) increased from 2.30 ± 0.32 pm/V up to 5.57 ± 0.34 pm/V for film thicknesses in the range of 30 nm to 2 μm. Dielectric loss angle (tan δ) decreased from 0.626% ± 0.005% to 0.025% ± 0.011% for the same thickness range. The average relative permittivity (ε{sub r}) was calculated as 10.4 ± 0.05. An almost constant transversal piezoelectric coefficient (|e{sub 31,f}|) of 1.39 ± 0.01 C/m{sup 2} was measured for samples in the range of 0.5 μm to 2 μm. Transmission electron microscopy (TEM) investigations performed on thin (100 nm) and thick (1.6 μm) films revealed an (002) oriented AlN nucleation and growth starting directly from the AlN-Pt interface independent of the film thickness and exhibit comparable quality with the state-of-the-art AlN thin films sputtered at much higher substrate temperatures.

  3. Reactive Coevaporation Synthesis and Characterization of SrTiO3 Thin Films

    Science.gov (United States)

    Yamaguchi, Hiromu; Matsubara, Shogo; Miyasaka, Yoichi

    1991-09-01

    SrTiO3 thin films were prepared by the reactive coevaporation method, where the Ti and Sr metals were evaporated in oxygen ambient with an E-gun and K-cell, respectively. A uniform depth profile in composition was achieved by altering the Ti evaporation rate according to the Sr evaporation rate change. A typical dielectric constant of 170 was measured on films of 75 nm in thickness. The in-situ annealing in oxygen plasma reduced the leakage current.

  4. Formation and dielectric properties of polyelectrolyte multilayers studied by a silicon-on-insulator based thin film resistor.

    Science.gov (United States)

    Neff, Petra A; Wunderlich, Bernhard K; Klitzing, Regine V; Bausch, Andreas R

    2007-03-27

    The formation of polyelectrolyte multilayers (PEMs) is investigated using a silicon-on-insulator based thin film resistor which is sensitive to variations of the surface potential. The buildup of the PEMs at the silicon oxide surface of the device can be observed in real time as defined potential shifts. The influence of polymer charge density is studied using the strong polyanion poly(styrene sulfonate), PSS, combined with the statistical copolymer poly(diallyl-dimethyl-ammoniumchloride-stat-N-methyl-N-vinylacetamide), P(DADMAC-stat-NMVA), at various degrees of charge (DC). The multilayer formation stops after a few deposition steps for a DC below 75%. We show that the threshold of surface charge compensation corresponds to the threshold of multilayer formation. However, no reversion of the preceding surface charge was observed. Screening of polyelectrolyte charges by mobile ions within the polymer film leads to a decrease of the potential shifts with the number of layers deposited. This decrease is much slower for PEMs consisting of P(DADMAC-stat-NMVA) and PSS as compared to PEMs consisting of poly(allylamine-hydrochloride), PAH, and PSS. From this, significant differences in the dielectric constants of the polyelectrolyte films and in the concentration of mobile ions within the films can be derived.

  5. Polyvinylidene fluoride film as a capacitor dielectric

    Science.gov (United States)

    Dematos, H. V.

    1981-01-01

    Thin strips of polyvinylidene fluoride film (PVDF) with vacuum deposited electrodes were made into capacitors by conventional winding and fabrication techniques. These devices were used to identify and evaluate the performance characteristics offered by the PVDF in metallized film capacitors. Variations in capacitor parameters with temperature and frequence were evaluated and compared with other dielectric films. Their impact on capacitor applications is discussed.

  6. Effect of thickness on the structural and optical properties of CuO thin films grown by successive ionic layer adsorption and reaction

    International Nuclear Information System (INIS)

    Akaltun, Yunus

    2015-01-01

    CuO thin films were synthesised on glass substrates at room temperature using successive ionic layer adsorption and reaction (SILAR) method. The effect of film thickness on characteristic parameters such as the structural, morphological and optical properties of the films was investigated. The X-ray diffraction (XRD) and scanning electron microscopy (SEM) studies showed that all of the films exhibited polycrystalline structure with monoclinic phases and covered the glass substrates well. The crystalline and morphology of the films improved with increasing film thickness. The optical band gap decreased from 2.03 to 1.79 eV depending on the film thickness. The refractive index (n), electron effective mass (m_e"⁎/m_o) and static and frequency dielectric constants (ε_o, ε_∞) were determined using the energy band gap values. - Highlights: • CuO thin films were deposited using SILAR method. • The electron effective mass, refractive index, dielectric constant values were calculated. • Characterisation of the films has been performed using XRD, SEM, Raman and optical measurements. • The d values of the planes of with thickness show no variation.

  7. Influence of applied electric field annealing on the microwave properties of (Ba0.5Sr0.5)TiO3 thin films

    Science.gov (United States)

    Cho, Kwang-Hwan; Lee, Chil-Hyoung; Kang, Chong-Yun; Yoon, Seok-Jin; Lee, Young-Pak

    2007-04-01

    The effect of heat treatment in electric field on the structure and dielectric properties at microwave range of rf magnetron sputtering derived (Ba0.5Sr0.5)TiO3 thin films have been studied. It has been demonstrated that postannealing in the proper electric field can increase the dielectric constant and the tunability. The increased out-of-plane lattice constant in the electric-annealed films indicated the formation of small polar regions with tetragonal structure, which are responsible for the increased dielectric constant and tunability. It was proposed that the segregation of Ti3+ ions caused by electric annealing could induce the formation of BaTiO3-like regions, which are ferroelectric at room temperature. And in dielectric loss, as the Ti-O bonding lengths increase, the energy scattering on the ferroelectric mode also increases. So, the value of dielectric loss is slightly increased.

  8. Influence of grain size on structural and optic properties of PbS thin films produced by SILAR method

    International Nuclear Information System (INIS)

    Güneri, E.; Göde, F.; Çevik, S.

    2015-01-01

    In this the paper, we use the successive ion layer adsorption and reaction technique (SILAR) chemical deposition method to fabricate good quality PbS thin films and the effects of grain size on the structural and optical properties of the thin films were determined by varying deposition cases. All of the films obtained in different dipping cycles show cubic rock-salt (NaCl) structure. The preferred orientation changed from the (111) direction to the (200) direction with increasing dipping cycles. Grain size determined from scanning electron microscopy (SEM) increased from 32 nm to 104 nm. Moreover, changing of atomic ratio of the thin films is determined according to the results of energy dispersive X-ray (EDX). The transmission of the thin films was characterized by UV–Vis measurements from 400 nm to 1100 nm. It was determined from the allowed direct graphics that the energy band gaps of the thin films shift from 1.33 eV to 1.92 eV in connection with deposition conditions. The variation in band gap may be attributed to the variation of grain size. Additionally, the refractive index (n), extinction coefficient (k), real (ε 1 ) and imaginary (ε 2 ) dielectric constants varied with increasing immersion cycles. - Highlights: • The effects of grain size on the structural, optical properties of PbS thin films deposited by SILAR were investigated. • The preferred orientation varied from the (111) direction to the (200) direction with changing grain size. • The energy band gaps of the thin films shift from 1.33 eV to 1.92 eV in connection with deposition conditions. • The refractive index, extinction coefficient, real and imaginary dielectric constants varied with increasing dipping cycles

  9. Influence of grain size on structural and optic properties of PbS thin films produced by SILAR method

    Energy Technology Data Exchange (ETDEWEB)

    Güneri, E., E-mail: emineg7@gmail.com [Department of Primary Education, Erciyes University, Kayseri 38039, Turkey. (Turkey); Göde, F.; Çevik, S. [Department of Physics, Mehmet Akif Ersoy University, Burdur 15030, Turkey. (Turkey)

    2015-08-31

    In this the paper, we use the successive ion layer adsorption and reaction technique (SILAR) chemical deposition method to fabricate good quality PbS thin films and the effects of grain size on the structural and optical properties of the thin films were determined by varying deposition cases. All of the films obtained in different dipping cycles show cubic rock-salt (NaCl) structure. The preferred orientation changed from the (111) direction to the (200) direction with increasing dipping cycles. Grain size determined from scanning electron microscopy (SEM) increased from 32 nm to 104 nm. Moreover, changing of atomic ratio of the thin films is determined according to the results of energy dispersive X-ray (EDX). The transmission of the thin films was characterized by UV–Vis measurements from 400 nm to 1100 nm. It was determined from the allowed direct graphics that the energy band gaps of the thin films shift from 1.33 eV to 1.92 eV in connection with deposition conditions. The variation in band gap may be attributed to the variation of grain size. Additionally, the refractive index (n), extinction coefficient (k), real (ε{sub 1}) and imaginary (ε{sub 2}) dielectric constants varied with increasing immersion cycles. - Highlights: • The effects of grain size on the structural, optical properties of PbS thin films deposited by SILAR were investigated. • The preferred orientation varied from the (111) direction to the (200) direction with changing grain size. • The energy band gaps of the thin films shift from 1.33 eV to 1.92 eV in connection with deposition conditions. • The refractive index, extinction coefficient, real and imaginary dielectric constants varied with increasing dipping cycles.

  10. Nanoporous anodic aluminum oxide as a promising material for the electrostatically-controlled thin film interference filter

    International Nuclear Information System (INIS)

    Lo, Pei-Hsuan; Lee, Chih-Chun; Fang, Weileun; Luo, Guo-Lun

    2015-01-01

    This study presents the approach to implement the electrostatically-controlled thin film optical filter by using a nanoporous anodic aluminum oxide (np-AAO) layer as the key suspended micro structure. The bi-stable optical filter operates in the visible spectral range. In this work, the presented bi-stable optical filter has averaged reflectivity of 60%, and the central wavelengths are 580 and 690 nm respectively for on and off states. The presented np-AAO layer offers the following merits for the thin film optical filter: (1) material properties of np-AAO film, such as refractive index, elastic modulus and dielectric constant, can be easily changed by a low temperature pore-widening process, (2) in-use stiction of the suspended np-AAO structure can be reduced by the small contact area of nanoporous textures, (3) driving (pull-in) voltage can be reduced due to a large dielectric constant (ε AAO is 7.05) and small stiffness of np-AAO film and (4) dielectric charging can be reduced by the np-AAO material; thus the offset voltage is small. The study reports the design, fabrication and experimental results of the bi-stable optical filter to demonstrate the advantages of the presented device. The np-AAO material also has the potential for applications of other electrostatic drive micro devices. (paper)

  11. Processing and properties of Pb(Mg(1/3)Nb(2/3))O3--PbTiO3 thin films by pulsed laser deposition

    Science.gov (United States)

    Tantigate, C.; Lee, J.; Safari, A.

    1995-03-01

    The objectives of this study were to prepare in situ Pb(Mg(1/3)Nb(2/3))O3 (PMN) and PMN-PT thin films by pulsed laser deposition and to investigate the electrical features of thin films for possible dynamic random access memory (DRAM) and microactuator applications. The impact of processing parameters such compositions, substrate temperature, and oxygen pressure on perovskite phase formation and dielectric characteristics were reported. It was found that the highest dielectric constant, measured at room temperature and 10 kHz, was attained from the PMN with 99% perovskite.

  12. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    International Nuclear Information System (INIS)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A; Borderon, C; Tacon, S Le; Averty, D; Gundel, H W

    2008-01-01

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi 3.25 La 0.75 Ti 3 O 12 (BLT 0,75 ), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi 3.25 La 0.75 Ti 3 O 12 . After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO 2 /SiO 2 /Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed

  13. Preparation and optical properties of gold-dispersed BaTiO3 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kineri, T; Mori, M [TDK Corp., Tokyo (Japan). R and D Center; Kadono, K; Sakaguchi, T; Miya, M; Wakabayashi, H [Osaka National Research Inst., Osaka (Japan); Tsuchiya, T [Science Univ. of Tokyo, Tokyo (Japan). Faculty of Industrial Science and Technology

    1993-12-01

    Recently, metal or semiconductor-doped glasses were widely studied because of their large resonant third-order nonlinearity. These glasses are utilized in an optical information field as all optical logic devices in the future. The gold-doped glass films or thin layers have a large third-order nonlinear susceptibility [chi] and are prepared by r.f. sputtering method, etc. The optical properties, particularly the refractive index or dielectric constant of the matrix, are very important for the optical nonlinearity of these materials. In this study, gold-dispersed BaTiO3 thin films and gold-dispersed SiO2 thin films are prepared using r.f. magnetron sputtering method, and the optical properties of the films are compared. The [chi] of the films are measured and the effect of the matrix of the films on [chi] is investigated. The headings in the paper are: Introduction, Experimental procedure, Results, Discussion, and Conclusion. 13 refs., 9 figs.

  14. Fabrication of Nonvolatile Memory Effects in High-k Dielectric Thin Films Using Electron Irradiation

    International Nuclear Information System (INIS)

    Park, Chanrock; Cho, Daehee; Kim, Jeongeun; Hwang, Jinha

    2010-01-01

    Electron Irradiation can be applied towards nano-floating gate memories which are recognized as one of the next-generation nonvolatile memory semiconductors. NFGMs can overcome the preexisting limitations encountered in Dynamic Random Access Memories and Flash memories with the excellent advantages, i. e. high-density information storage, high response speed, high compactness, etc. The traditional nano-floating gate memories are fabricated through multi-layered nano structures of the dissimilar materials where the charge-trapping portions are sandwiched into the high-k dielectrics. However, this work reports the unique nonvolatile responses in single-layered high-k dielectric thin films if irradiated with highly accelerated electron beams. The implications of the electron irradiation will be discussed towards high-performance nano-floating gate memories

  15. AC electrical conductivity and dielectric relaxation studies on n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC)

    Science.gov (United States)

    Qashou, Saleem I.; Darwish, A. A. A.; Rashad, M.; Khattari, Z.

    2017-11-01

    Both Alternating current (AC) conductivity and dielectric behavior of n-type organic thin films of N, N‧-Dimethyl-3,4,9,10-perylenedicarboximide (DMPDC) have been investigated. Fourier transformation infrared (FTIR) spectroscopy is used for identifying both powder and film bonds which confirm that there are no observed changes in the bonds between the DMPDC powder and evaporated films. The dependence of AC conductivity on the temperature for DMPDC evaporated films was explained by the correlated barrier hopping (CBH) model. The calculated barrier height using CBH model shows a decreasing behavior with increasing temperature. The mechanism of dielectric relaxation was interpreted on the basis of the modulus of the complex dielectric. The calculated activation energy of the relaxation process was found to be 0.055 eV.

  16. Crystallinity and electrical properties of neodymium-substituted bismuth titanate thin films

    International Nuclear Information System (INIS)

    Chen, Y.-C.; Hsiung, C.-P.; Chen, C.-Y.; Gan, J.-Y.; Sun, Y.-M.; Lin, C.-P.

    2006-01-01

    We report on the properties of Nd-substituted bismuth titanate Bi 4-x Nd x Ti 3 O 12 (BNdT) thin films for ferroelectric non-volatile memory applications. The Nd-substituted bismuth titanate thin films fabricated by modified chemical solution deposition technique showed much improved properties compared to pure bismuth titanate. A pyrochlore free crystalline phase was obtained at a low annealing temperature of 640 deg. C and grain size was found to be considerably increased as the annealing temperature increased. The film properties were found to be strongly dependent on the Nd content and annealing temperatures. The measured dielectric constant of BNdT thin films was in the range 172-130 for Bi 4-x Nd x Ti 3 O 12 with x 0.0-0.75. Ferroelectric properties of Nd-substituted bismuth titanate thin films were significantly improved compared to pure bismuth titanate. For example, the observed 2P r and E c for Bi 3.25 Nd 0.75 Ti 3 O 12 , annealed at 680 deg. C, were 38 μC/cm 2 and 98 kV/cm, respectively. The improved microstructural and ferroelectric properties of BNdT thin films suggest their suitability for high density ferroelectric random access memory applications

  17. Structural and dielectric studies of Co doped MgTiO3 thin films fabricated by RF magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Santhosh Kumar

    2014-06-01

    Full Text Available We report the structural, dielectric and leakage current properties of Co doped MgTiO3 thin films deposited on platinized silicon (Pt/TiO2/SiO2/Si substrates by RF magnetron sputtering. The role of oxygen mixing percentage (OMP on the growth, morphology, electrical and dielectric properties of the thin films has been investigated. A preferred orientation of grains along (110 direction has been observed with increasing the OMP. Such evolution of the textured growth is explained on the basis of the orientation factor analysis followed the Lotgering model. (Mg1-xCoxTiO3 (x = 0.05 thin films exhibits a maximum relative dielectric permittivity of ɛr = 12.20 and low loss (tan δ ∼ 1.2 × 10−3 over a wide range of frequencies for 75% OMP. The role of electric field frequency (f and OMP on the ac-conductivity of (Mg0.95Co0.05TiO3 have been studied. A progressive increase in the activation energy (Ea and relative permittivity ɛr values have been noticed up to 75% of OMP, beyond which the properties starts deteriorate. The I-V characteristics reveals that the leakage current density decreases from 9.93 × 10−9 to 1.14 × 10−9 A/cm2 for OMP 0% to 75%, respectively for an electric field strength of 250 kV/cm. Our experimental results reveal up to that OMP ≥ 50% the leakage current mechanism is driven by the ohmic conduction, below which it is dominated by the schottky emission.

  18. Temperature dependent optical properties of (002) oriented ZnO thin film using surface plasmon resonance

    Science.gov (United States)

    Saha, Shibu; Mehan, Navina; Sreenivas, K.; Gupta, Vinay

    2009-08-01

    Temperature dependent optical properties of c-axis oriented ZnO thin film were investigated using surface plasmon resonance (SPR) technique. SPR data for double layer (prism-Au-ZnO-air) and single layer (prism-Au-air) systems were taken over a temperature range (300-525 K). Dielectric constant at optical frequency and real part of refractive index of the ZnO film shows an increase with temperature. The bandgap of the oriented ZnO film was found to decrease with rise in temperature. The work indicates a promising application of the system as a temperature sensor and highlights an efficient scientific tool to study optical properties of thin film under varying ambient conditions.

  19. Inkjet-printed thin film radio-frequency capacitors based on sol-gel derived alumina dielectric ink

    KAUST Repository

    McKerricher, Garret

    2017-05-03

    There has been significant interest in printing radio frequency passives, however the dissipation factor of printed dielectric materials has limited the quality factor achievable. Al2O3 is one of the best and widely implemented dielectrics for RF passive electronics. The ability to spatially pattern high quality Al2O3 thin films using, for example, inkjet printing would tremendously simplify the incumbent fabrication processes – significantly reducing cost and allowing for the development of large area electronics. To-date, particle based Al2O3 inks have been explored as dielectrics, although several drawbacks including nozzle clogging and grain boundary formation in the films hinder progress. In this work, a particle free Al2O3 ink is developed and demonstrated in RF capacitors. Fluid and jetting properties are explored, along with control of ink spreading and coffee ring suppression. The liquid ink is heated to 400 °C decomposing to smooth Al2O3 films ~120 nm thick, with roughness of <2 nm. Metal-insulator-metal capacitors, show high capacitance density >450 pF/mm2, and quality factors of ~200. The devices have high break down voltages, >25 V, with extremely low leakage currents, <2×10−9 A/cm2 at 1 MV/cm. The capacitors compare well with similar Al2O3 devices fabricated by atomic layer deposition.

  20. A study on the evolution of dielectric function of ZnO thin films with decreasing film thickness

    International Nuclear Information System (INIS)

    Li, X. D.; Chen, T. P.; Liu, P.; Liu, Y.; Liu, Z.; Leong, K. C.

    2014-01-01

    Dielectric function, band gap, and exciton binding energies of ultrathin ZnO films as a function of film thickness have been obtained with spectroscopic ellipsometry. As the film thickness decreases, both real (ε 1 ) and imaginary (ε 2 ) parts of the dielectric function decrease significantly, and ε 2 shows a blue shift. The film thickness dependence of the dielectric function is shown related to the changes in the interband absorption, discrete-exciton absorption, and continuum-exciton absorption, which can be attributed to the quantum confinement effect on both the band gap and exciton binding energies

  1. Chemically synthesis and characterization of MnS thin films by SILAR method

    Science.gov (United States)

    Yıldırım, M. Ali; Yıldırım, Sümeyra Tuna; Cavanmirza, İlke; Ateş, Aytunç

    2016-03-01

    MnS thin films were synthesized on glass substrates using SILAR method. The film thickness effect on structural, morphological, optical and electrical properties of the films was investigated. The X-ray Diffraction (XRD) and Scanning Electron Microscopy (SEM) studies showed that all the films exhibited polycrystalline nature with β-MnS structure and were covered well on glass substrates. The bandgap and resistivity values of the films decreased from 3.39 eV to 2.92 eV and from 11.84 × 106 to 2.21 × 105 Ω-cm as the film thickness increased from 180 to 350 nm, respectively. The refractive index (n) and dielectric constants (ɛo, ɛ∞) values were calculated.

  2. Low operating voltage InGaZnO thin-film transistors based on Al2O3 high-k dielectrics fabricated using pulsed laser deposition

    International Nuclear Information System (INIS)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K.; Lee, W. J.; Shin, B. C.; Cho, C. R.

    2014-01-01

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al 2 O 3 dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al 2 O 3 and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al 2 O 3 gate dielectric exhibits a very low leakage current density of 1.3 x 10 -8 A/cm 2 at 5 V and a high capacitance density of 60.9 nF/cm 2 . The IGZO TFT with a structure of Ni/IGZO/Al 2 O 3 /Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm 2 V -1 s -1 , an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10 7 .

  3. Magnetically tunable dielectric, impedance and magnetoelectric response in MnFe{sub 2}O{sub 4}/(Pb{sub 1−x}Sr{sub x})TiO{sub 3} composites thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bala, Kanchan, E-mail: bala.kanchan1987@gmail.com [Department of Physics, Himachal Pradesh University, Shimla 171005 (India); Kotnala, R.K. [CSIR, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi 110012 (India); Negi, N.S., E-mail: nsn_phy_hpu@yahoo.com [Department of Physics, Himachal Pradesh University, Shimla 171005 (India)

    2017-02-15

    We have synthesized piezomagnetic–piezoelectric composites thin films MnFe{sub 2}O{sub 4}/(Pb{sub 1−x}Sr{sub x})TiO{sub 3}, where x=0.1, 0.2, and 0.3, using the metalorganic deposition (MOD) reaction method. The structural and microstructural analysis using the X-ray diffraction (XRD), AFM, and SEM reveals the presence of homogenous growth of both pervoskite and spinel phases in the composite films. Our results show that all the composites films exhibit good multiferroic as well as considerable magnetoelectric coupling. The impedance (Z′ and Z″) and electrical modulus (M′ and M″) Nyquist plots show distinct electrical responses with the magnetic field. Our analyses suggest that this electrical response arises due to the coexistence of the high resistive phase and the comparatively conductive phase in the MFO/PST composite films. The maximum magnetoelectric coefficient (α) is found to be 4.29 V Oe{sup −1} cm{sup −1} and 2.82 V Oe{sup −1} cm{sup −1} for compositions x=0.1 and 0.2. These values are substantially larger than those reported for bilayer composites thin films in literature and make them interesting for room temperature device applications. - Highlights: • Influence of Sr doping on multiferroic and magnetoelectric properties composites thin films of MnFe{sub 2}O{sub 4} and (Pb, Sr)TiO{sub 3}. • Dielectric constant and dielectric loss with application of magnetic field. • Magnetically tunable AC electrical properties. • Magnetoelectric coupling in MnFe{sub 2}O{sub 4}/(Pb, Sr)TiO{sub 3} composite films by passive method.

  4. Elastic properties of porous low-k dielectric nano-films

    Science.gov (United States)

    Zhou, W.; Bailey, S.; Sooryakumar, R.; King, S.; Xu, G.; Mays, E.; Ege, C.; Bielefeld, J.

    2011-08-01

    Low-k dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric for interconnects in state of the art integrated circuits. In order to further reduce interconnect RC delays, additional reductions in k for these low-k materials are being pursued via the introduction of controlled levels of porosity. The main challenge for such dielectrics is the substantial reduction in elastic properties that accompanies the increased pore volume. We report on Brillouin light scattering measurements used to determine the elastic properties of these films at thicknesses well below 200 nm, which are pertinent to their introduction into present ultralarge scale integrated technology. The observation of longitudinal and transverse standing wave acoustic resonances and their transformation into traveling waves with finite in-plane wave vectors provides for a direct non-destructive measure of the principal elastic constants that characterize the elastic properties of these porous nano-scale films. The mode dispersion further confirms that for porosity levels of up to 25%, the reduction in the dielectric constant does not result in severe degradation in the Young's modulus and Poisson's ratio of the films.

  5. Tunable dielectric properties of Barium Magnesium Niobate (BMN) doped Barium Strontium Titanate (BST) thin films by magnetron sputtering

    Science.gov (United States)

    Alema, Fikadu; Reinholz, Aaron; Pokhodnya, Konstantin

    2013-03-01

    We report on the tunable dielectric properties of Mg and Nb co-doped Ba0.45Sr0.55TiO3 (BST) thin film prepared by the magnetron sputtering using BST target (pure and doped with BaMg0.33Nb0.67O3 (BMN)) on Pt/TiO2/SiO2/Al2O3 4'' wafers at 700 °C under oxygen atmosphere. The electrical measurements are conducted on 2432 metal-ferroelectric-metal capacitors using Pt as the top and bottom electrode. The crystalline structure, microstructure, and surface morphology of the films are analyzed and correlated to the films dielectric properties. The BMN doped and undoped BST films have shown tunabilities of 48% and 52%; and leakage current densities of 2.2x10-6 A/cm2 and 3.7x10-5 A/cm2, respectively at 0.5 MV/cm bias field. The results indicate that the BMN doped film exhibits a lower leakage current with no significant decrease in tunability. Due to similar electronegativity and ionic radii, it was suggested that both Mg2+ (accepter-type) and Nb5+ (donor-type) dopants substitutTi4+ ion in BST. The improvement in the film dielectric losses and leakage current with insignificant loss of tunability is attributed to the adversary effects of Mg2+ and Nb5+ in BST.

  6. Effect of thickness on the structural and optical properties of CuO thin films grown by successive ionic layer adsorption and reaction

    Energy Technology Data Exchange (ETDEWEB)

    Akaltun, Yunus, E-mail: yakaltun@erzincan.edu.tr

    2015-11-02

    CuO thin films were synthesised on glass substrates at room temperature using successive ionic layer adsorption and reaction (SILAR) method. The effect of film thickness on characteristic parameters such as the structural, morphological and optical properties of the films was investigated. The X-ray diffraction (XRD) and scanning electron microscopy (SEM) studies showed that all of the films exhibited polycrystalline structure with monoclinic phases and covered the glass substrates well. The crystalline and morphology of the films improved with increasing film thickness. The optical band gap decreased from 2.03 to 1.79 eV depending on the film thickness. The refractive index (n), electron effective mass (m{sub e}{sup ⁎}/m{sub o}) and static and frequency dielectric constants (ε{sub o}, ε{sub ∞}) were determined using the energy band gap values. - Highlights: • CuO thin films were deposited using SILAR method. • The electron effective mass, refractive index, dielectric constant values were calculated. • Characterisation of the films has been performed using XRD, SEM, Raman and optical measurements. • The d values of the planes of with thickness show no variation.

  7. Characterizations of biodegradable epoxy-coated cellulose nanofibrils (CNF) thin film for flexible microwave applications

    Science.gov (United States)

    Hongyi Mi; Chien-Hao Liu; Tzu-Husan Chang; Jung-Hun Seo; Huilong Zhang; Sang June Cho; Nader Behdad; Zhenqiang Ma; Chunhua Yao; Zhiyong Cai; Shaoqin Gong

    2016-01-01

    Wood pulp cellulose nanofibrils (CNF) thin film is a novel recyclable and biodegradable material. We investigated the microwave dielectric properties of the epoxy coated-CNF thin film for potential broad applications in flexible high speed electronics. The characterizations of dielectric properties were carried out in a frequency range of 1–10 GHz. The dielectric...

  8. Impedance spectroscopic and dielectric analysis of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rouahi, A. [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Kahouli, A., E-mail: kahouli.kader@yahoo.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia); Sylvestre, A., E-mail: alain.sylvestre@grenoble.cnrs.fr [Grenoble Electrical Engineering Laboratory (G2E Lab), CNRS, University of Grenoble (UJF), 25 Rue des Martyrs, BP 166, 38042 Grenoble Cedex 9 (France); Defaye, E. [CEA-LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France); Yangui, B. [Laboratory of Materials, Organization and Properties (LMOP), Campus Universities, El Manar, 2092 Tunis (Tunisia)

    2012-07-15

    Highlights: Black-Right-Pointing-Pointer The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3}. Black-Right-Pointing-Pointer The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. Black-Right-Pointing-Pointer The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. Black-Right-Pointing-Pointer The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba{sub 0.7}Sr{sub 0.3}TiO{sub 3} thin film with Pt/BST/Pt/TiO{sub 2}/SiO{sub 2} structure was prepared by ion beam sputtering. The film was post annealed at 700 Degree-Sign C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1-10{sup 5} Hz] at different temperatures [175-350 Degree-Sign C]. The Nyquist plots (Z Double-Prime vs . Z Prime ) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z Double-Prime and M Double-Prime are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M{sup Double-Prime }/M{sup Double-Prime }{sub max} shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  9. Pentacene-Based Thin Film Transistor with Inkjet-Printed Nanocomposite High-K Dielectrics

    Directory of Open Access Journals (Sweden)

    Chao-Te Liu

    2012-01-01

    Full Text Available The nanocomposite gate insulating film of a pentacene-based thin film transistor was deposited by inkjet printing. In this study, utilizing the pearl miller to crumble the agglomerations and the dispersant to well stabilize the dispersion of nano-TiO2 particles in the polymer matrix of the ink increases the dose concentration for pico-jetting, which could be as the gate dielectric film made by inkjet printing without the photography process. Finally, we realized top contact pentacene-TFTs and successfully accomplished the purpose of directly patternability and increase the performance of the device based on the nanocomposite by inkjet printing. These devices exhibited p-channel TFT characteristics with a high field-effect mobility (a saturation mobility of ̃0.58 cm2 V−1 s−1, a large current ratio (>103 and a low operation voltage (<6 V. Furthermore, we accorded the deposited mechanisms which caused the interface difference between of inkjet printing and spin coating. And we used XRD, SEM, Raman spectroscopy to help us analyze the transfer characteristics of pentacene films and the performance of OTFTs.

  10. The effect of Nb doping on ferroelectric properties of PZT thin films prepared from polymeric precursors

    International Nuclear Information System (INIS)

    Souza, E.C.F.; Simoes, A.Z.; Cilense, M.; Longo, E.; Varela, J.A.

    2004-01-01

    Pure and Nb doped PbZr 0.4 Ti 0.6 O 3 thin films was prepared by the polymeric precursor method and deposited by spin coating on Pt/Ti/SiO 2 /Si (100) substrates and annealed at 700 deg. C. The films are oriented in (1 1 0) and (1 0 0) direction. The electric properties of PZT thin films show strong dependence of the crystallographic orientation. The P-E hysteresis loops for the thin film with composition PbZr 0.39 Ti 0.6 Nb 0.1 O 3 showed good saturation, with values for coercive field (E c ) equal to 60 KV cm -1 and for remanent polarization (P r ) equal to 20 μC cm -2 . The measured dielectric constant (ε) is 1084 for this film. These results show good potential for application in FERAM

  11. Improvement of dielectric properties of BLT thin films deposited by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Besland, M P; Barroy, P R J; Richard-Plouet, M; Tessier, P Y; Brohan, L; Djouadi, M A [Universite de Nantes - Institut des Materiaux Jean Rouxel, UMR CNRS 6502, 2, rue de la Houssiniere BP32229, 44322 Nantes Cedex (France); Borderon, C; Tacon, S Le; Averty, D; Gundel, H W [Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique, UPRES-EA 1770, IREENA, Universite de Nantes, 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex (France)], E-mail: Marie-Paule.Besland@cnrs-imn.fr

    2008-01-15

    Well crystallized BLT thin films were deposited by RF magnetron sputtering using a target of Aurivillius phase Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}(BLT{sub 0,75}), elaborated in our institute. RF sputtering experiments were performed at room temperature with an argon/oxygen mixture, in a pressure range of 20-50 mTorr. Optimisation of the plasma parameters, namely deposition pressure, RF power and oxygen content in the gas phase, allows obtaining BLT films with a chemical composition close to Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12}. After ex-situ annealing under oxygen atmosphere at 650 deg. C, BLT films deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si (multilayer) substrates exhibit well defined rod-like grains morphology. A two step deposition process appeared to be necessary in order to reach satisfying dielectric properties. The effect of the plasma parameters on the chemical composition and electrical properties are presented and discussed.

  12. Properties of SrBi sub 2 Nb sub 2 O sub 9 thin films on Pt-coated Si

    CERN Document Server

    Avila, R E; Martin, V D C; Fernandez, L M; Sylvester, G S; Retuert, P J; Gramsch, E

    2002-01-01

    SrBi sub 2 Nb sub 2 O sub 9 powders and thin films, on Pt-coated Si, were synthesised by the sol-gel method. Three-layer thin films appear homogeneous down to the 100 nm scale, polycrystalline in the tetragonal Aurivillius phase, at a average thickness of 40 nm per layer. The index of refraction at the center of the visible range increases with the sintering temperature from roughly 2.1 (at 400 Centigrade) to 2.5 (at 700 Centigrade). The expression n sup 2 -1 increases linearly with the relative density of the thin films, in similar fashion as previous studies in PbTiO sub 3 thin films. The dielectric constant in quasistatic and high frequency (1 MHz) modes, is between 160 and 230. (Author)

  13. Ellipsometry with phase and azimuth modulation: Investigation of the dielectric constant of thin films of Th and Ag deposited onto Au electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Chao, F; Costa, M

    1981-08-07

    Ellipsometry with phase and azimuth modulation was used to measure the variations with coverage in the dielectric constant epsilonsub(f) = epsilon/sub 1/ - iepsilon/sub 2/ of thin films of thallium and silver deposited onto polycrystalline gold electrodes. In the underpotential deposition (UPD) zone the epsilon/sub 2/ versus theta curves for both systems present maxima at theta approx. equal to 0.5 which are associated with points of inflexion on the epsilon/sub 1/ versus theta curves; these may correspond to a transition between two two-dimensional structures, one of which occurs for theta < 0.5 and the other for theta > 0.5. A change in the direction of the variations in epsilon/sub 1/ versus theta and epsilon/sub 2/ versus theta corresponds to the beginning of nucleation. It takes place at theta approx. equal to 1 for the Tl/sup +/ - Au system and at theta approx. equal to 0.5 for Ag/sup +/ - Au. For silver coverage, the more condensed two-dimensional structure seems to include metallic nuclei. When theta > 1, at the same coverage different values of epsilon/sub 1/ and epsilon/sub 2/ are observed according to the rate of deposition, i.e. according to the size of the crystallites. The limiting values of epsilonsub(f) are in good agreement with the values determined in vacuum and reported in the literature. For wavelengths lambda > 500 nm, the results are interpreted quantitatively through Drude relations assuming that both the surface density N of the free electrons and their relaxation time tau vary with theta. For Tl/sup +/ - Au the variation of N in the UPD zone corresponds to a residual charge q = 0.29 on the thallium adatom (..delta..N = 5.8 x 10/sup 22/ cm/sup -3/ for theta = 1), whereas for Ag/sup +/ - Au the residual charge on the adatom is negligible. For the thallium film the variation in tau implies an increase in the surface diffusivity with theta in the UPD zone and a decrease after the first monolayer. For the silver films the specularity of the

  14. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    OpenAIRE

    Roeckerath, M.; Lopes, J. M. J.; Durgun Özben, E.; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D.G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of < 1 nA/cm(2). Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated ...

  15. Stress effects in ferroelectric perovskite thin-films

    Science.gov (United States)

    Zednik, Ricardo Johann

    The exciting class of ferroelectric materials presents the engineer with an array of unique properties that offer promise in a variety of applications; these applications include infra-red detectors ("night-vision imaging", pyroelectricity), micro-electro-mechanical-systems (MEMS, piezoelectricity), and non-volatile memory (NVM, ferroelectricity). Realizing these modern devices often requires perovskite-based ferroelectric films thinner than 100 nm. Two such technologically important material systems are (Ba,Sr)TiO3 (BST), for tunable dielectric devices employed in wireless communications, and Pb(Zr,Ti)O3 (PZT), for ferroelectric non-volatile memory (FeRAM). In general, the material behavior is strongly influenced by the mechanical boundary conditions imposed by the substrate and surrounding layers and may vary considerably from the known bulk behavior. A better mechanistic understanding of these effects is essential for harnessing the full potential of ferroelectric thin-films and further optimizing existing devices. Both materials share a common crystal structure and similar properties, but face unique challenges due to the design parameters of these different applications. Tunable devices often require very low dielectric loss as well as large dielectric tunability. Present results show that the dielectric response of BST thin-films can either resemble a dipole-relaxor or follow the accepted empirical Universal Relaxation Law (Curie-von Schweidler), depending on temperature. These behaviors in a single ferroelectric thin-film system are often thought to be mutually exclusive. In state-of-the-art high density FeRAM, the ferroelectric polarization is at least as important as the dielectric response. It was found that these properties are significantly affected by moderate biaxial tensile and compressive stresses which reversibly alter the ferroelastic domain populations of PZT at room temperature. The 90-degree domain wall motion observed by high resolution

  16. Contamination aspects in integrating high dielectric constant and ferroelectric materials into CMOS processes

    OpenAIRE

    Boubekeur, Hocine

    2004-01-01

    n memory technology, new materials are being intensively investigated to overcome the integration limits of conventional dielectrics for Giga-bit scale integration, or to be able to produce new types of non-volatile low power memories such as FeRAM. Perovskite type high dielectric constant films for use in Giga-bit scale memories or layered perovskite films for use in non-volatile memories involve materials to semiconductor process flows, which entail a high risk of contamination. The introdu...

  17. Nonlinear dielectric response in ferroelectric thin films

    Directory of Open Access Journals (Sweden)

    Lente, M. H.

    2004-08-01

    Full Text Available Electrical permittivity dependence on electric external bias field was investigated in PZT thin films. The results revealed the existence of two mechanisms contributing to the electrical permittivity. The first one was related to the domain reorientation, which was responsible for a strong no linear dielectric behavior, acting only during the poling process. The second mechanism was associated with the domain wall vibrations, which presented a reasonable linear electrical behavior with the applied bias field, contributing always to the permittivity independently of the poling state of the sample. The results also indicated that the gradual reduction of the permittivity with the increase of the bias field strength may be related to the gradual bending of the domain walls. It is believed that the domain wall bending induces a hardening and/or a thinning of the walls, thus reducing the electrical permittivity. A reinterpretation of the model proposed in the literature to explain the dielectric characteristics of ferroelectric materials at high electric field regime is proposed.

    Se ha estudiado la dependencia de la permitividad eléctrica con un campo bias externo en láminas delgadas de PZT. Los resultados revelaron la existencia de dos mecanismos que contribuyen a la permitividad eléctrica. El primero está relacionado con la reorientación de dominios, actúa sólo durante el proceso de polarización y es responsable de un comportamiento dieléctrico fuertemente no lineal. El segundo mecanismo se asocia a las vibraciones de las paredes de dominio, presentando un comportamiento eléctrico razonablemente lineal con el campo bias aplicado, contribuyendo siempre a la permitividad independientemente del estado de polarización de la muestra. Los resultados indicaron también que la reducción gradual de la permitividad con el aumento de la fuerza del campo bias podría estar relacionada con el “bending” gradual de las paredes de dominio

  18. Self-aligned top-gate InGaZnO thin film transistors using SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Rongsheng; Zhou, Wei; Zhang, Meng; Wong, Man; Kwok, Hoi Sing

    2013-12-02

    Self-aligned top-gate amorphous indium–gallium–zinc oxide (a-IGZO) thin film transistors (TFTs) utilizing SiO{sub 2}/Al{sub 2}O{sub 3} stack thin films as gate dielectric are developed in this paper. Due to high quality of the high-k Al{sub 2}O{sub 3} and good interface between active layer and gate dielectric, the resulting a-IGZO TFT exhibits good electrical performance including field-effect mobility of 9 cm{sup 2}/Vs, threshold voltage of 2.2 V, subthreshold swing of 0.2 V/decade, and on/off current ratio of 1 × 10{sup 7}. With scaling down of the channel length, good characteristics are also obtained with a small shift of the threshold voltage and no degradation of subthreshold swing. - Highlights: • Self-aligned top-gate indium–gallium–zinc oxide thin-film transistor is proposed. • SiO{sub 2}/Al{sub 2}O{sub 3} stack gate dielectric is proposed. • The source/drain areas are hydrogen-doped by CHF{sub 3} plasma. • The devices show good electrical performance and scaling down behavior.

  19. Wet chemical preparation of YVO{sub 4}:Eu thin films as red-emitting phosphor layers for fully transparent flat dielectric discharge lamp

    Energy Technology Data Exchange (ETDEWEB)

    Klausch, A. [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany); Althues, H. [Fraunhofer Institute for Material and Beam Technology Winterbergstr. 28, 01309 Dresden (Germany); Freudenberg, T. [Leibniz Institute for Solid State and Materials Research, Helmholtzstrasse 20, 01069 Dresden (Germany); Kaskel, S., E-mail: Stefan.Kaskel@chemie.tu-dresden.de [Institute for Inorganic Chemistry, Dresden University of Technology, Mommsenstr. 6, 01069 Dresden (Germany)

    2012-04-30

    Highly transparent YVO{sub 4}:Eu thin films were deposited via dip coating of liquid nanoparticle dispersions on glass substrates. Annealing of the nanoparticle layers resulted in restructuring of the material into oriented crystalline films. The crystallinity was confirmed using powder X-ray diffraction. Film thickness was adjusted to 467 nm by multiple deposition. The resulting coatings show > 99% absorbance for wavelength below 300 nm and > 90% transmission in the visible spectral range. Under UV-light excitation a bright red photoluminescence with a quantum efficiency of 20% is observed. A planar, transparent dielectric barrier discharge lamp was constructed using YVO{sub 4}:Eu coated glasses and transparent electrodes made from antimony-doped tin dioxide thin films. - Highlights: Black-Right-Pointing-Pointer Preparation of highly transparent Eu{sup 3+} doped YVO{sub 4} phosphor thin films. Black-Right-Pointing-Pointer Improved crystallinity and optical properties through heat treatment. Black-Right-Pointing-Pointer Red emitting films on glass substrates were combined with antimony tin oxide thin films. Black-Right-Pointing-Pointer Fully transparent, planar gas discharge lamp as prototype for a light emitting window.

  20. Preparation and Characterization of PbO-SrO-Na2O-Nb2O5-SiO2 Glass Ceramics Thin Film for High-Energy Storage Application

    Science.gov (United States)

    Tan, Feihu; Zhang, Qingmeng; Zhao, Hongbin; Wei, Feng; Du, Jun

    2018-03-01

    PbO-SrO-Na2O-Nb2O5-SiO2 (PSNNS) glass ceramic thin films were prepared by pulsed laser deposition technology on heavily doped silicon substrates. The influence of annealing temperatures on microstructures, dielectric properties and energy storage performances of the as-prepared films were investigated in detail. X-ray diffraction studies indicate that Pb2Nb2O7 crystallizes at 800°C and disappears at 900°C, while NaNbO3 and PbNb2O6 are formed at the higher temperature of 900°C. The dielectric properties of the glass ceramics thin films have a strong dependence on the phase assemblages that are developed during heat treatment. The maximum dielectric constant value of 171 was obtained for the film annealed at 800°C, owing to the high electric breakdown field strength, The energy storage densities of the PSNNS films annealed at 800°C were as large as 36.9 J/cm3, These results suggest that PSNNS thin films are promising for energy storage applications.

  1. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  2. Lanthanide-Assisted Deposition of Strongly Electro-optic PZT Thin Films on Silicon: Toward Integrated Active Nanophotonic Devices.

    Science.gov (United States)

    George, J P; Smet, P F; Botterman, J; Bliznuk, V; Woestenborghs, W; Van Thourhout, D; Neyts, K; Beeckman, J

    2015-06-24

    The electro-optical properties of lead zirconate titanate (PZT) thin films depend strongly on the quality and crystallographic orientation of the thin films. We demonstrate a novel method to grow highly textured PZT thin films on silicon using the chemical solution deposition (CSD) process. We report the use of ultrathin (5-15 nm) lanthanide (La, Pr, Nd, Sm) based intermediate layers for obtaining preferentially (100) oriented PZT thin films. X-ray diffraction measurements indicate preferentially oriented intermediate Ln2O2CO3 layers providing an excellent lattice match with the PZT thin films grown on top. The XRD and scanning electron microscopy measurements reveal that the annealed layers are dense, uniform, crack-free and highly oriented (>99.8%) without apparent defects or secondary phases. The EDX and HRTEM characterization confirm that the template layers act as an efficient diffusion barrier and form a sharp interface between the substrate and the PZT. The electrical measurements indicate a dielectric constant of ∼650, low dielectric loss of ∼0.02, coercive field of 70 kV/cm, remnant polarization of 25 μC/cm(2), and large breakdown electric field of 1000 kV/cm. Finally, the effective electro-optic coefficients of the films are estimated with a spectroscopic ellipsometer measurement, considering the electric field induced variations in the phase reflectance ratio. The electro-optic measurements reveal excellent linear effective pockels coefficients of 110 to 240 pm/V, which makes the CSD deposited PZT thin film an ideal candidate for Si-based active integrated nanophotonic devices.

  3. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    International Nuclear Information System (INIS)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-01-01

    The (Ba, Sr) TiO 3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 deg. C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 deg. C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO 3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO 3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 deg. C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 deg. C. The (Ba, Sr) TiO 3 film deposited at higher temperatures (upwards of 400 deg. C) shows preferred orientation, while the film deposited at 330 deg. C with the 10 nm initial layer shows a preferred orientation on a -oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO 3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO 3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO 3 film on the ruthenium electrode at low temperatures of less than 400 deg. C

  4. Low temperature rf sputtering deposition of (Ba, Sr) TiO3 thin film with crystallization enhancement by rf power supplied to the substrate

    Science.gov (United States)

    Yoshimaru, Masaki; Takehiro, Shinobu; Abe, Kazuhide; Onoda, Hiroshi

    2005-05-01

    The (Ba, Sr) TiO3 thin film deposited by radio frequency (rf) sputtering requires a high deposition temperature near 500 °C to realize a high relative dielectric constant over of 300. For example, the film deposited at 330 °C contains an amorphous phase and shows a low relative dielectric constant of less than 100. We found that rf power supplied not only to the (Ba, Sr) TiO3 sputtering target, but also to the substrate during the initial step of film deposition, enhanced the crystallization of the (Ba, Sr) TiO3 film drastically and realized a high dielectric constant of the film even at low deposition temperatures near 300 °C. The 50-nm-thick film with only a 10 nm initial layer deposited with the substrate rf biasing is crystallized completely and shows a high relative dielectric constant of 380 at the deposition temperature of 330 °C. The (Ba, Sr) TiO3 film deposited at higher temperatures (upwards of 400 °C) shows preferred orientation, while the film deposited at 330 °C with the 10 nm initial layer shows a preferred orientation on a -oriented ruthenium electrode. The unit cell of (Ba, Sr) TiO3 (111) plane is similar to that of ruthenium (001) plane. We conclude that the rf power supplied to the substrate causes ion bombardments on the (Ba, Sr) TiO3 film surface, which assists the quasiepitaxial growth of (Ba, Sr) TiO3 film on the ruthenium electrode at low temperatures of less than 400 °C.

  5. Yttrium scandate thin film as alternative high-permittivity dielectric for germanium gate stack formation

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Cimang, E-mail: cimang@adam.t.u-tokyo.ac.jp; Lee, Choong Hyun; Nishimura, Tomonori; Toriumi, Akira [Department of Materials Engineering, The University of Tokyo, 7-3-1 Hongo, Tokyo 113-8656 (Japan); JST, CREST, 7-3-1 Hongo, Tokyo 113-8656 (Japan)

    2015-08-17

    We investigated yttrium scandate (YScO{sub 3}) as an alternative high-permittivity (k) dielectric thin film for Ge gate stack formation. Significant enhancement of k-value is reported in YScO{sub 3} comparing to both of its binary compounds, Y{sub 2}O{sub 3} and Sc{sub 2}O{sub 3}, without any cost of interface properties. It suggests a feasible approach to a design of promising high-k dielectrics for Ge gate stack, namely, the formation of high-k ternary oxide out of two medium-k binary oxides. Aggressive scaling of equivalent oxide thickness (EOT) with promising interface properties is presented by using YScO{sub 3} as high-k dielectric and yttrium-doped GeO{sub 2} (Y-GeO{sub 2}) as interfacial layer, for a demonstration of high-k gate stack on Ge. In addition, we demonstrate Ge n-MOSFET performance showing the peak electron mobility over 1000 cm{sup 2}/V s in sub-nm EOT region by YScO{sub 3}/Y-GeO{sub 2}/Ge gate stack.

  6. Multilayer thin films with compositional PbZr0.52Ti0.48O3/Bi1.5Zn1.0Nb1.5O7 layers for tunable applications

    Science.gov (United States)

    Yu, Shihui; Li, Lingxia; Zhang, Weifeng; Sun, Zheng; Dong, Helei

    2015-01-01

    The dielectric properties and tunability of multilayer thin films with compositional PbZr0.52Ti0.48O3/Bi1.5Zn1.0Nb1.5O7 (PZT/BZN) layers (PPBLs) fabricated by pulsed laser deposition on Pt/TiO2/SiO2/Si substrate have been investigated. Dielectric measurements indicate that the PZT/BZN bilayer thin films exhibit medium dielectric constant of about 490, low loss tangent of 0.017, and superior tunable dielectric properties (tunability = 49.7% at 500 kV/cm) at a PZT/BZN thickness ratio of 3, while the largest figure of merit is obtained as 51.8. The thickness effect is discussed with a series connection model of bilayer capacitors, and the calculated dielectric constant and loss tangent are obtained. Furthermore, five kinds of thin–film samples comprising single bilayers, two, three, four and five PPBLs were also elaborated with the final same thickness. The four PPBLs show the largest dielectric constant of ~538 and tunability of 53.3% at a maximum applied bias field of 500 kV/cm and the lowest loss tangent of ~0.015, while the largest figure of merit is 65.6. The results indicate that four PPBLs are excellent candidates for applications of tunable devices. PMID:25960043

  7. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    Science.gov (United States)

    Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.

    2003-11-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low

  8. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    International Nuclear Information System (INIS)

    Fan, W.; Kabius, B.; Hiller, J.M.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 deg. C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlO x , while the oxide layer at the TiAl/Cu interface is an Al 2 O 3 -rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlO x interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 deg. C followed by a rapid thermal annealing at 700 deg. C. This process significantly reduced the thickness of the TiAlO x layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high

  9. Bias Stability Enhancement in Thin-Film Transistor with a Solution-Processed ZrO2 Dielectric as Gate Insulator

    Directory of Open Access Journals (Sweden)

    Shangxiong Zhou

    2018-05-01

    Full Text Available In this paper, a high-k metal-oxide film (ZrO2 was successfully prepared by a solution-phase method, and whose physical properties were measured by X-ray diffraction (XRD, X-ray reflectivity (XRR and atomic force microscopy (AFM. Furthermore, indium–gallium–zinc oxide thin-film transistors (IGZO-TFTs with high-k ZrO2 dielectric layers were demonstrated, and the electrical performance and bias stability were investigated in detail. By spin-coating 0.3 M precursor six times, a dense ZrO2 film, with smoother surface and fewer defects, was fabricated. The TFT devices with optimal ZrO2 dielectric exhibit a saturation mobility up to 12.7 cm2 V−1 s−1, and an on/off ratio as high as 7.6 × 105. The offset of the threshold voltage was less than 0.6 V under positive and negative bias stress for 3600 s.

  10. Thermally tunable VO2-SiO2 nanocomposite thin-film capacitors

    Science.gov (United States)

    Sun, Yifei; Narayanachari, K. V. L. V.; Wan, Chenghao; Sun, Xing; Wang, Haiyan; Cooley, Kayla A.; Mohney, Suzanne E.; White, Doug; Duwel, Amy; Kats, Mikhail A.; Ramanathan, Shriram

    2018-03-01

    We present a study of co-sputtered VO2-SiO2 nanocomposite dielectric thin-film media possessing continuous temperature tunability of the dielectric constant. The smooth thermal tunability is a result of the insulator-metal transition in the VO2 inclusions dispersed within an insulating matrix. We present a detailed comparison of the dielectric characteristics of this nanocomposite with those of a VO2 control layer and of VO2/SiO2 laminate multilayers of comparable overall thickness. We demonstrated a nanocomposite capacitor that has a thermal capacitance tunability of ˜60% between 25 °C and 100 °C at 1 MHz, with low leakage current. Such thermally tunable capacitors could find potential use in applications such as sensing, thermal cloaks, and phase-change energy storage devices.

  11. The Dielectric Constant of Lubrication Oils

    National Research Council Canada - National Science Library

    Carey, A

    1998-01-01

    The values of the dielectric constant of simple molecules is discussed first, along with the relationship between the dielectric constant and other physical properties such as boiling point, melting...

  12. Study of structural and optical properties of Cd{sub 1-x}Zn{sub x}Se thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, L.A., E-mail: aly_lo2003@yahoo.com [National Center for Radiation Research and Technology, Nasr City, Cairo (Egypt); Zayed, H.A. [University Collage of Women for Art, Science and Education, Ain Shams University, Cairo (Egypt); El-Galil, A.A. Abd [National Center for Radiation Research and Technology, Nasr City, Cairo (Egypt)

    2012-06-01

    Cd{sub 1-x}Zn{sub x}Se (x = 0, 0.5 and 1) thin films have been deposited onto glass substrates using thermal evaporation technique. The lattice constants, grain size, microstrain and dislocation density were studied by using X-ray diffraction. In addition the optical constants were calculated in the wavelength range 400-2500 nm. Transmittance and reflectance were used to calculate the absorption coefficient {alpha} and the optical band gap E{sub g}. The linear relation of ({alpha}h{upsilon}){sup 2} as a function of photon energy h{upsilon} for the thin films illustrated that the films exhibit a direct band gap, which increases with increasing Zn content. This increasing of optical band gap was interpreted in accordance to the increasing in the cohesive energy. Optical constants, such as refractive index n, optical conductivity {sigma}{sub opt}, complex dielectric constant, relaxation time {tau} and dissipation factor tan{delta} were determined. The optical dispersion parameters E{sub 0}, E{sub d} were determined according to Wemple and Di Domenico method. - Highlights: Black-Right-Pointing-Pointer ZnSe thin film has cubic zinc blende structure while CdSe and Cd{sub 0.5}Zn{sub 0.5}Se thin films have hexagonal structure. Black-Right-Pointing-Pointer Grain size of Cd{sub 1-x}Zn{sub x}Se decreases with increasing x (x = 0, 0.5 and 1). Black-Right-Pointing-Pointer Optical band gap increases with increasing x.

  13. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    Science.gov (United States)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  14. Conformal Thin Film Packaging for SiC Sensor Circuits in Harsh Environments

    Science.gov (United States)

    Scardelletti, Maximilian C.; Karnick, David A.; Ponchak, George E.; Zorman, Christian A.

    2011-01-01

    In this investigation sputtered silicon carbide annealed at 300 C for one hour is used as a conformal thin film package. A RF magnetron sputterer was used to deposit 500 nm silicon carbide films on gold metal structures on alumina wafers. To determine the reliability and resistance to immersion in harsh environments, samples were submerged in gold etchant for 24 hours, in BOE for 24 hours, and in an O2 plasma etch for one hour. The adhesion strength of the thin film was measured by a pull test before and after the chemical immersion, which indicated that the film has an adhesion strength better than 10(exp 8) N/m2; this is similar to the adhesion of the gold layer to the alumina wafer. MIM capacitors are used to determine the dielectric constant, which is dependent on the SiC anneal temperature. Finally, to demonstrate that the SiC, conformal, thin film may be used to package RF circuits and sensors, an LC resonator circuit was fabricated and tested with and without the conformal SiC thin film packaging. The results indicate that the SiC coating adds no appreciable degradation to the circuits RF performance. Index Terms Sputter, silicon carbide, MIM capacitors, LC resonators, gold etchants, BOE, O2 plasma

  15. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  16. Optical and structural properties of natural MnSeO{sub 4} mineral thin film

    Energy Technology Data Exchange (ETDEWEB)

    Kariper, Ishak Afsin, E-mail: akariper@gmail.com [Erciyes University, Education Faculty, Kayseri (Turkey)

    2017-05-15

    Manganese selenite (MnSeO{sub 4}) crystalline thin film has been produced with chemical bath deposition on substrates (commercial glass). Properties of the thin film, such as transmittance, absorption, and optical band gap and refraction index have been investigated via UV/VIS Spectrum. The structural properties of orthorhombic form have been observed in XRD. The structural and optical properties of MnSeO{sub 4} thin films, deposited at different pH levels were analyzed. Some properties of the films have been changed with the change of pH level, which has been deeply investigated. The grain size of MnSeO{sub 4} thin film has reached its highest value at pH 9. The refraction index and extinction coefficient of MnSeO{sub 4} thin films were measured to be 1.53, 2.86, 2.07, 1.53 (refraction index) and 0.005, 0.029, 0.014, 0.005 (extinction coefficient) for grain sizes 21, 13, 26, and 5 nm respectively. The band gaps (Eg) of the films were measured to be 2.06, 2.57, 2.04, and 2.76 eV for the grain sizes mentioned above. The value of dielectric constant at pH 10 was calculated as 1.575. (author)

  17. Determination and analysis of dispersive optical constants of CuIn3S5 thin films

    International Nuclear Information System (INIS)

    Khemiri, N.; Sinaoui, A.; Kanzari, M.

    2011-01-01

    CuIn 3 S 5 thin films were prepared from powder by thermal evaporation under vacuum (10 -6 mbar) onto glass substrates. The glass substrates were heated from 30 to 200 o C. The films were characterized for their optical properties using optical measurement techniques (transmittance and reflectance). We have determined the energy and nature of the optical transitions of films. The optical constants of the deposited films were determined in the spectral range 300-1800 nm from the analysis of transmission and reflection data. The Swanepoel envelope method was employed on the interference fringes of transmittance patterns for the determination of variation of refractive index with wavelength. Wemple-Di Domenico single oscillator model was applied to determine the optical constants such as oscillator energy E 0 and dispersion energy E d of the films deposited at different substrate temperatures. The electric free carrier susceptibility and the ratio of the carrier concentration to the effective mass were estimated according to the model of Spitzer and Fan.

  18. Study of third order nonlinearity of chalcogenide thin films using third harmonic generation measurements

    Science.gov (United States)

    Rani, Sunita; Mohan, Devendra; Kumar, Manish; Sanjay

    2018-05-01

    Third order nonlinear susceptibility of (GeSe3.5)100-xBix (x = 0, 10, 14) and ZnxSySe100-x-y (x = 2, y = 28; x = 4, y = 20; x = 6, y = 12; x = 8, y = 4) amorphous chalcogenide thin films prepared using thermal evaporation technique is estimated. The dielectric constant at incident and third harmonic wavelength is calculated using "PARAV" computer program. 1064 nm wavelength of Nd: YAG laser is incident on thin film and third harmonic signal at 355 nm wavelength alongwith fundamental light is obtained in reflection that is separated from 1064 nm using suitable optical filter. Reflected third harmonic signal is measured to trace the influence of Bi and Zn on third order nonlinear susceptibility and is found to increase with increase in Bi and Zn content in (GeSe3.5)100-xBix, and ZnxSySe100-x-y chalcogenide thin films respectively. The excellent optical nonlinear property shows the use of chalcogenide thin films in photonics for wavelength conversion and optical data processing.

  19. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    Science.gov (United States)

    Venkata Saravanan, K.; Raju, K. C. James

    2014-03-01

    The surface chemical states of RF-magnetron sputtered Ba0.5Sr0.5TiO3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O2 -, adsorbed oxide ion O- and lattice oxide ion O2-) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP ≦̸ 25%), whereas the films deposited in oxygen rich atmosphere (OMP ≧̸ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ~65% (@280 kV cm-1), with good ɛ r-E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications.

  20. Capacitive Cells for Dielectric Constant Measurement

    Science.gov (United States)

    Aguilar, Horacio Munguía; Maldonado, Rigoberto Franco

    2015-01-01

    A simple capacitive cell for dielectric constant measurement in liquids is presented. As an illustrative application, the cell is used for measuring the degradation of overheated edible oil through the evaluation of their dielectric constant.

  1. Fabrication and characterization of NiO thin films prepared by SILAR method

    International Nuclear Information System (INIS)

    Akaltun, Yunus; Çayır, Tuba

    2015-01-01

    Highlights: • NiO thin films have been deposited on glass substrates using SILAR method for the first time. • The electron effective mass, refractive index were calculated by using the energy bandgap values. • The effect of film thickness on the structural, optical and electrical properties were studied. • The bandgap values of the films decreased from 3.71 to 3.67 eV. - Abstract: NiO thin films were synthesised on glass substrates at room temperature using the Successive Ionic Layer Adsorption and Reaction (SILAR) method. The effect of film thickness on the structural, morphological, optical and electrical properties of NiO thin films was investigated. The X-ray diffraction (XRD) and scanning electron microscopy (SEM) studies showed that all the films exhibit polycrystalline structure are covered well with glass substrates. The crystalline and surface properties of the films improved with increasing film thickness. The energy band gap values were decreased from 3.71 to 3.67 eV depending on the film thickness. The refractive index (n), optical static (ε o ) and high frequency dielectric constant (ε ∞ ) values were calculated by using the energy band gap values as a function of the film thickness. The resistivity of the films varied between 4.1 and 802.1 Ω cm with increasing film thickness at room temperature

  2. Fabrication and characterization of NiO thin films prepared by SILAR method

    Energy Technology Data Exchange (ETDEWEB)

    Akaltun, Yunus [Department of Electrical and Electronic Engineering, Erzincan University, 24100 Erzincan (Turkey); Çayır, Tuba [Department of Biomedical Engineering, Erzincan University, 24100 Erzincan (Turkey)

    2015-03-15

    Highlights: • NiO thin films have been deposited on glass substrates using SILAR method for the first time. • The electron effective mass, refractive index were calculated by using the energy bandgap values. • The effect of film thickness on the structural, optical and electrical properties were studied. • The bandgap values of the films decreased from 3.71 to 3.67 eV. - Abstract: NiO thin films were synthesised on glass substrates at room temperature using the Successive Ionic Layer Adsorption and Reaction (SILAR) method. The effect of film thickness on the structural, morphological, optical and electrical properties of NiO thin films was investigated. The X-ray diffraction (XRD) and scanning electron microscopy (SEM) studies showed that all the films exhibit polycrystalline structure are covered well with glass substrates. The crystalline and surface properties of the films improved with increasing film thickness. The energy band gap values were decreased from 3.71 to 3.67 eV depending on the film thickness. The refractive index (n), optical static (ε{sub o}) and high frequency dielectric constant (ε{sub ∞}) values were calculated by using the energy band gap values as a function of the film thickness. The resistivity of the films varied between 4.1 and 802.1 Ω cm with increasing film thickness at room temperature.

  3. Low operating voltage InGaZnO thin-film transistors based on Al{sub 2}O{sub 3} high-k dielectrics fabricated using pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Geng, G. Z.; Liu, G. X.; Zhang, Q.; Shan, F. K. [Qingdao University, Qingdao (China); DongEui University, Busan (Korea, Republic of); Lee, W. J.; Shin, B. C. [DongEui University, Busan (Korea, Republic of); Cho, C. R. [Pusan National University, Busan (Korea, Republic of)

    2014-05-15

    Low-voltage-driven amorphous indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs) with an Al{sub 2}O{sub 3} dielectric were fabricated on a Si substrate by using pulsed laser deposition. Both Al{sub 2}O{sub 3} and IGZO thin films are amorphous, and the thin films have very smooth surfaces. The Al{sub 2}O{sub 3} gate dielectric exhibits a very low leakage current density of 1.3 x 10{sup -8} A/cm{sup 2} at 5 V and a high capacitance density of 60.9 nF/cm{sup 2}. The IGZO TFT with a structure of Ni/IGZO/Al{sub 2}O{sub 3}/Si exhibits high performance with a low threshold voltage of 1.18 V, a high field effect mobility of 20.25 cm{sup 2}V{sup -1}s{sup -1}, an ultra small subthreshold swing of 87 mV/decade, and a high on/off current ratio of 3 x 10{sup 7}.

  4. Ferroelectric and piezoelectric properties of lead-free BaTiO{sub 3} doped Bi{sub 0.5}Na{sub 0.5}TiO{sub 3} thin films from metal-organic solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Acharya, Susant Kumar [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Department of Semiconductor Science and Technology, Basic Research Laboratory (BRL), Semiconductor Physics Research Center (SPRC), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Lee, Sang-Kwon; Hyung, Jung-Hwan [Department of Semiconductor Science and Technology, Basic Research Laboratory (BRL), Semiconductor Physics Research Center (SPRC), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Yang, Yun-Ho; Kim, Bok-Hee [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Ahn, Byung-Guk, E-mail: bkahn@jbnu.ac.kr [Division of Advanced Materials Engineering, Hydrogen and Fuel Cell Research Center, Chonbuk National University, Jeonju 561-756 (Korea, Republic of)

    2012-11-05

    Highlights: Black-Right-Pointing-Pointer Lead-free BNT-BT thin films from an optimized metal-organic solution deposition. Black-Right-Pointing-Pointer Phase and microstructure evolution with annealing temperature. Black-Right-Pointing-Pointer A relatively low leakage current density. Black-Right-Pointing-Pointer Good dielectric constant of 613 at a frequency of 1 kHz. Black-Right-Pointing-Pointer High remanent polarization and piezoelectric constant comparable to PZT thin films. - Abstract: Lead-free 0.94Bi{sub 0.5}Na{sub 0.5}TiO{sub 3}-0.06BaTiO{sub 3} (BNT-BT) piezoelectric thin films were prepared by metal-organic solution deposition onto a Pt/Ti/SiO{sub 2}/Si substrate. A dense and well crystallized thin film with a perovskite phase was obtained by annealing these films at 700 Degree-Sign C. Atomic force microscopy showed that these films were smooth and crack-free with an average grain size on the order of 200 nm. Thin films of 356 nm thickness exhibited a small signal dielectric constant and a loss tangent at 1 kHz of 613 and 0.044, respectively. Ferroelectric hysteresis measurements indicated a remanent polarization value of 21.5 {mu}C/cm{sup 2} with a coercive field of 164.5 kV/cm. The leakage current density of the thin film was 4.08 Multiplication-Sign 10{sup -4} A/cm{sup 2} at an applied electric field of 200 kV/cm. A typical butterfly-shaped piezoresponse loop was observed and the effective piezoelectric coefficient (d{sub 33}) of the BNT-BT thin film was approximately 51.6 pm/V.

  5. Characterization of a new transparent-conducting material of ZnO doped ITO thin films

    Science.gov (United States)

    Ali, H. M.

    2005-11-01

    Thin films of indium tin oxide (ITO) doped with zinc oxide have the remarkable properties of being conductive yet still highly transparent in the visible and near-IR spectral ranges. The Electron beam deposi- tion technique is one of the simplest and least expensive ways of preparing. High-quality ITO thin films have been deposited on glass substrates by Electron beam evaporation technique. The effect of doping and substrate deposition temperature was found to have a significant effect on the structure, electrical and optical properties of ZnO doped ITO films. The average optical transmittance has been increased with in- creasing the substrate temperature. The maximum value of transmittance is greater than 84% in the visible region and 85% in the NIR region obtained for film with Zn/ITO = 0.13 at substrate temperature 200 °C. The dielectric constant, average excitation energy for electronic transitions (E o), the dispersion energy (E d), the long wavelength refractive index (n ), average oscillator wave length ( o) and oscillator strength S o for the thin films were determined and presented in this work.

  6. Electrical properties of radio-frequency sputtered HfO{sub 2} thin films for advanced CMOS technology

    Energy Technology Data Exchange (ETDEWEB)

    Sarkar, Pranab Kumar; Roy, Asim, E-mail: 28.asim@gmail.com [Department of Physics, National Institute of Technology Silchar, Silchar-788010, Assam, India Phone: +91-3842-224879 (India)

    2015-08-28

    The Hafnium oxide (HfO{sub 2}) high-k thin films have been deposited by radio frequency (rf) sputtering technique on p-type Si (100) substrate. The thickness, composition and phases of films in relation to annealing temperatures have been investigated by using cross sectional FE-SEM (Field Emission Scanning Electron Microscope) and grazing incidence x-ray diffraction (GI-XRD), respectively. GI-XRD analysis revealed that at annealing temperatures of 350°C, films phases change to crystalline from amorphous. The capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the annealed HfO{sub 2} film have been studied employing Al/HfO{sub 2}/p-Si metal–oxide–semiconductor (MOS) structures. The electrical properties such as dielectric constant, interface trap density and leakage current density have been also extracted from C-V and I-V Measurements. The value of dielectric constant, interface trap density and leakage current density of annealed HfO{sub 2} film is obtained as 23,7.57×1011eV{sup −1} cm{sup −2} and 2.7×10{sup −5} Acm{sup −2}, respectively. In this work we also reported the influence of post deposition annealing onto the trapping properties of hafnium oxide and optimized conditions under which no charge trapping is observed into the dielectric stack.

  7. Pentacene thin-film transistors and inverters with plasma-enhanced atomic-layer-deposited Al2O3 gate dielectric

    International Nuclear Information System (INIS)

    Koo, Jae Bon; Lim, Jung Wook; Kim, Seong Hyun; Yun, Sun Jin; Ku, Chan Hoe; Lim, Sang Chul; Lee, Jung Hun

    2007-01-01

    The performances of pentacene thin-film transistor with plasma-enhanced atomic-layer-deposited (PEALD) 150 nm thick Al 2 O 3 dielectric are reported. Saturation mobility of 0.38 cm 2 /V s, threshold voltage of 1 V, subthreshold swing of 0.6 V/decade, and on/off current ratio of about 10 8 have been obtained. Both depletion and enhancement mode inverter have been realized with the change of treatment method of hexamethyldisilazane on PEALD Al 2 O 3 gate dielectric. Full swing depletion mode inverter has been demonstrated at input voltages ranging from 5 V to - 5 V at supply voltage of - 5 V

  8. Low temperature fabrication of barium titanate hybrid films and their dielectric properties

    International Nuclear Information System (INIS)

    Kobayashi, Yoshio; Saito, Hirobumi; Kinoshita, Takafumi; Nagao, Daisuke; Konno, Mikio

    2011-01-01

    A method for incorporating BT nano-crystalline into barium titanate (BT) films is proposed for a low temperature fabrication of high dielectric constant films. BT nanoparticles were synthesized by hydrolysis of a BT complex alkoxide in 2-methoxyethanol (ME)/ethanol cosolvent. As the ME volume fraction in the cosolvent (ME fraction) increased from 0 to 100%, the particle and crystal sizes tended to increase from 13.4 to 30.2 nm and from 15.8 to 31.4 nm, respectively, and the particle dispersion in the solution became more improved. The BT particles were mixed with BT complex alkoxide dissolved in an ME/ethanol cosolvent for preparing a precursor solution that was then spin-coated on a Pt substrate and dried at 150 o C. The dielectric constant of the spin-coated BT hybrid film increased with an increase in the volume fraction of the BT particles in the film. The dissipation factor of the hybrid film tended to decrease with an increase in the ME fraction in the precursor solution. The hybrid film fabricated at a BT fraction of 30% and an ME fraction of 25% attained a dielectric constant as high as 94.5 with a surface roughness of 14.0 nm and a dissipation factor of 0.11.

  9. Optical constants of silicon-like (Si:Ox:Cy:Hz) thin films deposited on quartz using hexamethyldisiloxane in a remote RF hollow cathode discharge plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2008-01-01

    Deposition of amorphous silicon-like (Si:O x :C y :H z ) thin films in a remote RF hollow cathode discharge plasma using Hexamethyldisoloxane as monomer and Ar as feed gas; has been investigated for films optical constants and plasma diagnostic as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm). Plasma diagnostic has been performed using optical emission spectroscopy (OES). The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. It is found that the refractive index increases from 1.92 to 1.97 with increasing power from 100 to 300 W, and from 1.70 to 1.92 with increasing precursor flow rate from 1 to 10 sccm. The optical energy-band gap E g and the optical-absorption tail ΔE have been estimated from optical absorption spectra, it is found that E g decreases from 3.28 eV to 3.14 eV with power increase from 100 to 300 W, and from 3.54 eV to 3.28 eV with precursor flow rate increase from 1 to 10 sccm. ΔE is found to increase with applied RF power and precursor flow rate increase. The dependence of optical constants on deposition parameters has been correlated to plasma OES. (author)

  10. Structural and electrical characteristics of high-κ ErTixOy gate dielectrics on InGaZnO thin-film transistors

    International Nuclear Information System (INIS)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Li, Wei-Chen; Matsuda, Yasuhiro H.; Pan, Tung-Ming

    2013-01-01

    In this paper, we investigated the structural properties and electrical characteristics of high-κ ErTi x O y gate dielectrics on indium-gallium-zinc oxide thin-film transistors (IGZO TFTs). We used X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy to investigate the structural and morphological features of these dielectric films after they had been subjected to annealing at various temperatures. The high-κ ErTi x O y IGZO TFT device annealed at 400 °C exhibited better electrical characteristics in terms of a large field-effect mobility (8.24 cm 2 /V-s), low threshold voltage (0.36 V), small subthreshold swing (130 mV/dec), and high I on/off ratio(3.73 × 10 6 ). These results are attributed to the reduction of the trap states and oxygen vacancies between the ErTi x O y film and IGZO active layer interface during high-temperature annealing in oxygen ambient. The reliability of voltage stress also can be improved by the oxygen annealing at 400 °C. - Highlights: • ErTi x O y InGaZnO thin-film transistors (TFT). • Structural and electrical properties of the TFT were investigated. • TFT device annealed at 400 °C exhibited better electrical characteristics. • Reliability of TFT device can be improved by annealing at 400 °C

  11. Improvement in crystallization and electrical properties of barium strontium titanate thin films by gold doping using metal-organic deposition method

    International Nuclear Information System (INIS)

    Wang, H.-W.; Nien, S.-W.; Lee, K.-C.; Wu, M.-C.

    2005-01-01

    The effect of gold (Au) on the crystallization, dielectric constant and leakage current density of barium strontium titanate (BST) thin films was investigated. BST thin films with various gold concentrations were prepared via a metal-organic deposition process. The X-ray diffraction shows enhanced crystallization as well as expanded lattice constants for the gold-doped BST films. Thermal analysis reveals that the gold dopant induces more complete decomposition of precursor for the doped films than those of undoped ones. The leakage current density of BST films is greatly reduced by the gold dopant over a range of biases (1-5 V). The distribution of gold was confirmed by electron energy loss spectroscopy and found to be inside the BST grains, not in the grain-boundaries. Gold acted as a catalyst, inducing the nucleation of crystallites and improving the crystallinity of the structure. Its addition is shown to be associated to the improvement of the electrical properties of BST films

  12. Investigation of the correlation between dielectric function, thickness and morphology of nano-granular ZnO very thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gilliot, Mickaël, E-mail: mickael.gilliot@univ-reims.fr [Laboratoire d' Ingénierie et Sciences des Matériaux, Université de Reims Champagne-Ardenne (France); Hadjadj, Aomar [Laboratoire d' Ingénierie et Sciences des Matériaux, Université de Reims Champagne-Ardenne (France); Martin, Jérôme [Laboratoire de Nanotechnologie et d' Instrumentation Optique, Université de Technologie de Troyes (France)

    2015-12-31

    Thin nano-granular ZnO layers were prepared using a sol–gel synthesis and spin-coating deposition process with a thickness ranging between 20 and 120 nm. The complex dielectric function (ϵ) of the ZnO film was determined from spectroscopic ellipsometry measurements. Up to a critical thickness close to 60 nm, the magnitude of both the real and the imaginary parts of ϵ rapidly increases and then slowly tends to values closer to the bulk ZnO material. This trend suggests a drastic change in the film porosity at both sides of this critical thickness, due to the pre-heating and post-crystallization processes, as confirmed by additional characterization of the structure and the morphology of the ZnO films. - Highlights: • c-Axis oriented ZnO thin films were grown with different morphological states. • The morphology and structures are controlled by controlling the thickness. • The optical properties are correlated to morphological evolution. • Two growth behaviors and property evolutions are identified around a critical thickness.

  13. Understanding the influence of surface chemical states on the dielectric tunability of sputtered Ba0.5Sr0.5TiO3 thin films

    International Nuclear Information System (INIS)

    Venkata Saravanan, K; James Raju, K C

    2014-01-01

    The surface chemical states of RF-magnetron sputtered Ba 0.5 Sr 0.5 TiO 3 (BST5) thin films deposited at different oxygen mixing percentage (OMP) was examined by x-ray photoelectron spectroscopy. The O1s XPS spectra indicate the existence of three kinds of oxygen species (dissociated oxygen ion O 2 − , adsorbed oxide ion O − and lattice oxide ion O 2− ) on the films’ surface, which strongly depends on OMP. The presence of oxygen species other than lattice oxygen ion makes the films’ surface highly reactivity to atmospheric gases, resulting in the formation of undesired surface layers. The XPS results confirm the formation of surface nitrates for the films deposited under oxygen deficient atmosphere (OMP not ≦ 25%), whereas the films deposited in oxygen rich atmosphere (OMP not ≧ 75%) show the presence of metal-hydroxide. The influence of a surface dead layer on the tunable dielectric properties of BST5 films have been studied in detail and are reported. Furthermore, our observations indicate that an optimum ratio of Ar:O 2 is essential for achieving desired material and dielectric properties in BST5 thin films. The films deposited at 50% OMP have the highest dielectric tunability of ∼65% (@280 kV cm −1 ), with good ϵ r -E curve symmetry of 98% and low tan δ of 0.018. The figure of merit for these films is about 35, which is promising for frequency agile device applications. (papers)

  14. Microstructure and electric characteristics of AETiO3 (AE=Mg, Ca, Sr doped CaCu3Ti4O12 thin films prepared by the sol–gel method

    Directory of Open Access Journals (Sweden)

    Dong Xu

    2015-10-01

    Full Text Available This paper focuses on the effects of alkline-earth metal titante AETiO3 (AE=Mg, Ca, Sr doping on the microstructure and electric characteristics of CaCu3Ti4O12 thin films prepared by the sol–gel method. The results showed that the grain size of CCTO thin films could be increased by MgTiO3 doping. The movement of the grain boundaries was impeded by the second phases of CaTiO3 and SrTiO3 concentrating at grain boundaries in CaTiO3 and SrTiO3 doped CCTO thin films. Rapid ascent of dielectric constant could be observed in 0.1Mg TiO3 doped CCTO thin films, which was almost as three times high as pure CCTO thin film and the descent of the dielectric loss at low frequency could also be observed. In addition, the nonlinear coefficient (α, threshold voltage (VT and leakage current (IL of AETiO3 doped CCTO thin films (AE=Mg, Ca, Sr showed different variation with the increasing content of the MgTiO3, CaTiO3 and SrTiO3.

  15. Interfacial effects on the electrical properties of multiferroic BiFeO3/Pt/Si thin film heterostructures

    International Nuclear Information System (INIS)

    Yakovlev, S.; Zekonyte, J.; Solterbeck, C.-H.; Es-Souni, M.

    2005-01-01

    Polycrystalline BiFeO 3 thin films of various thickness were fabricated on (111)Pt/Ti/SiO 2 /Si substrates via chemical solution deposition. The electrical properties were investigated using impedance and leakage current measurements. X-ray photoelectron spectroscopy (XPS) combined with Ar ion milling (depth profiling) was used to investigate elemental distribution near the electrode-film interface. It is shown that the dielectric constant depends on film thickness due to the presence of an interfacial film-electrode layer evidenced by XPS investigation. Direct current conductivity is found to be governed by Schottky and/or Poole-Frenkel mechanisms

  16. Bilaterally Microstructured Thin Polydimethylsiloxane Film Production

    DEFF Research Database (Denmark)

    Vudayagiri, Sindhu; Yu, Liyun; Hassouneh, Suzan Sager

    2015-01-01

    Thin PDMS films with complex microstructures are used in the manufacturing of dielectric electro active polymer (DEAP) actuators, sensors and generators, to protect the metal electrode from large strains and to assure controlled actuation. The current manufacturing process at Danfoss Polypower A/...

  17. The effect of dual complexing agents of lactic and citric acids on the formation of sol-gel derived Ag–PbTiO3 percolative thin film

    International Nuclear Information System (INIS)

    Su, Yanbo; Hu, Tao; Tang, Liwen; Weng, Wenjian; Han, Gaorong; Ma, Ning; Du, Piyi

    2014-01-01

    Controlling the formation of conductive particles to be nano-scale is important for achieving percolation effect in metal dispersed thin film composite to contribute extraordinary dielectric properties required for miniaturization of electronic devices. In this paper, lactic acid (LA) and citric acid (CA) were used as dual complexing agents to prepare a typical Ag nanoparticle dispersed PbTiO 3 (PTO) composite thin film by using a sol-gel method. The phase structure of the thin film and the coordination effect between complexing agent and metallic ions were investigated. It revealed that LA coordinated with Ti 4+ and Pb 2+ and CA coordinated with Ag + . Lead was fixed inside the gel network by LA and restricted to evaporate during heat treatment thus the pyrochlore phase was prevented from forming in the thin film. Ag + was coordinated by CA and the diffusion and thus aggregation of silver during gelation and annealing process were weakened. Silver nanoparticles dispersed in the PTO matrix formed with dual complexing agents of LA and CA introduced during the preparation process. The composite thin film of perfect perovskite phase with silver nanoparticles embedded was obtained at the molar ratio of LA/lead = 0.5 and CA/lead = 0.5. The dielectric constant of the thin film with silver nanoparticles is 5 times higher than that without silver nanoparticles. - Highlights: • Ag nanoparticle–PbTiO 3 percolative film with high dielectric property is prepared. • Evaporation of lead was prevented by coordinating Pb with lactic acid agent. • Dual complexing agents contribute block and pinning effects to form Ag nanoparticles

  18. Synthesis, morphological, electromechanical characterization of (CaMgFex)Fe1-xTi3O12-δ/PDMS nanocomposite thin films for energy storage application

    Science.gov (United States)

    Tripathy, Ashis; Sharma, Priyaranjan; Sahoo, Narayan

    2018-03-01

    At the present time, flexible and stretchable electronics has intended to use the new cutting-edge technologies for advanced electronic application. Currently, Polymers are being employed for such applications but they are not effective due to their low dielectric constant. To enhance the dielectric properties of polymer for energy storage application, it is necessary to add ceramic material of high dielectric constant to synthesize a polymer-ceramic composite. Therefore, a novel attempt has been made to enhance the dielectric properties of the Polydimethylsiloxane (PDMS) polymer by adding (CaMgFex)Fe1-xTi3O12-δ(0ceramic powder. The newly developed CMFTO2/PDMS composite based thin film shows a higher dielectric constant (ε‧) value (~350), extremely low tangent loss (tanδ) ( 90%), which can make it a potential material for advanced flexible electronic devices, energy storage and biomedical applications.

  19. Rate constant of free electrons and holes recombination in thin films CdSe

    International Nuclear Information System (INIS)

    Radychev, N.A.; Novikov, G.F.

    2006-01-01

    Destruction kinetics of electrons generated in thin films CdSe by laser impulse (wave length is 337 nm, period of impulse - 8 nc) is studied by the method of microwave photoconductivity (36 GHz) at 295 K. Model of the process was suggested using the analysis of kinetics of photo-responses decay, and it allowed determination of rate constant of recombination of free electrons and holes in cadmium selenide - (4-6)x10 -11 cm 3 s -1 [ru

  20. Study of surface-modified PVP gate dielectric in organic thin film transistors with the nano-particle silver ink source/drain electrode.

    Science.gov (United States)

    Yun, Ho-Jin; Ham, Yong-Hyun; Shin, Hong-Sik; Jeong, Kwang-Seok; Park, Jeong-Gyu; Choi, Deuk-Sung; Lee, Ga-Won

    2011-07-01

    We have fabricated the flexible pentacene based organic thin film transistors (OTFTs) with formulated poly[4-vinylphenol] (PVP) gate dielectrics treated by CF4/O2 plasma on poly[ethersulfones] (PES) substrate. The solution of gate dielectrics is made by adding methylated poly[melamine-co-formaldehyde] (MMF) to PVP. The PVP gate dielectric layer was cross linked at 90 degrees under UV ozone exposure. Source/drain electrodes are formed by micro contact printing (MCP) method using nano particle silver ink for the purposes of low cost and high throughput. The optimized OTFT shows the device performance with field effect mobility of the 0.88 cm2/V s, subthreshold slope of 2.2 V/decade, and on/off current ratios of 1.8 x 10(-6) at -40 V gate bias. We found that hydrophobic PVP gate dielectric surface can influence on the initial film morphologies of pentacene making dense, which is more important for high performance OTFTs than large grain size. Moreover, hydrophobic gate dielelctric surface reduces voids and -OH groups that interrupt the carrier transport in OTFTs.

  1. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  2. Sputtered highly oriented PZT thin films for MEMS applications

    Science.gov (United States)

    Kalpat, Sriram S.

    Recently there has been an explosion of interest in the field of micro-electro-mechanical systems (MEMS). MEMS device technology has become critical in the growth of various fields like medical, automotive, chemical, and space technology. Among the many applications of ferroelectric thin films in MEMS devices, microfluidics is a field that has drawn considerable amount of research from bio-technology industries as well as chemical and semiconductor manufacturing industries. PZT thin films have been identified as best suited materials for micro-actuators and micro-sensors used in MEMS devices. A promising application for piezoelectric thin film based MEMS devices is disposable drug delivery systems that are capable of sensing biological parameters, mixing and delivering minute and precise amounts of drugs using micro-pumps or micro mixers. These devices call for low driving voltages, so that they can be battery operated. Improving the performance of the actuator material is critical in achieving battery operated disposal drug delivery systems. The device geometry and power consumption in MEMS devices largely depends upon the piezoelectric constant of the films, since they are most commonly used to convert electrical energy into a mechanical response of a membrane or cantilever and vice versa. Phenomenological calculation on the crystal orientation dependence of piezoelectric coefficients for PZT single crystal have reported a significant enhancement of the piezoelectric d33 constant by more than 3 times along [001] in the rhombohedral phase as compared to the conventionally used orientation PZT(111) since [111] is the along the spontaneous polarization direction. This could mean considerable improvement in the MEMS device performance and help drive the operating voltages lower. The motivation of this study is to investigate the crystal orientation dependence of both dielectric and piezoelectric coefficients of PZT thin films in order to select the appropriate

  3. Influence of γ-radiation doses on the properties of TeOx: (x=2-3) thin film

    International Nuclear Information System (INIS)

    Dewan, Namrata; Sreenivas, K.; Gupta, Vinay

    2007-01-01

    The influence of γ-ray doses (10-50 Gy) on the optical and electrical properties of radio-frequency sputtered tellurium dioxide (TeO x ) thin film was studied. The composition of the as-deposited TeO x films deposited under 25% oxygen and 100% oxygen in the sputtering gas mixture (Ar+O 2 ) was x=2 and 3, respectively. TeO 3 films were found to be highly sensitive to the γ-radiation doses and the value of optical band gap decrease from 4.18 to 3.56 eV with increasing radiation dose from 10 to 50 Gy. Current-voltage characteristics of the films showed an increase in the value of conductivity with increasing radiation doses. Monotonic decrease in the values of dielectric constant for the deposited films with increase in radiation dose was observed. The effect of γ-ray doses on the properties of TeO x film has been correlated with the rearrangement of the bipyramidal structure of amorphous TeO x thin film

  4. Investigations on the synthesis, optical and electrical properties of TiO{sub 2} thin films by Chemical Bath Deposition (CBD) method

    Energy Technology Data Exchange (ETDEWEB)

    Govindasamy, Geetha [Bharathiar University, Coimbatore (India); Murugasen, Priya [Department of Physics, Saveetha Engineering College (India); Sagadevan, Suresh [Department of Physics, AMET University, Chennai (India)

    2016-03-15

    Titanium dioxide (TiO{sub 2} ) thin films were prepared by Chemical Bath Deposition (CBD) method. The X-ray diffraction (XRD) analysis was used to examine the structure and to determine the crystallite size of TiO{sub 2} thin film. The surface morphology of the film was studied using Scanning Electron Microscopy (SEM).The optical properties were studied using the UV-Visible and photoluminescence (PL) spectrum. Optical constants such as band gap, refractive index, extinction coefficient and electric susceptibility were determined. The FTIR spectrum revealed the strong presence of TiO{sub 2} . The dielectric properties of TiO{sub 2} thin films were studied for different frequencies and different temperatures. The AC electrical conductivity test revealed that the conduction depended both on the frequency and the temperature. Photoconductivity study was carried out in order to ascertain the positive photoconductivity of the TiO{sub 2} thin films. (author)

  5. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films

    Directory of Open Access Journals (Sweden)

    Huaping Wu

    2016-01-01

    Full Text Available The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110 orientation leads to a lower symmetry and more complicated phase transition than the (111 orientation in BaTiO3 films. The increase of compressive strain will dramatically enhance the Curie temperature TC of (110-oriented BaTiO3 films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110- and (111-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  6. Dielectric properties of Li doped Li-Nb-O thin films

    Energy Technology Data Exchange (ETDEWEB)

    Perentzis, G.; Horopanitis, E.E.; Papadimitriou, L. [Aristotle University of Thessaloniki, Department of Physics, 54124 Thessaloniki (Greece); Durman, V.; Saly, V.; Packa, J. [Faculty of Electrical Engineering and Information Technology, Slovak University of Technology, Ilkovicova 3, 81219 Bratislava (Slovakia)

    2007-03-15

    Lithium niobate LiNbO{sub 3} was prepared as a thin film layered structure deposited on stainless steel substrate using e-gun evaporation. The Li doping was provided for by the formation of Li-Nb-O/Li/LiNb-O sandwich structure and annealing at about 250 C. AC impedance spectroscopy measurements were performed on the samples at temperatures from the interval between 28 and 165 C and in a frequency range of 10{sup -3} to 10{sup 6} Hz. Using the values Z' and Z'' at different frequencies, the dielectric parameters - parts of the complex permittivity {epsilon}' and {epsilon}'' and loss tangent tan {delta} were calculated. The results prove validity of the proposed equivalent circuit containing parallel RC elements connected in series where the first RC element represents the bulk of material and the second RC element belongs to the double layer at the metal interface. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Influence of defects on the absorption edge of InN thin films: The band gap value

    Science.gov (United States)

    Thakur, J. S.; Danylyuk, Y. V.; Haddad, D.; Naik, V. M.; Naik, R.; Auner, G. W.

    2007-07-01

    We investigate the optical-absorption spectra of InN thin films whose electron density varies from ˜1017tõ1021cm-3 . The low-density films are grown by molecular-beam-epitaxy deposition while highly degenerate films are grown by plasma-source molecular-beam epitaxy. The optical-absorption edge is found to increase from 0.61to1.90eV as the carrier density of the films is increased from low to high density. Since films are polycrystalline and contain various types of defects, we discuss the band gap values by studying the influence of electron degeneracy, electron-electron, electron-ionized impurities, and electron-LO-phonon interaction self-energies on the spectral absorption coefficients of these films. The quasiparticle self-energies of the valence and conduction bands are calculated using dielectric screening within the random-phase approximation. Using one-particle Green’s function analysis, we self-consistently determine the chemical potential for films by coupling equations for the chemical potential and the single-particle scattering rate calculated within the effective-mass approximation for the electron scatterings from ionized impurities and LO phonons. By subtracting the influence of self-energies and chemical potential from the optical-absorption edge energy, we estimate the intrinsic band gap values for the films. We also determine the variations in the calculated band gap values due to the variations in the electron effective mass and static dielectric constant. For the lowest-density film, the estimated band gap energy is ˜0.59eV , while for the highest-density film, it varies from ˜0.60tõ0.68eV depending on the values of electron effective mass and dielectric constant.

  8. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    Directory of Open Access Journals (Sweden)

    Yu-Hsien Lin

    2015-01-01

    Full Text Available We investigated amorphous indium gallium zinc oxide (a-IGZO thin film transistors (TFTs using different high-k gate dielectric materials such as silicon nitride (Si3N4 and aluminum oxide (Al2O3 at low temperature process (<300°C and compared them with low temperature silicon dioxide (SiO2. The IGZO device with high-k gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, postannealing treatment is an essential process for completing the process. The chemical reaction of the high-k/IGZO interface due to heat formation in high-k/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-k gate dielectric materials and explained the interface effect by charge band diagram.

  9. Interface Study on Amorphous Indium Gallium Zinc Oxide Thin Film Transistors Using High-k Gate Dielectric Materials

    International Nuclear Information System (INIS)

    Lin, Y. H.; Chou, J. C.

    2015-01-01

    We investigated amorphous indium gallium zinc oxide (a-IGZO) thin film transistors (TFT_s) using different high-Κ gate dielectric materials such as silicon nitride (Si_3N_4) and aluminum oxide (Al_2O_3) at low temperature process (<300 degree) and compared them with low temperature silicon dioxide (SiO_2). The IGZO device with high-Κ gate dielectric material will expect to get high gate capacitance density to induce large amount of channel carrier and generate the higher drive current. In addition, for the integrating process of integrating IGZO device, post annealing treatment is an essential process for completing the process. The chemical reaction of the high-κ/IGZO interface due to heat formation in high-Κ/IGZO materials results in reliability issue. We also used the voltage stress for testing the reliability for the device with different high-Κ gate dielectric materials and explained the interface effect by charge band diagram.

  10. Effects of Mn doping on the ferroelectric properties of PZT thin films

    International Nuclear Information System (INIS)

    Zhang Qi

    2004-01-01

    The effects of Mn doping on the ferroelectric properties of Pb(Zr 0.3 Ti 0.7 )O 3 (PZT) thin films on Pt/Ti/SiO 2 /Si substrates have been investigated. The composition of the PZT and Mn doping level are Pb(Zr 0.3 Ti 0.7 ) 1-x Mn x O 3 (x = 0,0.2,0.5,1,2,4 mol%). The PZT thin films doped with a small amount of Mn 2+ (x ≤ 1) showed almost no hysteretic fatigue up to 10 10 switching bipolar pulse cycles, coupled with excellent retention properties. However, excessive additions of manganese made the fatigue behaviour worse. We propose that the addition of small amounts of Mn is able to reduce the oxygen vacancy concentration due to the combination of Mn 2+ and oxygen vacancies in PZT films, forming Mn 4+ ions. The interfacial layer between the Pt electrode and PZT films and Mn-doped PZT (x = 4) was detected by measuring the dielectric constant of thin films of different thickness. However, this interfacial layer was not detected in Mn-doped PZT (x = 1). These observations support the concept of the preferential electromigration of oxygen vacancies into sites in planes parallel to the electrodes, which is probably responsible for the hysteretic fatigue

  11. Origin of switching current transients in TIPS-pentacene based organic thin-film transistor with polymer dielectric

    Science.gov (United States)

    Singh, Subhash; Mohapatra, Y. N.

    2017-06-01

    We have investigated switch-on drain-source current transients in fully solution-processed thin film transistors based on 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) using cross-linked poly-4-vinylphenol as a dielectric. We show that the nature of the transient (increasing or decreasing) depends on both the temperature and the amplitude of the switching pulse at the gate. The isothermal transients are analyzed spectroscopically in a time domain to extract the degree of non-exponentiality and its possible origin in trap kinetics. We propose a phenomenological model in which the exchange of electrons between interfacial ions and traps controls the nature of the drain current transients dictated by the Fermi level position. The origin of interfacial ions is attributed to the essential fabrication step of UV-ozone treatment of the dielectric prior to semiconductor deposition.

  12. Effect of ozone treatment on the optical and electrical properties of HfSiO thin films

    International Nuclear Information System (INIS)

    Geng, Yang; Yang, Wen; Zhu, Shang-Bin; Zhang, Yuan; Sun, Qing-Qing; Lu, Hong-Liang; Zhang, David Wei

    2014-01-01

    The effect of room temperature ozone oxidation treatment on thin HfSiO film grown by atomic layer deposition (ALD) has been investigated. The optical and electrical properties with different post-ozone oxidation time were characterized. The evolution of ozone interacting with HfSiO films was clearly illuminated. Ozone can repair the lossy chemical bonds and vacancies, resulting in the improvement of packing density and polarizability of HfSiO films. With more ozone entering the HfSiO films, the refractive index, dielectric constant, and interfacial properties can be greatly upgraded. Furthermore, the frequency dispersion of ALD-HfSiO film can be improved after O 3 treatment time for 8 min. (orig.)

  13. Role of carbon in boron suboxide thin films

    International Nuclear Information System (INIS)

    Music, Denis; Kugler, Veronika M.; Czigany, Zsolt; Flink, Axel; Werner, Oskar; Schneider, Jochen M.; Hultman, Lars; Helmersson, Ulf

    2003-01-01

    Boron suboxide thin films, with controlled carbon content, were grown by rf dual magnetron sputtering of boron and carbon targets in an argon-oxygen atmosphere. Film composition, structure, mechanical, and electrical properties were evaluated with x-ray photoelectron spectroscopy, Auger electron spectroscopy, x-ray diffraction, transmission electron microscopy, nanoindentation, and high-frequency capacitance-voltage measurements. X-ray amorphous B-O-C films (O/B=0.02) showed an increase in density from 2.0 to 2.4 g/cm 3 as C content was increased from 0 to 0.6 at. % and the film with the highest density had nanocrystalline inclusions. The density increase occurred most likely due to the formation of B-C bonds, which are shorter than B-B bonds. All measured material properties were found to depend strongly on the C content and thus film density. The elastic modulus increased from 188 to 281 GPa with the increasing C content, while the relative dielectric constant decreased from 19.2 to 0.9. Hence, B-O-C films show a potential for protective coatings and even for application in electronic and optical devices

  14. Design of Rose Bengal/FTO optical thin film system as a novel nonlinear media for infrared blocking windows

    Directory of Open Access Journals (Sweden)

    S.M. El-Bashir

    Full Text Available Rose Bengal (RB is a new organic semiconductor with the highly stable layer, was deposited on highly cleaned conductive glass substrate known as (FTO glass with different thickness in the range from 80 to 292 nm. XRD showed an entirely amorphous structure of the studied film thicknesses. The observed peaks are the indexed peaks for FTO layer. Spectrophotometric data as transmittance, reflectance, and absorbance were used for the analysis the optical constant of RB/FTO optical thin film system. Refractive index was calculated using Fresnel’s equation with the aid of reflectance and absorption index. The dielectric constant, dielectric loss and dissipation factor were discussed and analyzed according to the applied optical theories. Nonlinear parameters such as third order nonlinear optical susceptibility and the nonlinear refractive index were calculated based on the linear refractive index of the applications of this material in nonlinear media. The results showed that Rose Bengal is a proving material for wide scale optoelectronic applications such as infrared blocking windows. Keywords: Rose Bengal, Dielectric parameters, Linear/nonlinear optics, Dye/FTO, IR blocking windows

  15. Indium Sulfide and Indium Oxide Thin Films Spin-Coated from Triethylammonium Indium Thioacetate Precursor for n-Channel Thin Film Transistor

    Energy Technology Data Exchange (ETDEWEB)

    Tung, Duy Dao; Jeong, Hyun Dam [Chonnam Natioal University, Gwangju (Korea, Republic of)

    2014-09-15

    The In{sub 2}S{sub 3} thin films of tetragonal structure and In{sub 2}O{sub 3} films of cubic structure were synthesized by a spin coating method from the organometallic compound precursor triethylammonium indium thioacetate ([(Et){sub 3}NH]+ [In(SCOCH{sub 3}){sub 4}]''-; TEA-InTAA). In order to determine the electron mobility of the spin-coated TEA-InTAA films, thin film transistors (TFTs) with an inverted structure using a gate dielectric of thermal oxide (SiO{sub 2}) was fabricated. These devices exhibited n-channel TFT characteristics with a field-effect electron mobility of 10.1 cm''2 V''-1s''-1 at a curing temperature of 500 o C, indicating that the semiconducting thin film material is applicable for use in low-cost, solution-processed printable electronics.

  16. Theoretical and Experimental Studies of New Polymer-Metal High-Dielectric Constant Nanocomposites

    Science.gov (United States)

    Ginzburg, Valeriy; Elwell, Michael; Myers, Kyle; Cieslinski, Robert; Malowinski, Sarah; Bernius, Mark

    2006-03-01

    High-dielectric-constant (high-K) gate materials are important for the needs of electronics industry. Most polymers have dielectric constant in the range 2 materials with K > 10 it is necessary to combine polymers with ceramic or metal nanoparticles. Several formulations based on functionalized Au-nanoparticles (R ˜ 5 -— 10 nm) and PMMA matrix polymer are prepared. Nanocomposite films are subsequently cast from solution. We study the morphology of those nanocomposites using theoretical (Self-Consistent Mean-Field Theory [SCMFT]) and experimental (Transmission Electron Microscopy [TEM]) techniques. Good qualitative agreement between theory and experiment is found. The study validates the utility of SCMFT as screening tool for the preparation of stable (or at least metastable) polymer/nanoparticle mixtures.

  17. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    International Nuclear Information System (INIS)

    Ong, Hui-Yng; Shrestha, Milan; Lau, Gih-Keong

    2015-01-01

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window

  18. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    Energy Technology Data Exchange (ETDEWEB)

    Ong, Hui-Yng [School of Mechanical and Aerospace Engineering, Nanyang Technological University, Singapore 639798 (Singapore); School of Engineering, Nanyang Polytechnic, Singapore 569830 (Singapore); Shrestha, Milan; Lau, Gih-Keong, E-mail: mgklau@ntu.edu.sg [School of Mechanical and Aerospace Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2015-09-28

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window.

  19. Structural, morphological and optical properties of spray deposited Mn-doped CeO2 thin films

    International Nuclear Information System (INIS)

    Pavan Kumar, CH.S.S.; Pandeeswari, R.; Jeyaprakash, B.G.

    2014-01-01

    Highlights: • Spray deposited undoped and Mn-doped CeO 2 thin films were polycrystalline. • Complete changeover of surface morphology upon 4 wt% Mn doping. • 4 wt% Mn-doped CeO 2 thin film exhibited a hydrophobic nature. • Optical band-gap decreases beyond 2 wt% Mn doping. - Abstract: Cerium oxide and manganese (Mn) doped cerium oxide thin films on glass substrates were prepared by home built spray pyrolysis system. The effect of Mn doping on the structural, morphological and optical properties of CeO 2 films were studied. It was found that both the undoped and doped CeO 2 films were polycrystalline in nature but the preferential orientation and grain size changed upon doping. Atomic force micrograph showed a complete changeover of surface morphology from spherical to flake upon doping. A water contact angle result displayed the hydrophobic nature of the doped CeO 2 film. Optical properties indicated an increase in band-gap and a decrease in transmittance upon doping owing to Moss–Burstein effect and inverse Moss–Burstein effects. Other optical properties such as refractive index, extinction coefficient and dielectric constant as a function of doping were analysed and reported

  20. Giant Polarization Rotation in BiFeO3/SrTiO3 Thin Films.

    Science.gov (United States)

    Langner, M. C.; Chu, Y. H.; Martin, L. M.; Gajek, M.; Ramesh, R.; Orenstein, J.

    2008-03-01

    We use optical second harmonic generation to probe dynamics of the ferroelectric polarization in (111) oriented BiFeO3 thin films grown on SrTiO3 substrates. The second harmonic response indicates 3m point group symmetry and is consistent with a spontaneous polarization normal to the surface of the film. We measure large changes in amplitude and lowering of symmetry, consistent with polarization rotation, when modest electric fields are applied in the plane of the film. At room temperature the rotation is an order of magnitude larger than expected from reported values of the dielectric constant and increases further (as 1/T) as temperature is lowered. We propose a substrate interaction model to explain these results.

  1. Enhanced dielectric properties of thin Ta{sub 2}O{sub 5} films grown on 65 nm SiO{sub 2}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Kolkovsky, Vl.; Kurth, E.; Kunath, C. [IPMS Fraunhofer, Dresden, Maria-Reiche Str. 2, 01109 Dresden (Germany)

    2016-12-15

    The structural and electrical properties of Ta{sub 2}O{sub 5}/65 nm SiO{sub 2} structures with different thicknesses of Ta{sub 2}O{sub 5} varying in the range of 0-260 nm are investigated. We find that the stack structures grown by the magnetron sputtering technique and annealed at 1220 K in O and Ar atmosphere show one of the highest dielectric constant of Ta{sub 2}O{sub 5}(about 64) among those previously reported in the literature. The structure of the annealed polycrystalline Ta{sub 2}O{sub 5} films is orthorhombic, as obtained from X-ray diffraction measurements and we do not observe any preferential orientation of the annealed films. The Ta{sub 2}O{sub 5} films contain positively charged defects which become mobile at around 400 K and they are tentatively correlated with the oxygen vacancies. The leakage current in the stack structures is a factor of 20 higher compared to that in thin layers with 65 nm SiO{sub 2}. The conduction mechanism in the stack structures can be described by the Fowler-Nordheim model with a barrier height that decreases slightly (<10%) as a function of the thickness of the films. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Optical, structural and electrochromic behavior studies on nanocomposite thin film of aniline, o-toluidine and WO3

    Science.gov (United States)

    Najafi-Ashtiani, Hamed; Bahari, Ali

    2016-08-01

    In the field of materials for electrochromic (EC) applications much attention was paid to the derivatives of aniline. We report on the optical, structural and electrochromic properties of electrochromic thin film based on composite of WO3 nanoparticles and copolymer of aniline and o-toluidine prepared by electrochemical polymerization method on fluorine doped tin oxide (FTO) coated glass. The thin film was studied by X-ray diffraction (XRD) and Fourier transforms infrared (FTIR) spectroscopy. The morphology of prepared thin film was characterized by field emission scanning electron microscopy (FESEM), atomic force microscopy (AFM) and the thermal gravimetric analysis (TGA) as well. The optical spectra of nanocomposite thin film were characterized in the 200-900 nm wavelength range and EC properties of nanocomposite thin film were studied by cyclic voltammetry (CV). The calculation of optical band gaps of thin film exhibited that the thin film has directly allowed transition with the values of 2.63 eV on first region and 3.80 eV on second region. Dispersion parameters were calculated based on the single oscillator model. Finally, important parameters such as dispersion energy, oscillator energy and lattice dielectric constant were determined and compared with the data from other researchers. The nonlinear optical properties such as nonlinear optical susceptibility, nonlinear absorption coefficient and nonlinear refractive index were extracted. The obtained results of nanocomposite thin film can be useful for the optoelectronic applications.

  3. Solid-State Densification of Spun-Cast Self-Assembled Monolayers for Use in Ultra-Thin Hybrid Dielectrics.

    Science.gov (United States)

    Hutchins, Daniel O; Acton, Orb; Weidner, Tobias; Cernetic, Nathan; Baio, Joe E; Castner, David G; Ma, Hong; Jen, Alex K-Y

    2012-11-15

    Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO x (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7×10 -8 A cm -2 and capacitance density of 0.62 µF cm -2 at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to 1.1 cm 2 V -1 s -1 .

  4. Electrical characteristics of top contact pentacene organic thin film

    Indian Academy of Sciences (India)

    Organic thin film transistors (OTFTs) were fabricated using pentacene as the active layer with two different gate dielectrics, namely SiO2 and poly(methyl methacrylate) (PMMA), in top contact geometry for comparative studies. OTFTs with SiO2 as dielectric and gold deposited on the rough side of highly doped silicon (n+ -Si) ...

  5. Physical property improvement of IZTO thin films using a hafnia buffer layer

    Science.gov (United States)

    Park, Jong-Chan; Kang, Seong-Jun; Choi, Byeong-Gyun; Yoon, Yung-Sup

    2018-01-01

    Hafnia (HfO2) has excellent mechanical and chemical stability, good transmittance, high dielectric constant, and radiation resistance property; thus, it can prevent impurities from permeating into the depositing films. So, we deposited hafnia films with various thicknesses in the range of 0-60 nm on polyethylene naphthalate (PEN) substrates before depositing indium-zinc-tin oxide (IZTO) thin films on them using RF magnetron sputtering, and their structural, morphological, optical, and electrical properties were evaluated. All IZTO thin films were successfully deposited without cracks or pinholes and had amorphous structures. As the thickness of the hafnia film increased to 30 nm, the overall properties improved; a surface roughness of 2.216 nm, transmittance of 82.59% at 550 nm, resistivity of 5.66 × 10-4 Ω cm, sheet resistance of 23.60 Ω/sq, and figure of merit of 6.26 × 10-3 Ω-1 were realized. These results indicate that the structure and materials studied in this research are suitable for application in flexible transparent electronic devices such as organic light emitting diodes, liquid crystal displays, touch panels, and solar cells.

  6. Chemical and Electronic Structure Studies of Refractory and Dielectric Thin Films.

    Science.gov (United States)

    Corneille, Jason Stephen

    This study presents the synthesis and characterization of oxide and refractory thin films under varying conditions. The deposition of the thin films is performed under vacuum conditions. The characterization of the growth, as well as the chemical and electronic properties of the thin films was accomplished using a broad array of surface analytical techniques. These model studies describe the relationship between the preparative processes and the stoichiometry, structure and electronic properties of the film products. From these efforts, the optimal deposition conditions for the production of high quality films have been established. The thin film oxides synthesized and studied here include magnesium oxide, silicon oxide and iron oxide. These oxides were synthesized on a refractory substrate using both post oxidation of thin films as well as reactive vapor deposition of the metals in the presence of an oxygen background. Comparisons and contrasts are presented for the various systems. Metallic magnesium films were grown and characterized as a preliminary study to the synthesis of magnesium oxide. Magnesium oxide (MgO(100)) was synthesized on Mo(100) by evaporating magnesium at a rate of one monolayer per minute in an oxygen background pressure of 1 times 10 ^{-6} Torr at room temperature. The resulting film was found to exhibit spectroscopic characteristics quite similar to those observed for bulk MgO. The acid/base characteristics of the films were studied using carbon monoxide, water and methanol as probe molecules. The film was found to exhibit essentially the same chemical properties as found in analogous powdered catalysts. Silicon dioxide was synthesized by evaporating silicon onto Mo(100) in an oxygen ambient. It is shown that the silicon oxide prepared at room temperature with a silicon deposition rate of {~ }{1.2}A/min and an oxygen pressure of 2 times 10^{ -8} Torr, consisted of predominantly silicon dioxide with a small fraction of suboxides. Annealing to

  7. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Huaping, E-mail: wuhuaping@gmail.com, E-mail: hpwu@zjut.edu.cn [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024 (China); Ma, Xuefu; Zhang, Zheng; Zeng, Jun; Chai, Guozhong [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); Wang, Jie [Department of Engineering Mechanics, School of Aeronautics and Astronautics, Zhejiang University, Hangzhou 310027 (China)

    2016-01-15

    The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110) orientation leads to a lower symmetry and more complicated phase transition than the (111) orientation in BaTiO{sub 3} films. The increase of compressive strain will dramatically enhance the Curie temperature T{sub C} of (110)-oriented BaTiO{sub 3} films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110)- and (111)-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  8. High-density carrier-accumulated and electrically stable oxide thin-film transistors from ion-gel gate dielectric.

    Science.gov (United States)

    Fujii, Mami N; Ishikawa, Yasuaki; Miwa, Kazumoto; Okada, Hiromi; Uraoka, Yukiharu; Ono, Shimpei

    2015-12-18

    The use of indium-gallium-zinc oxide (IGZO) has paved the way for high-resolution uniform displays or integrated circuits with transparent and flexible devices. However, achieving highly reliable devices that use IGZO for low-temperature processes remains a technological challenge. We propose the use of IGZO thin-film transistors (TFTs) with an ionic-liquid gate dielectric in order to achieve high-density carrier-accumulated IGZO TFTs with high reliability, and we discuss a distinctive mechanism for the degradation of this organic-inorganic hybrid device under long-term electrical stress. Our results demonstrated that an ionic liquid or gel gate dielectric provides highly reliable and low-voltage operation with IGZO TFTs. Furthermore, high-density carrier accumulation helps improve the TFT characteristics and reliability, and it is highly relevant to the electronic phase control of oxide materials and the degradation mechanism for organic-inorganic hybrid devices.

  9. Effect of the heat flux direction on electrical properties of SrBi2Nb2O9 thin films crystallized using a microwave oven

    International Nuclear Information System (INIS)

    Vasconcelos, J.S.; Vasconcelos, N.S.L.S.; Zanetti, S.M.; Leite, E.R.; Varela, J.A.; Longo, E.

    2004-01-01

    Ferroelectric SrBi 2 Nb 2 O 9 (SBN) thin films were prepared by the polymeric precursors method and deposited by spin coating onto Pt/Ti/SiO 2 /Si substrate and crystallized using a domestic microwave oven. It was studied the influence of the heat flux direction and the duration of the thermal treatment on the films crystallization. An element with high dielectric loss, a SiC susceptor, was used to absorb the microwave energy and transfers the heat to the film. Influence of the susceptor position to the sample crystallization was verified, the susceptor was placed or below the substrate or above the film. The SBN perovskite phase was observed after a thermal treatment at 700 deg. C for 10 min when the susceptor was placed below the substrate and for 30 min when the susceptor was placed above the film. Electrical measurements revealed that the film crystallized at 700 deg. C for 10 min, with the susceptor placed below the film, presented dielectric constant, dielectric loss, remanent polarization and coercive field of, 67, 0.011, 4.2 μC/cm 2 and 27.5 kV/cm, respectively. When the films were crystallized at 700 deg. C for 30 min, with the susceptor placed above the film, the dielectric constant was 115 and the dissipation factor was around of 0.033, remanent polarization and coercive field were 10.8 μC/cm 2 and 170 kV/cm, respectively

  10. Damping constant of Co/Pt multilayer thin-film media

    International Nuclear Information System (INIS)

    Fujita, N.; Inaba, N.; Kirino, F.; Igarashi, S.; Koike, K.; Kato, H.

    2008-01-01

    Gilbert's damping constants, α, of Co(t Co )/Pt (1.4 nm) multilayer thin films are investigated by Q-band FMR analysis. α is calculated from the resonance width of the FMR spectrum. With decreasing t Co , the α value decreases from 0.034 (t Co =8.7 nm) to 0.023 (t Co =1.8 nm), and then increases to 0.037 (t Co =1.0 nm). The decrease of α with t Co >1.8 nm is probably due to the eddy current loss effects. The increase of α with t Co <1.8 nm would be caused by the increase of the distortion between the Co and the Pt layers at the interface. When the magnetic field direction was changed from θ=90 deg. (parallel to the specimen) to θ=0 deg. (perpendicular to the specimen), the α of all the specimens increased, and a sharp step in α was observed around θ=40 deg., where the α has the maximum value

  11. On the dielectric and optical properties of surface-anchored metal-organic frameworks: A study on epitaxially grown thin films

    Science.gov (United States)

    Redel, Engelbert; Wang, Zhengbang; Walheim, Stefan; Liu, Jinxuan; Gliemann, Hartmut; Wöll, Christof

    2013-08-01

    We determine the optical constants of two highly porous, crystalline metal-organic frameworks (MOFs). Since it is problematic to determine the optical constants for the standard powder modification of these porous solids, we instead use surface-anchored metal-organic frameworks (SURMOFs). These MOF thin films are grown using liquid phase epitaxy (LPE) on modified silicon substrates. The produced SURMOF thin films exhibit good optical properties; these porous coatings are smooth as well as crack-free, they do not scatter visible light, and they have a homogenous interference color over the entire sample. Therefore, spectroscopic ellipsometry (SE) can be used in a straightforward fashion to determine the corresponding SURMOF optical properties. After careful removal of the solvent molecules used in the fabrication process as well as the residual water adsorbed in the voids of this highly porous solid, we determine an optical constant of n = 1.39 at a wavelength of 750 nm for HKUST-1 (stands for Hong Kong University of Science and Technology-1; and was first discovered there) or [Cu3(BTC)2]. After exposing these SURMOF thin films to moisture/EtOH atmosphere, the refractive index (n) increases to n = 1.55-1.6. This dependence of the optical properties on water/EtOH adsorption demonstrates the potential of such SURMOF materials for optical sensing.

  12. Achieving superior band gap, refractive index and morphology in composite oxide thin film systems violating the Moss rule

    International Nuclear Information System (INIS)

    Sahoo, N K; Thakur, S; Tokas, R B

    2006-01-01

    The interrelation between energy gap and high frequency refractive index in semiconductors and dielectrics is manifested by an inverse law which is popularly known as the Moss rule. This semi-empirical relationship is based on the fundamental principle that in a dielectric medium all energy levels are scaled down by a factor of the square of the dielectric constant. Such a rule is obeyed by most pure semiconductors and dielectrics with a few rare violations in composite materials which display several interesting parametric and microstructural evolutions. The present results are based on some specific oxide composite thin films involving Gd 2 O 3 /SiO 2 and ZrO 2 /SiO 2 codeposited systems that have displayed a superior refractive index and energy gaps violating the semi-empirical Moss rule. Also, morphological supremacy is also distinctly noticed in these composites. The novel microstructural and polarizability properties of such composite systems were probed through multi-mode atomic force microscopy and phase modulated spectroscopic ellipsometry using refractive index modelling, autocorrelation and height-height correlation functional analyses. These binary composite thin films have shown their potential as well as the possibility of meeting expectations in satisfying the challenging optical coating requirements of the deep ultraviolet spectral region

  13. Structural, optical and electrical properties of cadmium-doped lead chalcogenide (PbSe) thin films

    International Nuclear Information System (INIS)

    Khan, Shamshad A.; Khan, Zishan H.; El-Sebaii, A.A.; Al-Marzouki, F.M.; Al-Ghamdi, A.A.

    2010-01-01

    (PbSe) 100-x Cd x thin films of thickness 3000 A with variable concentrations of Cd (x=5, 10, 15 and 20) were prepared by thermal evaporation on glass substrates at room temperature at a base pressure of 10 -6 Torr. The structural, optical and electrical properties of these films were studied. X-ray diffraction patterns were used to determine the crystal structure of the films. Films were of polycrystalline texture over the whole range of study. Optical constants of all films were determined by absorbance and reflection measurements in a wavelength range 400-1200 nm. Analysis of the optical absorption data showed that the rule of direct transitions predominates. The values of the absorption coefficient (α), extinction coefficient (k) and imaginary part of the dielectric constant were found to increase with increasing Cd content in lead chalcogenides while the refractive index (n) and real part of dielectric constant were increased with increasing Cd concentration up to 15% and then they decreased with 20% of Cd content in PbSe. These results were interpreted in terms of the change in concentration of localized states due to the shift in Fermi level. The dc conductivities and activation energies of the films were measured in the temperature range 298-398 K. It was observed that the dc conductivity increases at all temperatures with the increase of Cd content in lead chalcogenide system. The experimental data suggests that the conduction is due to the thermally assisted tunneling of the carriers in the localized states near the band edges. The activation energy and optical band gap were found to decrease with increasing Cd concentration in lead chalcogenide.

  14. Structural and Optical Properties of Nanocrystalline 3,4,9,10-Perylene-Tetracarboxylic-Diimide Thin Film

    Directory of Open Access Journals (Sweden)

    M. M. El-Nahhas

    2012-01-01

    Full Text Available Thin films of nanocrystalline 3,4,9,10-perylene-tetracarboxylic-diimide (PTCDI were prepared on quartz substrates by thermal evaporation technique. The structural properties were identified by transmission electron microscopy (TEM and the X-ray diffraction (XRD. The optical properties for the films were investigated using spectrophotometric measurements of the transmittance and reflectance at normal incidence of light in the wavelength range from 200 to 2500 nm. The optical constants (refractive index n and absorption index k were calculated and found to be independent on the film thickness in the measured film thickness range 117–163 nm. The dispersion energy (Ed, the oscillator energy (Eo, and the high-frequency dielectric constant ε∞ were obtained. The energy band model was applied, and the types of the optical transitions responsible for optical absorption were found to be indirect allowed transition. The onset and optical energy gaps were calculated, and the obtained results were also discussed.

  15. Aerosol Combustion Synthesis of Nanopowders and Processing to Functional Thin Films

    Science.gov (United States)

    Yi, Eongyu

    In this dissertation, the advantages of liquid-feed flame spray pyrolysis (LF-FSP) process in producing nanoparticles (NPs) as well as processing the produced NPs to ceramic/polymer nanocomposite films and high density polycrystalline ceramic films are demonstrated. The LF-FSP process aerosolizes alcohol solutions of metalloorganic precursors by oxygen and combusts them at > 1500 °C. The combustion products are rapidly quenched ( 10s of ms) to green and potentially lower cost alternative. We then show the versatility of NPs in formulating flexible ceramic/polymer nanocomposites (BaTiO3/epoxy) with superior properties. Volume fractions of the BaTiO3 filler and composite film thicknesses were controlled to adjust the net dielectric constant and the capacitance. Measured net dielectric constants further deviated from theory, with increasing solids loadings, due to NP agglomeration. Wound nanocomposite capacitors showed ten times higher capacitance compared to the commercial counterpart. Following series of studies explore the use of flame made NPs in processing Li+ conducting membranes. Systematic doping studies were conducted in the LiTi2(PO4)3 system to modify the lattice constant, conduction channel width, and sintering behavior by introducing Al3+ and Si4+ dopants. Excess Li2O content was also adjusted to observe its effect on final microstructures and phase compositions. Improved densification rates were found in Li1.7 Al0.3Ti1.7Si0.4P2.6O 12 composition and thin films (52+/-1 microm) with conductivities of 0.3-0.5 mS cm-1 were achieved. Li6.25M0.25La3Zr2O12 (M = Al3+, Ga3+) thin films (25-28 microm) with conductivities of 0.2-1.3 mS cm-1 were also successfully processed using flame made NPs, overcoming processing challenges extant, resulting in significantly reduced energy input required for densification. Heating schedules, sintering atmospheres, and types of substrates were controlled to observe their effect on the sintering behavior. Furthermore, green film

  16. Development of optical thin film technology for lasers and synchrotron radiation

    International Nuclear Information System (INIS)

    Apparao, K.V.S.R.; Bagchi, T.C.; Sahoo, N.K.

    1985-01-01

    Dielectric multilayer optical thin film devices play an important role not only in the working of lasers but also in different front line research activities using high power lasers and high intensity synchrotron radiation sources. Facilities are set up recently in the Spectroscopy Division to develop the optical thin film design and fabrication technologies indigeneously. Using the facilities thin film devices for different laser applications working in the wavelength range from 300 nm to 1064 nm were developed. Different technical aspects involved in the technology development are briefly described. (author)

  17. Logic circuits composed of flexible carbon nanotube thin-film transistor and ultra-thin polymer gate dielectric

    Science.gov (United States)

    Lee, Dongil; Yoon, Jinsu; Lee, Juhee; Lee, Byung-Hyun; Seol, Myeong-Lok; Bae, Hagyoul; Jeon, Seung-Bae; Seong, Hyejeong; Im, Sung Gap; Choi, Sung-Jin; Choi, Yang-Kyu

    2016-05-01

    Printing electronics has become increasingly prominent in the field of electronic engineering because this method is highly efficient at producing flexible, low-cost and large-scale thin-film transistors. However, TFTs are typically constructed with rigid insulating layers consisting of oxides and nitrides that are brittle and require high processing temperatures, which can cause a number of problems when used in printed flexible TFTs. In this study, we address these issues and demonstrate a method of producing inkjet-printed TFTs that include an ultra-thin polymeric dielectric layer produced by initiated chemical vapor deposition (iCVD) at room temperature and highly purified 99.9% semiconducting carbon nanotubes. Our integrated approach enables the production of flexible logic circuits consisting of CNT-TFTs on a polyethersulfone (PES) substrate that have a high mobility (up to 9.76 cm2 V-1 sec-1), a low operating voltage (less than 4 V), a high current on/off ratio (3 × 104), and a total device yield of 90%. Thus, it should be emphasized that this study delineates a guideline for the feasibility of producing flexible CNT-TFT logic circuits with high performance based on a low-cost and simple fabrication process.

  18. Effect of vacuum annealing and substrate temperature on structural and optical properties of ZnIn2Se4 thin films

    Science.gov (United States)

    El-Nahass, M. M.; Attia, A. A.; Salem, G. F.; Ali, H. A. M.; Ismail, M. I.

    2013-09-01

    Zinc indium selenide (ZnIn2Se4) thin films were prepared by the thermal evaporation technique with high deposition rate. The effect of thermal annealing in vacuum on the crystallinity of the as-deposited films was studied at different temperatures (523, 573 and 623 K). The effect of substrate temperature (623 K) for different thickness values (173, 250, 335 and 346 nm) on the optical parameters of ZnIn2Se4 was also studied. The structural studies showed nanocrystalline nature of the room temperature (300 K) deposited films with crystallite size of about a few nanometers. The crystallite size increased up to 31 nm with increasing the annealing temperature in vacuum. From the reflection and transmission data, the refractive index n and the extinction coefficient k were estimated for ZnIn2Se4 thin films and they were found to be independent of film thickness. Analysis of the absorption coefficient data of the as-deposited films revealed the existence of allowed direct and indirect transitions with optical energy gaps of 2.21 eV and 1.71 eV, respectively. These values decreased with increasing annealing temperature. At substrate temperature of 623 K, the direct band gap increased to 2.41 eV whereas the value of indirect band gap remained nearly unchanged. The dispersion analysis showed that the values of the oscillator energy Eo, dispersion energy Ed, dielectric constant at infinite frequency ε∞, and lattice dielectric constant εL were changed appreciably under the effect of annealing and substrate temperature. The covalent nature of structure was studied as a function of the annealing and substrate temperature using an empirical relation for the dispersion energy Ed. Generalized Miller's rule and linear refractive index were used to estimate the nonlinear susceptibility and nonlinear refractive index of the thin films.

  19. Dielectric films for solar and laser-pushed lightsails

    International Nuclear Information System (INIS)

    Landis, Geoffrey A.

    2000-01-01

    This project analyzed the potential use of dielectric thin films for solar and laser sails. Such light-pushed sails allow the possibility of fuel-free propulsion in space. This makes possible missions of extremely high delta-V, potentially as high as 30,000 km/sec (0.1c), which is required for a fly-by mission to a nearby star

  20. Capacitor Property and Leakage Current Mechanism of ZrO2 Thin Dielectric Films Prepared by Anodic Oxidation

    Science.gov (United States)

    Kamijyo, Masahiro; Onozuka, Tomotake; Shinkai, Satoko; Sasaki, Katsutaka; Yamane, Misao; Abe, Yoshio

    2003-07-01

    Polycrystalline ZrO2 thin film capacitors were prepared by anodizing sputter-deposited Zr films. Electrical measurements are performed for the parallel-plate anodized capacitors with an Al-ZrO2-Zr (metal-insulator-metal) structure, and a high capacitance density (0.6 μF/cm2) and a low dielectric loss of nearly 1% are obtained for a very thin-oxide capacitor anodized at 10 V. In addition, the leakage current density of this capacitor is about 1.8 × 10-8 A/cm2 at an applied voltage of 5 V. However, the leakage current is somewhat larger than that of a low-loss HfO2 capacitor. The leakage current density (J) of ZrO2 capacitors as a function of applied electric field (E) was investigated for several capacitors with different oxide thicknesses, by plotting \\ln(J) vs E1/2 curves. As a result, it is revealed that the conduction mechanism is due to the Poole-Frenkel effect, irrespective of the oxide thickness.

  1. Zinc concentration effect on structural, optical and electrical properties of Cd{sub 1−x}Zn{sub x}Se thin films

    Energy Technology Data Exchange (ETDEWEB)

    Akaltun, Yunus [Department of Electrical and Electronic, Engineering Faculty, Erzincan University, Erzincan (Turkey); Yıldırım, M. Ali, E-mail: mayildirim@erzincan.edu.tr [Department of Physics, Science and Art Faculty, Erzincan University, Erzincan (Turkey); Ateş, Aytunç [Department of Material Engineering, Engineering and Natural Sciences Faculty, Yıldırım Beyazıt University, Ankara (Turkey); Yıldırım, Muhammet [Department of Physics, Science Faculty, Atatürk University, Erzurum (Turkey)

    2012-11-15

    Highlights: ► Cd{sub 1−x}Zn{sub x}Se thin films were deposited using SILAR method. ► The electron effective mass, refractive index, dielectric constant values were calculated by using the energy bandgap values as a function of the zinc concentration (x). ► The resistivity and activation energy changed as a function of the zinc concentration (x). -- Abstract: Cd{sub 1−x}Zn{sub x}Se thin films with different compositions (x = 0.0, 0.2, 0.4, 0.6, 0.8 and 1.0) were deposited on glass substrates using successive ionic layer adsorption and reaction (SILAR) method at room temperature and ambient pressure. The zinc concentration (x) effect on the structural, morphological, optical and electrical properties of Cd{sub 1−x}Zn{sub x}Se thin films were investigated. The X-ray diffraction (XRD) and scanning electron microscopy (SEM) studies showed that all the films exhibited polycrystalline nature and were covered well on glass substrates. The energy dispersive X-ray (EDAX) analysis confirmed nearly stoichiometric deposition of the films. The energy bandgap values were changed from 1.99 to 2.82 eV depending on the zinc concentration. Bowing parameter was calculated as 0.08 eV. The electron effective mass (m{sub e}*/m{sub o}), refractive index (n), optical static and high frequency dielectric constants (ε{sub o}, ε{sub ∞}) values were calculated by using the energy bandgap values as a function of the zinc concentration. The resistivity values of the films changed between 10{sup 5} and 10{sup 7} Ω cm with increasing zinc concentration at room temperature.

  2. Thin-film growth and the shadow instability

    International Nuclear Information System (INIS)

    Karunasiri, R.P.U.; Bruinsma, R.; Rudnick, J.

    1989-01-01

    We propose a growth model for deposition of thin amorphous films by the sputtering technique. For small values of the diffusion constant, the film develops a self-similar mountain landscape. As the diffusion constant is increased a regime is reached where growth of compact flat films is possible up to a critical height. Further deposition leads to surface roughening

  3. A study of the microstructure and optical properties of thin lead-dielectric cermet films. Ph.D. Thesis - Va. Polytechnic Inst. and State Univ.

    Science.gov (United States)

    Owen, R. B.

    1972-01-01

    A transmission electron microscopy study involving direct and replicating techniques is directed to a definition of the microstructure of radio frequency-sputtered, thin lead-dielectric cermet films. Once defined, this microstructure is used to obtain theoretical film refractive indices. The Maxwell Garnett theory provides a basis for the theoretical results. Measurements of film transmission and reflectivity are used to obtain rough experimental values for film refractive indices by the Tekucheva method. More exact values are obtained via ellipsometry. The rough Tekucheva values are used to determine the range over which computer calculations interpreting the ellipsometric results must be made. This technique yields accurate values for the film refractive indices.

  4. Acoustic Phonons and Mechanical Properties of Ultra-Thin Porous Low-k Films: A Surface Brillouin Scattering Study

    Science.gov (United States)

    Zizka, J.; King, S.; Every, A.; Sooryakumar, R.

    2018-04-01

    To reduce the RC (resistance-capacitance) time delay of interconnects, a key development of the past 20 years has been the introduction of porous low-k dielectrics to replace the traditional use of SiO2. Moreover, in keeping pace with concomitant reduction in technology nodes, these low-k materials have reached thicknesses below 100 nm wherein the porosity becomes a significant fraction of the film volume. The large degree of porosity not only reduces mechanical strength of the dielectric layer but also renders a need for non-destructive approaches to measure the mechanical properties of such ultra-thin films within device configurations. In this study, surface Brillouin scattering (SBS) is utilized to determine the elastic constants, Poisson's ratio, and Young's modulus of these porous low-k SiOC:H films (˜ 25-250 nm thick) grown on Si substrates by probing surface acoustic phonons and their dispersions.

  5. FDTD simulations and analysis of thin sample dielectric properties measurements using coaxial probes

    Energy Technology Data Exchange (ETDEWEB)

    Bringhurst, S.; Iskander, M.F.; White, M.J. [Univ. of Utah, Salt Lake City, UT (United States). Electrical Engineering Dept.

    1996-12-31

    A metallized ceramic probe has been designed for high temperature broadband dielectric properties measurements. The probe was fabricated out of an alumina tube and rod as the outer and inner conductors respectively. The alumina was metallized with a 3 mil layer of moly-manganese and then covered with a 0.5 mil protective layer of nickel plating. The probe has been used to make complex dielectric properties measurements over the complete frequency band from 500 MHz to 3 GHz, and for temperatures as high as 1,000 C. A 3D Finite-Difference Time-Domain (FDTD) code was used to help investigate the feasibility of this probe to measure the complex permittivity of thin samples. It is shown that by backing the material under test with a standard material of known dielectric constant, the complex permittivity of thin samples can be measured accurately using the developed FDTD algorithm. This FDTD procedure for making thin sample dielectric properties measurements will be described.

  6. Dielectric and electrical study of PPy doped PVA-PVP films

    Science.gov (United States)

    Jha, Sushma; Tripathi, Deepti

    2018-05-01

    Dielectric parameters of free standing films of pure PVA (PolyvinylAlcohol) and PVA with varying concentrations of PVP(Polyvinylpyrrolidone) and Polypyrrole were prepared and studied in low frequency range (100Hz - 2MHz). The results show that dielectric constant, loss tangent and conductivity increase sharply on increasing the concentration of PVP above 50wt% in polymer matrix. PVA-PVP film with low concentration of PPy showed improvement in the values of complex permittivity, loss tangent and ac conductivity within the experimental frequency range. This eco - friendly polymeric material will be studied for its probable application for RFI/EMI shielding, biosensors, capacitors & insulation purposes.

  7. Improvement in interfacial characteristics of low-voltage carbon nanotube thin-film transistors with solution-processed boron nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Jun-Young; Ha, Tae-Jun, E-mail: taejunha0604@gmail.com

    2017-08-15

    Highlights: • We demonstrate the potential of solution-processed boron nitride (BN) thin films for nanoelectronics. • Improved interfacial characteristics reduced the leakage current by three orders of magnitude. • The BN encapsulation improves all the device key metrics of low-voltage SWCNT-TFTs. • Such improvements were achieved by reduced interaction of interfacial localized states. - Abstract: In this article, we demonstrate the potential of solution-processed boron nitride (BN) thin films for high performance single-walled carbon nanotube thin-film transistors (SWCNT-TFTs) with low-voltage operation. The use of BN thin films between solution-processed high-k dielectric layers improved the interfacial characteristics of metal-insulator-metal devices, thereby reducing the current density by three orders of magnitude. We also investigated the origin of improved device performance in SWCNT-TFTs by employing solution-processed BN thin films as an encapsulation layer. The BN encapsulation layer improves the electrical characteristics of SWCNT-TFTs, which includes the device key metrics of linear field-effect mobility, sub-threshold swing, and threshold voltage as well as the long-term stability against the aging effect in air. Such improvements can be achieved by reduced interaction of interfacial localized states with charge carriers. We believe that this work can open up a promising route to demonstrate the potential of solution-processed BN thin films on nanoelectronics.

  8. Theoretical investigation of the thermodynamic properties of metallic thin films

    International Nuclear Information System (INIS)

    Hung, Vu Van; Phuong, Duong Dai; Hoa, Nguyen Thi; Hieu, Ho Khac

    2015-01-01

    The thermodynamic properties of metallic thin films with face-centered cubic structure at ambient conditions were investigated using the statistical moment method including the anharmonicity effects of thermal lattice vibrations. The analytical expressions of Helmholtz free energy, lattice parameter, linear thermal expansion coefficient, specific heats at the constant volume and constant pressure were derived in terms of the power moments of the atomic displacements. Numerical calculations of thermodynamic properties have been performed for Au and Al thin films and compared with those of bulk metals. This research proposes that thermodynamic quantities of thin films approach the values of bulk when the thickness of thin film is about 70 nm. - Highlights: • Thermodynamic properties of thin films were investigated using the moment method. • Expressions of Helmholtz energy, expansion coefficient, specific heats were derived. • Calculations for Au, Al thin films were performed and compared with those of bulks

  9. Theoretical investigation of the thermodynamic properties of metallic thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Vu Van [Vietnam Education Publishing House, 81 Tran Hung Dao, Hanoi (Viet Nam); Phuong, Duong Dai [Hanoi National University of Education, 136 Xuan Thuy, Hanoi (Viet Nam); Hoa, Nguyen Thi [University of Transport and Communications, Lang Thuong, Dong Da, Hanoi (Viet Nam); Hieu, Ho Khac, E-mail: hieuhk@duytan.edu.vn [Institute of Research and Development, Duy Tan University, K7/25 Quang Trung, Danang (Viet Nam)

    2015-05-29

    The thermodynamic properties of metallic thin films with face-centered cubic structure at ambient conditions were investigated using the statistical moment method including the anharmonicity effects of thermal lattice vibrations. The analytical expressions of Helmholtz free energy, lattice parameter, linear thermal expansion coefficient, specific heats at the constant volume and constant pressure were derived in terms of the power moments of the atomic displacements. Numerical calculations of thermodynamic properties have been performed for Au and Al thin films and compared with those of bulk metals. This research proposes that thermodynamic quantities of thin films approach the values of bulk when the thickness of thin film is about 70 nm. - Highlights: • Thermodynamic properties of thin films were investigated using the moment method. • Expressions of Helmholtz energy, expansion coefficient, specific heats were derived. • Calculations for Au, Al thin films were performed and compared with those of bulks.

  10. A Study of the Dielectric Breakdown of SiO2 Films on Si by the Self- Quenching Technique

    Science.gov (United States)

    1974-10-01

    Cambell . Much of the early work on the breakdown of oxide films in 2 1 Q MOS structures was done by N. Klein and his coworkers...Electron Physics, 26, Academic Press. New York (1969). P. J. Harrop and D. S. Cambell , "Dielectric Properties of Thin Films," Handbook of Thin Film

  11. Solid-state densification of spun-cast self-assembled monolayers for use in ultra-thin hybrid dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Hutchins, Daniel O.; Acton, Orb [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Weidner, Tobias [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Cernetic, Nathan [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Baio, Joe E. [Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Castner, David G. [Department of Bioengineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemical Engineering, University of Washington, Seattle, WA 98195 (United States); Ma, Hong, E-mail: hma@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Jen, Alex K.-Y., E-mail: ajen@uw.edu [Department of Materials Science and Engineering, University of Washington, Seattle, WA 98195 (United States); Department of Chemistry, University of Washington, Seattle, WA 98195 (United States)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer Rapid processing of SAM in ambient conditions is achieved by spin coating. Black-Right-Pointing-Pointer Thermal annealing of a bulk spun-cast molecular film is explored as a mechanism for SAM densification. Black-Right-Pointing-Pointer High-performance SAM-oxide hybrid dielectric is obtained utilizing a single wet processing step. - Abstract: Ultra-thin self-assembled monolayer (SAM)-oxide hybrid dielectrics have gained significant interest for their application in low-voltage organic thin film transistors (OTFTs). A [8-(11-phenoxy-undecyloxy)-octyl]phosphonic acid (PhO-19-PA) SAM on ultrathin AlO{sub x} (2.5 nm) has been developed to significantly enhance the dielectric performance of inorganic oxides through reduction of leakage current while maintaining similar capacitance to the underlying oxide structure. Rapid processing of this SAM in ambient conditions is achieved by spin coating, however, as-cast monolayer density is not sufficient for dielectric applications. Thermal annealing of a bulk spun-cast PhO-19-PA molecular film is explored as a mechanism for SAM densification. SAM density, or surface coverage, and order are examined as a function of annealing temperature. These SAM characteristics are probed through atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), and near edge X-ray absorption fine structure spectroscopy (NEXAFS). It is found that at temperatures sufficient to melt the as-cast bulk molecular film, SAM densification is achieved; leading to a rapid processing technique for high performance SAM-oxide hybrid dielectric systems utilizing a single wet processing step. To demonstrate low-voltage devices based on this hybrid dielectric (with leakage current density of 7.7 Multiplication-Sign 10{sup -8} A cm{sup -2} and capacitance density of 0.62 {mu}F cm{sup -2} at 3 V), pentacene thin-film transistors (OTFTs) are fabricated and yield sub 2 V operation and charge carrier mobilites of up to

  12. Electrical behaviors of c-axis textured 0.975Bi{sub 0.5}Na{sub 0.5}TiO{sub 3}–0.025BiCoO{sub 3} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Feifei [Department of Physics, Condensed Matter Science and Technology Institute, Harbin Institute of Technology, Harbin 150080 (China); Yang, Bin, E-mail: binyang@hit.edu.cn [Department of Physics, Condensed Matter Science and Technology Institute, Harbin Institute of Technology, Harbin 150080 (China); Zhang, Shantao, E-mail: stzhang@mail.nju.edu.cn [Department of Materials Science and Engineering and National Laboratory of Solid State Microstructures, Nanjing University, Nanjing 210093 (China); Liu, Danqing [School of Chemical Engineering and Technology, Harbin Institute of Technology, Harbin 150080 (China); Wu, Fengmin [Department of Physics, Condensed Matter Science and Technology Institute, Harbin Institute of Technology, Harbin 150080 (China); Wang, Dali [School of Chemical Engineering and Technology, Harbin Institute of Technology, Harbin 150080 (China); Cao, Wenwu [Department of Physics, Condensed Matter Science and Technology Institute, Harbin Institute of Technology, Harbin 150080 (China); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States)

    2013-10-15

    The thin films of 0.975Bi{sub 0.5}Na{sub 0.5}TiO{sub 3}–0.025BiCoO{sub 3} (BNT-BC) have been successfully deposited on (1 1 1) Pt/Ti/SiO{sub 2}/Si (1 0 0) substrates by pulse laser deposition and their ferroelectric, dielectric, local piezoelectric properties and temperature dependent leakage current behaviors have been investigated systematically. X-ray diffraction indicates the films are single phased and c-axis oriented. The thin films exhibit ferroelectric polarization–electric field (P–E) hysteresis loop with a remnant polarization (P{sub r}) of 10.0 μC/cm{sup 2} and an excellent fatigue resistance property up to 5 × 10{sup 9} switching cycles. The dielectric constant and dielectric loss are 500 and 0.22 at 1 kHz, respectively. The tunability of the dielectric constant is about 12% at 20 kV/mm. The piezo-phase response hysteresis loop and piezo-amplitude response butterfly curve are observed by switching spectroscopy mode of piezoelectric force microscope (SS-PFM) and the piezoelectric coefficient d{sub 33} is about 19–63 pm/V, which is comparable to other reports. The dominant leakage current conduction mechanisms are ohmic conduction at low electric field and Schottky emission at high electric field, respectively. Our results may be helpful for further work on BNT-based thin films with improved electric properties.

  13. Optical and Dielectric Properties of CuAl2O4 Films Synthesized by Solid-Phase Epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Leu, L. C. [University of Florida, Gainesville; Norton, David P. [University of Florida; Jellison Jr, Gerald Earle [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Xiong, X. [SuperPower Incorporated, Schenectady, New York

    2007-01-01

    The synthesis and properties of CuAl{sub 2}O{sub 4} thin films have been examined. The CuAl{sub 2}O{sub 4} films were deposited via reactive direct current magnetron sputter using a CuAl{sub 2} target. As-deposited films were amorphous. Post-deposition annealing at high temperature in oxygen yielded solid-phase epitaxy on MgO. The film orientation was cube-on-cube epitaxy on (001) MgO single-crystal substrates. The films were transparent to visible light. The band gap of crystalline CuAl{sub 2}O{sub 4} was determined to be {approx} 4 eV using a Tauc plot from the optical transmission spectrum. The dielectric constant of the amorphous films was determined to be {approx} 20-23 at 1-100 kHz.

  14. Polyhedral oligomeric silsequioxane monolayer as a nanoporous interlayer for preparation of low-k dielectric films

    International Nuclear Information System (INIS)

    Liu, Y-L; Liu, C-S; Cho, C-I; Hwu, M-J

    2007-01-01

    Polyhedral oligomeric silsequioxane (POSS) monomer was fixed to a silicon surface by reacting octakis(glycidyldimethylsiloxy)octasilsesquioxane (OG-POSS) with the OH-terminated silicon surface in the presence of tin (II) chloride. The POSS cage layer then served as a nanoporous interlayer to reduce the dielectric constants of polyimide films on silicon surfaces. The chemical structure and surface morphology of OG-POSS modified silicon surfaces were characterized with XPS. With the introduction of a POSS nanopored interlayer, the dielectric constants of polyimide films were reduced

  15. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  16. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  17. Determination and analysis of dispersive optical constants of CuIn{sub 3}S{sub 5} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Khemiri, N., E-mail: naoufel_khemiri@yahoo.f [Laboratoire de Photovoltaique et Materiaux Semiconducteurs-ENIT, Universite Tunis, ElManar BP 37, Le belvedere, 1002 Tunis (Tunisia); Sinaoui, A.; Kanzari, M. [Laboratoire de Photovoltaique et Materiaux Semiconducteurs-ENIT, Universite Tunis, ElManar BP 37, Le belvedere, 1002 Tunis (Tunisia)

    2011-04-15

    CuIn{sub 3}S{sub 5} thin films were prepared from powder by thermal evaporation under vacuum (10{sup -6} mbar) onto glass substrates. The glass substrates were heated from 30 to 200 {sup o}C. The films were characterized for their optical properties using optical measurement techniques (transmittance and reflectance). We have determined the energy and nature of the optical transitions of films. The optical constants of the deposited films were determined in the spectral range 300-1800 nm from the analysis of transmission and reflection data. The Swanepoel envelope method was employed on the interference fringes of transmittance patterns for the determination of variation of refractive index with wavelength. Wemple-Di Domenico single oscillator model was applied to determine the optical constants such as oscillator energy E{sub 0} and dispersion energy E{sub d} of the films deposited at different substrate temperatures. The electric free carrier susceptibility and the ratio of the carrier concentration to the effective mass were estimated according to the model of Spitzer and Fan.

  18. Investigation of terbium scandate as an alternative gate dielectric in fully depleted transistors

    Science.gov (United States)

    Roeckerath, M.; Lopes, J. M. J.; Özben, E. Durǧun; Urban, C.; Schubert, J.; Mantl, S.; Jia, Y.; Schlom, D. G.

    2010-01-01

    Terbium scandate thin films were deposited by e-gun evaporation on (100) silicon substrates. Rutherford backscattering spectrometry and x-ray diffraction studies revealed homogeneous chemical compositions of the films. A dielectric constant of 26 and CV-curves with small hystereses were measured as well as low leakage current densities of <1 nA/cm2. Fully depleted n-type field-effect transistors on thin silicon-on-insulator substrates with terbium scandate gate dielectrics were fabricated with a gate-last process. The devices show inverse subthreshold slopes of 80 mV/dec and a carrier mobility for electrons of 225 cm2/V•s was extracted.

  19. Photocatalytic activity of galvanically synthesized nanostructure SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jana, Sumanta, E-mail: sumantajana85@gmail.com [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Mitra, Bibhas Chandra [Department of Physics, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Bera, Pulakesh [Department of Chemistry, Panskura Banamali College, Purba Medinipur, Panskura 721152, WB (India); Sikdar, Moushumi [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India); Mondal, Anup, E-mail: anupmondal2000@yahoo.co.in [Department of Chemistry, Bengal Engineering and Science University, Botanic Garden, Howrah 711103, WB (India)

    2014-07-25

    Graphical abstract: Nanostructured porous tin dioxide (SnO{sub 2}) thin films have been synthesized by simple and cost effective galvanic technique. The synthesized porous SnO{sub 2} thin films show excellent photocatalytic activity for degrading methyl orange (MO) dye under light irradiation. The porous morphological grain growth due to annealing is likely to play an active role for this degradation. - Highlights: • SnO{sub 2} thin films have been successfully synthesized by galvanic technique. • A drastic morphological change occurs after annealing as deposited SnO{sub 2} thin films. • Morphological advantage results enhanced photodegradation of dye. - Abstract: The study demonstrates an approach to synthesize nanostructure SnO{sub 2} thin films on TCO (transparent conducting oxide) coated glass substrates by galvanic technique. Aqueous solution of hydrated stannic chloride (SnCl{sub 4}⋅5H{sub 2}O) in potassium nitrate (KNO{sub 3}) solution was used as the working solution. The process involves no sophisticated reactor or toxic chemicals, and proceeds continuously under ambient condition; it provides an economic way of synthesizing nanostructure SnO{sub 2} semiconductor thin films. The influence of sintering temperature on crystalline structure, morphology, electrical and dielectric properties has been studied. A detail analysis of I−V, C−V and dielectrics for annealed SnO{sub 2} thin films have been carried out. The morphological advantage i.e. nanoporous flake like structure allows more efficient transport of reactant molecules to the active interfaces and results a strong photocatalytic activity for degrading methyl orange (MO) dye.

  20. Conduction mechanism and dielectric properties of a Se{sub 80}Ge{sub 20-x}Cd{sub x} (x = 0, 6 and 12 at.wt%) films

    Energy Technology Data Exchange (ETDEWEB)

    Shakra, A.M.; Farid, A.S.; Hegab, N.A.; Afifi, M.A. [Ain Shams University, Physics Department, Semiconductor Lab, Faculty of Education, Cairo (Egypt); Alrebati, A.M. [Taiz University, Physics Department, Faculty of Education, Taiz (Yemen)

    2016-09-15

    AC conductivity and dielectric properties of Se{sub 80}Ge{sub 20-x}Cd{sub x} (0 ≤ x ≤ 12 at.wt%) in thin film forms are reported in this paper. Thin films were deposited from the prepared compositions by thermal evaporation technique at 10{sup -5} Torr. The films were well characterized by X-ray diffraction, differential thermal analysis and energy-dispersive X-ray spectroscopy. The AC conductivity and dielectric properties have been investigated for the studied films in the temperature range 293-393 K and over a frequency range of 10{sup 2}-10{sup 5} Hz. The experimental results indicate that both AC conductivity σ {sub AC}(ω) and dielectric constants depend on temperature, frequency and Cd content. The frequency exponent s was calculated, and its value lies very close to unity and is temperature independent. This behavior can be explained in terms of the correlated barrier hopping between centers forming intimate valence alternation pairs. The density of localized states N(E{sub F}) at the Fermi level is estimated. The activation energy ΔE(ω) was found to decrease with increasing frequency. The maximum barrier height W{sub m} for the studied films was calculated from an analysis of the dielectric loss ε{sub 2} according to the Guintini equation. Its values agree with that proposed by the theory of hopping of charge carriers over potential barrier as suggested by Elliott for chalcogenide glasses. The variation of the studied properties with Cd content was also investigated. (orig.)

  1. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  2. Tungsten trioxide as high-{kappa} gate dielectric for highly transparent and temperature-stable zinc-oxide-based thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Michael; Wenckstern, Holger von; Grundmann, Marius [Universitaet Leipzig, Fakultaet fuer Physik und Geowissenschaften, Institut fuer Experimentelle Physik II, Linnestr. 5, 04103 Leipzig (Germany)

    2012-07-01

    We demonstrate metal-insulator-semiconductor field-effect transistors with high-{kappa}, room-temperature deposited, highly transparent tungsten trioxide (WO{sub 3}) as gate dielectric. The channel material consists of a zinc oxide (ZnO) thin-film. The transmittance and resistivity of WO{sub 3} films was tuned in order to obtain a highly transparent and insulating WO{sub 3} dielectric. The devices were processed by standard photolithography using lift-off technique. On top of the WO{sub 3} dielectric a highly transparent and conductive oxide consisting of ZnO: Al 3% wt. was deposited. The gate structure of the devices exhibits an average transmittance in the visible spectral range of 86%. The on/off-current ratio is larger than 10{sup 8} with off- and gate leakage-currents below 3 x 10{sup -8} A/cm{sup 2}. Due to the high relative permittivity of {epsilon}{sub r} {approx} 70, a gate voltage sweep of only 2 V is necessary to turn the transistor on and off with a minimum subthreshold swing of 80 mV/decade. The channel mobility of the transistors equals the Hall-effect mobility with a value of 5 cm{sup 2}/Vs. It is furthermore shown, that the devices are stable up to operating temperatures of at least 150 C.

  3. Characterization of plasmonic effects in thin films and metamaterials using spectroscopic ellipsometry

    NARCIS (Netherlands)

    Oates, T.W.H.; Wormeester, Herbert; Arwin, H.

    2011-01-01

    In this article, spectroscopic ellipsometry studies of plasmon resonances at metal–dielectric interfaces of thin films are reviewed. We show how ellipsometry provides valuable non-invasive amplitude and phase information from which one can determine the effective dielectric functions, and how these

  4. Multiple scattering in grazing-incidence X-ray diffraction: impact on lattice-constant determination in thin films

    Energy Technology Data Exchange (ETDEWEB)

    Resel, Roland, E-mail: roland.resel@tugraz.at; Bainschab, Markus; Pichler, Alexander [Graz University of Technology, Graz (Austria); Dingemans, Theo [Delft University of Technology, Delft (Netherlands); Simbrunner, Clemens [Johannes Kepler University, Linz (Austria); University of Bremen, Bremen (Germany); Stangl, Julian [Johannes Kepler University, Linz (Austria); Salzmann, Ingo [Humboldt University, Berlin (Germany)

    2016-04-20

    The use of grazing-incidence X-ray diffraction to determine the crystal structure from thin films requires accurate positions of Bragg peaks. Refraction effects and multiple scattering events have to be corrected or minimized. Dynamical scattering effects are observed in grazing-incidence X-ray diffraction experiments using an organic thin film of 2,2′:6′,2′′-ternaphthalene grown on oxidized silicon as substrate. Here, a splitting of all Bragg peaks in the out-of-plane direction (z-direction) has been observed, the magnitude of which depends both on the incidence angle of the primary beam and the out-of-plane angle of the scattered beam. The incident angle was varied between 0.09° and 0.25° for synchrotron radiation of 10.5 keV. This study reveals comparable intensities of the split peaks with a maximum for incidence angles close to the critical angle of total external reflection of the substrate. This observation is rationalized by two different scattering pathways resulting in diffraction peaks at different positions at the detector. In order to minimize the splitting, the data suggest either using incident angles well below the critical angle of total reflection or angles well above, which sufficiently attenuates the contributions from the second scattering path. This study highlights that the refraction of X-rays in (organic) thin films has to be corrected accordingly to allow for the determination of peak positions with sufficient accuracy. Based thereon, a reliable determination of the lattice constants becomes feasible, which is required for crystallographic structure solutions from thin films.

  5. SFG analysis of the molecular structures at the surfaces and buried interfaces of PECVD ultralow-dielectric constant pSiCOH: Reactive ion etching and dielectric recovery

    Science.gov (United States)

    Myers, John N.; Zhang, Xiaoxian; Huang, Huai; Shobha, Hosadurga; Grill, Alfred; Chen, Zhan

    2017-05-01

    Molecular structures at the surface and buried interface of an amorphous ultralow-k pSiCOH dielectric film were quantitatively characterized before and after reactive ion etching (RIE) and subsequent dielectric repair using sum frequency generation (SFG) vibrational spectroscopy and Auger electron spectroscopy. SFG results indicated that RIE treatment of the pSiCOH film resulted in a depletion of ˜66% of the surface methyl groups and changed the orientation of surface methyl groups from ˜47° to ˜40°. After a dielectric recovery process that followed the RIE treatment, the surface molecular structure was dominated by methyl groups with an orientation of ˜55° and the methyl surface coverage at the repaired surface was 271% relative to the pristine surface. Auger depth profiling indicated that the RIE treatment altered the top ˜25 nm of the film and that the dielectric recovery treatment repaired the top ˜9 nm of the film. Both SFG and Auger profiling results indicated that the buried SiCNH/pSiCOH interface was not affected by the RIE or the dielectric recovery process. Beyond characterizing low-k materials, the developed methodology is general and can be used to distinguish and characterize different molecular structures and elemental compositions at the surface, in the bulk, and at the buried interface of many different polymer or organic thin films.

  6. Characterization of barium strontium titanate thin films on sapphire substrate prepared via RF magnetron sputtering system

    Science.gov (United States)

    Jamaluddin, F. W.; Khalid, M. F. Abdul; Mamat, M. H.; Zoolfakar, A. S.; Zulkefle, M. A.; Rusop, M.; Awang, Z.

    2018-05-01

    Barium Strontium Titanate (Ba0.5Sr0.5TiO3) is known to have a high dielectric constant and low loss at microwave frequencies. These unique features are useful for many electronic applications. This paper focuses on material characterization of BST thin films deposited on sapphire substrate by RF magnetron sputtering system. The sample was then annealed at 900 °C for two hours. Several methods were used to characterize the structural properties of the material such as X-ray diffraction (XRD) and atomic force microscopy (AFM). Field emission scanning electron microscopy (FESEM) was used to analyze the surface morphology of the thin film. From the results obtained, it can be shown that the annealed sample had a rougher surface and better crystallinity as compared to as-deposited sample.

  7. Comparison of precursors for pulsed metal-organic chemical vapor deposition of HfO2 high-K dielectric thin films

    International Nuclear Information System (INIS)

    Teren, Andrew R.; Thomas, Reji; He, Jiaqing; Ehrhart, Peter

    2005-01-01

    Hafnium oxide films were deposited on Si(100) substrates using pulsed metal-organic chemical vapor deposition (CVD) and evaluated for high-K dielectric applications. Three types of precursors were tested: two oxygenated ones, Hf butoxide-dmae and Hf butoxide-mmp, and an oxygen-free one, Hf diethyl-amide. Depositions were carried out in the temperature range of 350-650 deg. C, yielding different microstructures ranging from amorphous to crystalline, monoclinic, films. The films were compared on the basis of growth rate, phase development, density, interface characteristics, and electrical properties. Some specific features of the pulsed injection technique are considered. For low deposition temperatures the growth rate for the amide precursor was significantly higher than for the mixed butoxide precursors. A thickness-dependent amorphous to crystalline phase transition temperature was found for all precursors. There is an increase of the film density along with the deposition temperature from values as low as 5 g/cm 3 at 350 deg. C to values close to the bulk value of 9.7 g/cm 3 at 550 deg. C. Crystallization is observed in the same temperature range for films of typically 10-20 nm thickness. However, annealing studies show that this density increase is not simply related to the crystallization of the films. Similar electrical properties could be observed for all precursors and the dielectric constant of the films reaches values similar to the best values reported for bulk crystalline HfO 2

  8. Room Temperature Thin Film Ba(x)Sr(1-x)TiO3 Ku-Band Coupled MicrostripPhase Shifters: Effects of Film Thickness, Doping, Annealing and Substrate Choice

    Science.gov (United States)

    VanKeuls, F. W.; Mueller, C. H.; Miranda, F. A.; Romanofsky, R. R.; Canedy, C. L.; Aggarwal, S.; Venkatesan, T.; Ramesh, R.; Horwitz, S.; Chang, W.

    1999-01-01

    We report on measurements taken on over twenty Ku-band coupled microstrip phase shifters (CMPS) using thin ferroelectric films of Ba(x)Sr(1-x)TiO3. This CMPS design is a recent innovation designed to take advantage of the high tunability and tolerate the high dielectric constant of ferroelectric films at Ku- and K-band frequencies. These devices are envisioned as a component in low-cost steerable beam phased area antennas, Comparisons are made between devices with differing film thickness, annealed vs unannealed, Mn-doped vs. undoped, and also substrates of LaAlO3 and MgO. A comparison between the CMPS structure and a CPW phase shifter was also made oil the same ferroelectric film.

  9. Magnetocapacitance of BaZr0.2Ti0.8O3 and La0.67Sr0.33MnO3 thin film heterostructures

    International Nuclear Information System (INIS)

    Tarale, A.N.; Padal, N.T.; Salunkhe, D.J.; Joshi, P.B.; Kulkarni, S.B.; Reddy, V.R.

    2012-01-01

    The present paper reports MD properties of BaZr 0.2 Ti 0.8 O 3 and La 0.67 Sr 0.33 MnO 3 (LSMO) thin film multilayer composite. The BZT is known to be relaxer possessing Curie temperature in vicinity of room temperature while LSMO is a CMR material possessing T c at room temperature. The Magnetoresistance of LSMO is known to cause change in intragrain interfacial polarization as function of applied magnetic field and dielectric constant ε H changes with applied magnetic field. From the literature survey it is observed that the MD properties based on CMR phenomena are not well investigated and efforts towards further exploration of these phenomena are required. Recent reports also indicate that that the magneto capacitance posses the contribution due giant magnetostriction of LMSO. The BZT as well as LSMO gels are synthesized using modified Pechini method. Initially hydroxide precipitates of Ba, Zr, Ti and La, Sr, Mn are dissolved in citric acid to form citrates of cations individually. The citrate solution is polymerized by addition of ethylene glycol and used as a coating solution. The alternate layers of thin films of BZT and LSMO are deposited on SiO 2 /nSi(100) substrate. The nanoparticles heterostructure are formed by sintering the thin films at 800 deg C. The thin films are investigated for crystal structure, morphology, dielectric constant, and complex impedance as a function of frequency f up to 1 MHz and magnetic field up to 5 kOe respectively. It is observed that the thin film composites exhibits magnetocapacitance of 8.3% for 1 KHz and possess a finite value even at 1MHz. The observed variation of impedance spectra in terms of Maxwell-Wagner model and in the light of the recent observation by Xiaodong Tang, et al. 2011. (author)

  10. Phase sensitive molecular dynamics of self-assembly glycolipid thin films: A dielectric spectroscopy investigation

    Science.gov (United States)

    Velayutham, T. S.; Ng, B. K.; Gan, W. C.; Majid, W. H. Abd.; Hashim, R.; Zahid, N. I.; Chaiprapa, Jitrin

    2014-08-01

    Glycolipid, found commonly in membranes, is also a liquid crystal material which can self-assemble without the presence of a solvent. Here, the dielectric and conductivity properties of three synthetic glycolipid thin films in different thermotropic liquid crystal phases were investigated over a frequency and temperature range of (10-2-106 Hz) and (303-463 K), respectively. The observed relaxation processes distinguish between the different phases (smectic A, columnar/hexagonal, and bicontinuous cubic Q) and the glycolipid molecular structures. Large dielectric responses were observed in the columnar and bicontinuous cubic phases of the longer branched alkyl chain glycolipids. Glycolipids with the shortest branched alkyl chain experience the most restricted self-assembly dynamic process over the broad temperature range studied compared to the longer ones. A high frequency dielectric absorption (Process I) was observed in all samples. This is related to the dynamics of the hydrogen bond network from the sugar group. An additional low-frequency mechanism (Process II) with a large dielectric strength was observed due to the internal dynamics of the self-assembly organization. Phase sensitive domain heterogeneity in the bicontinuous cubic phase was related to the diffusion of charge carriers. The microscopic features of charge hopping were modelled using the random walk scheme, and two charge carrier hopping lengths were estimated for two glycolipid systems. For Process I, the hopping length is comparable to the hydrogen bond and is related to the dynamics of the hydrogen bond network. Additionally, that for Process II is comparable to the bilayer spacing, hence confirming that this low-frequency mechanism is associated with the internal dynamics within the phase.

  11. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  12. Damping constant of Co/Pt multilayer thin-film media

    Energy Technology Data Exchange (ETDEWEB)

    Fujita, N. [Yamagata University, 4-3-16 Jonan, Yonezawa, Yamagata 992-8510 (Japan); Inaba, N. [Yamagata University, 4-3-16 Jonan, Yonezawa, Yamagata 992-8510 (Japan)], E-mail: inaba@yz.yamagata-u.ac.jp; Kirino, F. [National University of Fine Arts and Music, 2-12-1 Ookayama, Meguro-ku, Tokyo 152-8577 (Japan); Igarashi, S.; Koike, K.; Kato, H. [Yamagata University, 4-3-16 Jonan, Yonezawa, Yamagata 992-8510 (Japan)

    2008-11-15

    Gilbert's damping constants, {alpha}, of Co(t{sub Co})/Pt (1.4 nm) multilayer thin films are investigated by Q-band FMR analysis. {alpha} is calculated from the resonance width of the FMR spectrum. With decreasing t{sub Co}, the {alpha} value decreases from 0.034 (t{sub Co}=8.7 nm) to 0.023 (t{sub Co}=1.8 nm), and then increases to 0.037 (t{sub Co}=1.0 nm). The decrease of {alpha} with t{sub Co}>1.8 nm is probably due to the eddy current loss effects. The increase of {alpha} with t{sub Co}<1.8 nm would be caused by the increase of the distortion between the Co and the Pt layers at the interface. When the magnetic field direction was changed from {theta}=90 deg. (parallel to the specimen) to {theta}=0 deg. (perpendicular to the specimen), the {alpha} of all the specimens increased, and a sharp step in {alpha} was observed around {theta}=40 deg., where the {alpha} has the maximum value.

  13. Chemical solution deposition of CaCu3Ti4O12 thin film

    Indian Academy of Sciences (India)

    Administrator

    CaCu3Ti4O12; thin film; chemical solution deposition; dielectric properties. 1. Introduction. The CaCu3Ti4O12. (CCTO) compound has recently attracted considerable ... and Kelvin probe force microscopy (Chung et al 2004). Intrinsic .... SEM images of CCTO thin films as a function of sintering temperature. silicon based ...

  14. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  15. Surface Modification of Solution-Processed ZrO2 Films through Double Coating for Pentacene Thin-Film Transistors

    Science.gov (United States)

    Kwon, Jin-Hyuk; Bae, Jin-Hyuk; Lee, Hyeonju; Park, Jaehoon

    2018-03-01

    We report the modification of surface properties of solution-processed zirconium oxide (ZrO2) dielectric films achieved by using double-coating process. It is proven that the surface properties of the ZrO2 film are modified through the double-coating process; the surface roughness decreases and the surface energy increases. The present surface modification of the ZrO2 film contributes to an increase in grain size of the pentacene film, thereby increasing the field-effect mobility and decreasing the threshold voltage of the pentacene thin-film transistors (TFTs) having the ZrO2 gate dielectric. Herein, the molecular orientation of pentacene film is also studied based on the results of contact angle and X-ray diffraction measurements. Pentacene molecules on the double-coated ZrO2 film are found to be more tilted than those on the single-coated ZrO2 film, which is attributed to the surface modification of the ZrO2 film. However, no significant differences are observed in insulating properties between the single-and the double-coated ZrO2 dielectric films. Consequently, the characteristic improvements of the pentacene TFTs with the double-coated ZrO2 gate dielectric film can be understood through the increase in pentacene grain size and the reduction in grain boundary density.

  16. Dielectric and Piezoelectric Properties of PZT Composite Thick Films with Variable Solution to Powder Ratios.

    Science.gov (United States)

    Wu, Dawei; Zhou, Qifa; Shung, Koping Kirk; Bharadwaja, Srowthi N; Zhang, Dongshe; Zheng, Haixing

    2009-05-08

    The use of PZT films in sliver-mode high-frequency ultrasonic transducers applications requires thick, dense, and crack-free films with excellent piezoelectric and dielectric properties. In this work, PZT composite solutions were used to deposit PZT films >10 μm in thickness. It was found that the functional properties depend strongly on the mass ratio of PZT sol-gel solution to PZT powder in the composite solution. Both the remanent polarization, P(r), and transverse piezoelectric coefficient, e(31,) (f), increase with increasing proportion of the sol-gel solution in the precursor. Films prepared using a solution-to-powder mass ratio of 0.5 have a remanent polarization of 8 μC/cm(2), a dielectric constant of 450 (at 1 kHz), and e(31,) (f) = -2.8 C/m(2). Increasing the solution-to-powder mass ratio to 6, the films were found to have remanent polarizations as large as 37 μC/cm(2), a dielectric constant of 1250 (at 1 kHz) and e(31,) (f) = -5.8 C/m(2).

  17. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    Energy Technology Data Exchange (ETDEWEB)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao, E-mail: yxzheng@fudan.edu.c [Key Laboratory of Micro and Nano Photonic Structures, Ministry of Education, Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China)

    2010-11-10

    A series of SiO{sub 2} films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO{sub 2} films thicker than 60 nm are close to those of bulk SiO{sub 2}. For the thin films deposited at the rate of {approx}1.0 nm s{sup -1}, the refractive indices increase with decreasing thickness from {approx}60 to {approx}10 nm and then drop sharply with decreasing thickness below {approx}10 nm. However, for thin films deposited at the rates of {approx}0.4 and {approx}0.2 nm s{sup -1}, the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  18. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  19. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    Science.gov (United States)

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  20. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    International Nuclear Information System (INIS)

    Abdel-Aziz, M.M.; Azim, Osama A.; Abdel-Wahab, L.A.; Seddik, Mohamed M.

    2006-01-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2 O 3 ), Hafnium dioxide (HfO 2 ), and Lima (mixture of oxides SiO 2 /Al 2 O 3 ); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A

  1. UV protection filters by dielectric multilayer thin films on Glass BK-7 and Infrasil 301

    Science.gov (United States)

    Abdel-Aziz, M. M.; Azim, Osama A.; Abdel-Wahab, L. A.; Seddik, Mohamed M.

    2006-10-01

    The increasing use of Ultraviolet (UV) light in medicine, industrial environments, for cosmetic use, and even in consumer products necessitates that greater attention be paid to the potential hazards of this type of electromagnetic radiation. To avoid any adverse effects of exposure to this type of radiation, four suitable protection filters were produced to block three UV bands (UVA, UVB, and UVC). The design structure of the required dielectric multilayer filters was done by optical thin film technology using the absorbing property of UV radiation for the substrates and dielectric materials. The computer analyses of the multilayer filter formulas were prepared using Macleod Software for the production processes. The deposition technique was achieved on optical substrates (Glass BK-7 and Infrasil 301) by dielectric material combinations including Titanium dioxide (Ti 2O 3), Hafnium dioxide (HfO 2), and Lima (mixture of oxides SiO 2/Al 2O 3); deposition being achieved using an electron beam gun. The output results of the theoretical and experimental transmittance values for spectral band from 200 nm to 800 nm were discussed in four processes. To analyze the suitability for use in 'real world' applications, the test pieces were subjected to the durability tests (adhesion, abrasion resistance, and humidity) according to Military Standard MIL-C-675C and MIL-C-48497A.

  2. Influence of γ-irradiation on the optical properties of nanocrystalline tin phthalocyanine thin films

    International Nuclear Information System (INIS)

    El-Nahass, M.M.; Atta, A.A.; El-Shazly, E.A.A.; Faidah, A.S.; Hendi, A.A.

    2009-01-01

    SnPc in powder and thin film forms were found to be polycrystalline with monoclinic lattice. The morphological and structural properties of the obtained SnPc films were characterized from electron scanning micrographs and X-ray diffraction patterns. In the γ-irradiated film the formed agglomeration increased the crystallite size. The refractive index, n, and the absorption index, k, were obtained from spectrophotometric measurements of the transmittance and reflectance at normal incidence of light in the wavelength range 200-2500 nm. γ-Irradiation films shifted the transmission edge toward lower wavelength and increase the optical energy gap value. According to the analysis of dispersion curves, the dielectric constants and dispersion parameters were obtained. The absorption analysis performed indicated indirect allowed electronic transitions and the optical energy band gap 2.84 and 2.63 eV for the as-deposited and the γ-irradiated films, respectively.

  3. Highly absorbing Cu-In-O thin films for photovoltaic applications

    International Nuclear Information System (INIS)

    Khemiri, N.; Chaffar Akkari, F.; Kanzari, M.; Rezig, B.

    2008-01-01

    We report in this paper on the preparation and characterization of improved quality Cu-In-O films for use as a high-efficiency solar cell absorber. Samples were prepared via sequential thermal vacuum deposition of Cu and In or In and Cu (at 10 -5 mbar) on glass substrates heated at 150 deg. C. After what, the obtained binary systems (Cu/In or In/Cu) were annealed in air at 400 deg. C for 3h. These films were characterized for their structural, electrical and optical properties by using X-ray diffraction (XRD), electrical resistivity and optical (transmittance and reflectance) measurement techniques. The X-ray diffraction (XRD) patterns revealed the presence of CuO and In 2 O 3 phases. The absorption coefficient of Cu-In-O thin films (4.10 5 cm -1 ) is larger than 10 5 cm -1 for the In/Cu case and in the range of 10 4 -10 5 cm -1 for the Cu/In case in the visible spectral range. Direct optical band gaps of 1.40 and 1.52eV were found for the In/Cu and Cu/In cases, respectively. The complex dielectric constants of the Cu-In-O films have been calculated. It was found that the refractive index dispersion data obeyed the Wemple-Di Domenico single oscillator model, from which the dispersion parameters and the high-frequency dielectric constant were determined. The electric free carrier susceptibility and the ratio of the carrier concentration to the effective mass were estimated according to the model of Spitzer and Fan. The electrical measurements show a conversion from a metallic phase to the semiconductor phase by a switching in the electrical resistivity values at an annealing temperature of 275 deg. C. In both cases the samples were highly compensated

  4. Structural, morphological and optical properties of spray deposited Mn-doped CeO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pavan Kumar, CH.S.S.; Pandeeswari, R.; Jeyaprakash, B.G., E-mail: jp@ece.sastra.edu

    2014-07-25

    Highlights: • Spray deposited undoped and Mn-doped CeO{sub 2} thin films were polycrystalline. • Complete changeover of surface morphology upon 4 wt% Mn doping. • 4 wt% Mn-doped CeO{sub 2} thin film exhibited a hydrophobic nature. • Optical band-gap decreases beyond 2 wt% Mn doping. - Abstract: Cerium oxide and manganese (Mn) doped cerium oxide thin films on glass substrates were prepared by home built spray pyrolysis system. The effect of Mn doping on the structural, morphological and optical properties of CeO{sub 2} films were studied. It was found that both the undoped and doped CeO{sub 2} films were polycrystalline in nature but the preferential orientation and grain size changed upon doping. Atomic force micrograph showed a complete changeover of surface morphology from spherical to flake upon doping. A water contact angle result displayed the hydrophobic nature of the doped CeO{sub 2} film. Optical properties indicated an increase in band-gap and a decrease in transmittance upon doping owing to Moss–Burstein effect and inverse Moss–Burstein effects. Other optical properties such as refractive index, extinction coefficient and dielectric constant as a function of doping were analysed and reported.

  5. Gilbert damping constant of FePd alloy thin films estimated by broadband ferromagnetic resonance

    Directory of Open Access Journals (Sweden)

    Kawai T.

    2014-07-01

    Full Text Available Magnetic relaxation of FePd alloy epitaxial thin films with very flat surfaces prepared on MgO(001 substrate are measured by in-plane broadband ferromagnetic resonance (FMR. Magnetic relaxation is investigated as Δω for FMR absorption peak by frequency sweep measurements. ΔH is calculated by using the measured Δω. Gilbert damping constant, α, is estimated by employing a straight line fitting of the resonant frequency dependence of ΔH. The α value for an FePd film deposited at 200 ˚C, which shows disordered A1 structure, is 0.010 and ΔH0, which is frequency independent part of ΔH, is 10 Oe. The α value for a film annealed at 400 ˚C, which shows partially L10 ordered structure (S=0.32, is 0.013, which is slightly larger than that for the disorder A1 structure film. However, ΔH0 for the annealed film is 85 Oe, which is much larger than that for the film with disordered structure. The results show that the magnetic relaxation of the 400 ˚C annealed film is mainly dominated by ΔH0, which is related with magnetic in-homogeneity caused by the appearance of perpendicular anisotropy of partially ordered phase.

  6. Dielectric functions and energy band gap variation studies of manganese doped Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} thin films using spectroscopic ellipsometry

    Energy Technology Data Exchange (ETDEWEB)

    Gautam, Prikshit, E-mail: pgautam.phy.du@gmail.com [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India); Department of Physics Kirori Mal College, University of Delhi, Delhi 110007 (India); Sachdeva, Anupama [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India); Singh, Sushil K. [Functional Materials Division, SSPL, Timarpur, New Delhi 110054 (India); Tandon, R.P., E-mail: ram_tandon@hotmail.com [Department of Physics and Astrophysics, University of Delhi (DU), Delhi 110007 (India)

    2014-12-25

    Highlights: • Mn Doped Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} (BLT) thin films prepared by chemical solution deposition technique. • Raman spectroscopy of these films shows that Mn{sup 3+} is well substituted at Ti{sup 4+} site. • The optical properties of BLT and Mn modified BLT thin films were investigated by using spectroscopic ellipsometry. • A double Tauc–Lorentz (DTL) dispersion relation was successfully used to model the dielectric functions. • The direct optical band gap (Eg{sup d}) is found to decrease with increase in Mn content. - Abstract: Single phase polycrystalline Mn-modified Bi{sub 3.25}La{sub 0.75}Ti{sub 3}O{sub 12} (BLT) thin films were prepared by chemical solution deposition method using spin coating technique on Pt/Ti/SiO{sub 2}/Si (1 0 0) substrates. Raman spectroscopy of these films shows that Mn{sup 3+} is well substituted at Ti{sup 4+} site. The optical properties of BLT and Mn modified BLT thin films were investigated at room temperature by using spectroscopic ellipsometry (SE) in the energy range 0.72–6.2 eV. A double Tauc–Lorentz (DTL) dispersion relation was successfully used to model the dielectric functions of these films where a shift to the lower energy side with Mn doping is seen. The full width at half maxima (FWHM) (Γ) of dielectric function is found to increase with Mn doping. This increase in FWHM may be attributed to the increase in the trap density in forbidden band which consequently decreases the value of direct optical band gap (Eg{sup d}). The direct optical band gap (Eg{sup d}) is found to decrease with increase in Mn content in the studied composition range. This decrease in Eg{sup d} with doping may be attributed to the variation in the defect concentration present in the structure.

  7. Synthetic Strategies for High Dielectric Constant Silicone Elastomers

    DEFF Research Database (Denmark)

    Madsen, Frederikke Bahrt

    synthetic strategies were developed in this Ph.D. thesis, in order to create silicone elastomers with high dielectric constants and thereby higher energy densities. The work focused on maintaining important properties such as dielectric loss, electrical breakdown strength and elastic modulus....... The methodology therefore involved chemically grafting high dielectric constant chemical groups onto the elastomer network, as this would potentially provide a stable elastomer system upon continued activation of the material. The first synthetic strategy involved the synthesis of a new type of cross...... extender’ that allowed for chemical modifications such as Cu- AAC. This route was promising for one-pot elastomer preparation and as a high dielectric constant additive to commercial silicone systems. The second approach used the borane-catalysed Piers-Rubinsztajn reaction to form spatially well...

  8. Study of the optical properties of deposited thin films on glass of HMDSO(C6H18Si2O) plasma

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2007-06-01

    Deposition of amorphous silicone like (Si:Ox:Cy:Hz) thin films in a remote RF hollow cathode discharge plasma using HMDSO (C 6 H 1 8Si 2 O) as monomer and Ar as feed gas, has been investigated for films optical constants as a function of RF power (100-300 W) and precursor flow rate (1-10 sccm), using different substrates: Quartz, BK7, and Glass. The optical constants (refractive index, extinction coefficient and dielectric constant) have been obtained by reflection/transmission measurements in the range 300-700 nm. The optical energy-band gap Eg and the optical-absorption tail E have been estimated from optical absorption spectra. The results were interpreted using plasma diagnostic by optical emission spectroscopy.(author)

  9. Characterizations of photoconductivity of graphene oxide thin films

    Directory of Open Access Journals (Sweden)

    Shiang-Kuo Chang-Jian

    2012-06-01

    Full Text Available Characterizations of photoresponse of a graphene oxide (GO thin film to a near infrared laser light were studied. Results showed the photocurrent in the GO thin film was cathodic, always flowing in an opposite direction to the initial current generated by the preset bias voltage that shows a fundamental discrepancy from the photocurrent in the reduced graphene oxide thin film. Light illumination on the GO thin film thus results in more free electrons that offset the initial current. By examining GO thin films reduced at different temperatures, the critical temperature for reversing the photocurrent from cathodic to anodic was found around 187°C. The dynamic photoresponse for the GO thin film was further characterized through the response time constants within the laser on and off durations, denoted as τon and τoff, respectively. τon for the GO thin film was comparable to the other carbon-based thin films such as carbon nanotubes and graphenes. τoff was, however, much larger than that of the other's. This discrepancy was attributable to the retardation of exciton recombination rate thanks to the existing oxygen functional groups and defects in the GO thin films.

  10. Nanocomposites of TiO2/cyanoethylated cellulose with ultra high dielectric constants

    International Nuclear Information System (INIS)

    Madusanka, Nadeesh; Shivareddy, Sai G; Hiralal, Pritesh; Choi, Youngjin; Amaratunga, Gehan A J; Eddleston, Mark D; Oliver, Rachel A

    2016-01-01

    A novel dielectric nanocomposite containing a high permittivity polymer, cyanoethylated cellulose (CRS) and TiO 2 nanoparticles was successfully prepared with different weight percentages (10%, 20% and 30%) of TiO 2 . The intermolecular interactions and morphology within the polymer nanocomposites were analysed. TiO 2 /CRS nanofilms on SiO 2 /Si wafers were used to form metal–insulator–metal type capacitors. Capacitances and loss factors in the frequency range of 1 kHz–1 MHz were measured. At 1 kHz CRS-TiO 2 nanocomposites exhibited ultra high dielectric constants of 118, 176 and 207 for nanocomposites with 10%, 20% and 30% weight of TiO 2 respectively, significantly higher than reported values of pure CRS (21), TiO 2 (41) and other dielectric polymer-TiO 2 nanocomposite films. Furthermore, all three CRS-TiO 2 nanocomposites show a loss factor <0.3 at 1 kHz and low leakage current densities (10 −6 –10 −7 A cm −2 ). Leakage was studied using conductive atomic force microscopy and it was observed that the leakage is associated with TiO 2 nanoparticles embedded in the CRS polymer matrix. A new class of ultra high dielectric constant hybrids using nanoscale inorganic dielectrics dispersed in a high permittivity polymer suitable for energy management applications is reported. (paper)

  11. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    Dakhel, A.A.; Jasim, Khalil E. [Department of Physics, College of Science, University of Bahrain, P.O. Box 32038 (Bahrain); Cassidy, S. [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain); Henari, F.Z., E-mail: fzhenari@rcsi-mub.com [Department of Basic Medical Sciences, Royal College of Surgeons in Ireland, Medical University of Bahrain, P.O. Box 15503 (Bahrain)

    2013-09-20

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε{sup ′}{sub ∞}≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z{sup *}(ω) and modulus M{sup *}(ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices.

  12. Extraction and dielectric properties of curcuminoid films grown on Si substrate for high-k dielectric applications

    International Nuclear Information System (INIS)

    Dakhel, A.A.; Jasim, Khalil E.; Cassidy, S.; Henari, F.Z.

    2013-01-01

    Highlights: • The unknown insulating properties of curcuminoid extract are systematically studied. • Optical study gives a bandgap of 3.15 eV and a refractive index of 1.92 at 505 nm. • Turmeric is a high-k environmental friendly material for use in microelectronics. • Curcuminoid extract can be used as insulator of MIS devices with ε ′ ∞ ≈54.2. -- Abstract: Curcuminoids were extracted from turmeric powder and evaporated in vacuum to prepare thin films on p-Si and glass substrates for dielectric and optical investigations. The optical absorption spectrum of the prepared amorphous film was not identical to that of the molecular one, which was identified by a strong wide absorption band in between ∼220 and 540 nm. The onset energy of the optical absorption of the film was calculated by using Hamberg et al. method. The dielectric properties of this material were systematically studied for future eco friendly applications in metal–insulator–semiconductor MIS field of applications. The complex dielectric properties were studied in the frequency range of 1–1000 kHz and was analysed in-terms of dielectric impedance Z * (ω) and modulus M * (ω). Generally, the curcuminoid complex can be considered as a high-k material and can be used in the environmental friendly production of microelectronic devices

  13. Optical properties of Au–TiO{sub 2} and Au–SiO{sub 2} granular metal thin films studied by Spectroscopic Ellipsometry

    Energy Technology Data Exchange (ETDEWEB)

    Bakkali, H., E-mail: hicham.bakkali@mail.uca.es [Departamento de Física de la Materia Condensada and Instituto de Microscopía Electrónica y Materiales (IMEYMAT), Universidad de Cadiz, 11510 Puerto Real, Cádiz (Spain); Centro de Ciencias Aplicadas y Desarrollo Tecnológico (CCADET), Universidad Nacional Autónoma de México (UNAM), México, D.F. 04510 (Mexico); Blanco, E.; Dominguez, M. [Departamento de Física de la Materia Condensada and Instituto de Microscopía Electrónica y Materiales (IMEYMAT), Universidad de Cadiz, 11510 Puerto Real, Cádiz (Spain); Mora, M.B. de la [CONACyT Research Fellow-CCADET, Universidad Nacional Autónoma de México (UNAM), México, D.F. 04510 (Mexico); Sánchez-Aké, C.; Villagrán-Muniz, M. [Centro de Ciencias Aplicadas y Desarrollo Tecnológico (CCADET), Universidad Nacional Autónoma de México (UNAM), México, D.F. 04510 (Mexico)

    2017-05-31

    Highlights: • Gold NPs embedded in TiO{sub 2} or SiO{sub 2} are fabricated by single RF magnetron sputtering. • Films thickness and optical constants are determined by Spectroscopic Ellipsometry. - Abstract: We report on the optical properties in the dielectric regime of gold nanostructured granular thin films fabricated through sputter deposition with a composite target at room temperature and over a wide photon energy range (0.62–4.13 eV) by means of Spectroscopic Ellipsometry. The thickness and the films effective optical constants are successfully determined using an approach based on multiple Gaussian oscillators. In the quasi-static regime, i.e., 2R ≪ λ, and in the dipole approximation, examining the real and imaginary parts, ε{sub 1}, ε{sub 2}, of the dielectric function, it is shown that the dc optical conductivity is almost negligible (σ = ωε{sub 0}ε{sub 2} ≪ 10{sup −5} Ω cm{sup −1}) and only the capacitive contribution holds for the electron-phonon relaxation in localized surface plasmon of the gold particles. Furthermore, we find that the resonant frequencies ω{sub p} becomes red-shifted when the particles are electromagnetically coupled to each other or when the surrounding medium dielectric constant, ε{sub m}, increases, thus exhibiting a wide spectral tuning range of 1.95–2.24 eV.

  14. Influence of film thickness on structural and optical properties of ZnS thin films obtained by SILAR method and analysis of Zn/ZnS/n-GaAs/In sandwich structure

    Energy Technology Data Exchange (ETDEWEB)

    Oezakin, Oguzhan; Guezeldir, Betuel; Saglam, Mustafa [Department of Physics, Science Faculty, Atatuerk University, Erzurum (Turkey); Yildirim, M. Ali [Department of Physics, Science and Art Faculty, Erzincan University, Erzincan (Turkey); Ates, Aytunc [Department of Material Engineering, Faculty of Engineering and Natural Sciences, Yildirim Beyazit University, Ankara (Turkey)

    2012-04-15

    ZnS thin films were deposited on glass substrates using SILAR method at room temperature and ambient pressure. The relationship between refractive index and energy bandgap was investigated. The film thickness effect on the structural, morphological and optical properties of ZnS thin films was investigated. The crystalline and surface properties of the films improved with increasing film thickness. The energy bandgap values changed from 3.87 to 3.58 eV with increasing film thickness. The refractive index (n), high frequency dielectric constant ({epsilon}{sub {infinity}}) values were calculated by using the energy bandgap values as a function of the film thickness. Also, ZnS thin film was deposited directly on n-GaAs substrate for obtaining the Zn/ZnS/n-GaAs/In sandwich structure at room temperature. The sandwich structure demonstrated clearly rectifying behaviour by the current-voltage (I-V) curves at room temperature. From I-V characteristics n and {phi}{sub b} values were calculated as 1.894 and 0.632 eV at room temperature, respectively. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Thermal conductivities of thin, sputtered optical films

    International Nuclear Information System (INIS)

    Henager, C.H. Jr.; Pawlewicz, W.T.

    1991-05-01

    The normal component of the thin film thermal conductivity has been measured for the first time for several advanced sputtered optical materials. Included are data for single layers of boron nitride (BN), aluminum nitride (AIN), silicon aluminum nitride (Si-Al-N), silicon aluminum oxynitride (Si-Al-O-N), silicon carbide (SiC), and for dielectric-enhanced metal reflectors of the form Al(SiO 2 /Si 3 N 4 ) n and Al(Al 2 O 3 /AIN) n . Sputtered films of more conventional materials like SiO 2 , Al 2 O 3 , Ta 2 O 5 , Ti, and Si have also been measured. The data show that thin film thermal conductivities are typically 10 to 100 times lower than conductivities for the same materials in bulk form. Structural disorder in the amorphous or very fine-grained films appears to account for most of the conductivity difference. Conclusive evidence for a film/substrate interface contribution is presented

  16. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    Science.gov (United States)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  17. Dielectric properties of BaMg1/3Nb2/3O3 doped Ba0.45Sr0.55Tio3 thin films for tunable microwave applications

    Science.gov (United States)

    Alema, Fikadu; Pokhodnya, Konstantin

    2015-11-01

    Ba(Mg1/3Nb2/3)O3 (BMN) doped and undoped Ba0.45Sr0.55TiO3 (BST) thin films were deposited via radio frequency magnetron sputtering on Pt/TiO2/SiO2/Al2O3 substrates. The surface morphology and chemical state analyses of the films have shown that the BMN doped BST film has a smoother surface with reduced oxygen vacancy, resulting in an improved insulating properties of the BST film. Dielectric tunability, loss, and leakage current (LC) of the undoped and BMN doped BST thin films were studied. The BMN dopant has remarkably reduced the dielectric loss (˜38%) with no significant effect on the tunability of the BST film, leading to an increase in figure of merit (FOM). This is attributed to the opposing behavior of large Mg2+ whose detrimental effect on tunability is partially compensated by small Nb5+ as the two substitute Ti4+ in the BST. The coupling between MgTi″ and VO•• charged defects suppresses the dielectric loss in the film by cutting electrons from hopping between Ti ions. The LC of the films was investigated in the temperature range of 300-450K. A reduced LC measured for the BMN doped BST film was correlated to the formation of defect dipoles from MgTi″, VO•• and NbTi• charged defects. The carrier transport properties of the films were analyzed in light of Schottky thermionic emission (SE) and Poole-Frenkel (PF) emission mechanisms. The result indicated that while the carrier transport mechanism in the undoped film is interface limited (SE), the conduction in the BMN doped film was dominated by bulk processes (PF). The change of the conduction mechanism from SE to PF as a result of BMN doping is attributed to the presence of uncoupled NbTi• sitting as a positive trap center at the shallow donor level of the BST.

  18. Ceramic-polymer nanocomposites with increased dielectric permittivity and low dielectric loss

    International Nuclear Information System (INIS)

    Bhardwaj, Sumit; Paul, Joginder; Raina, K. K.; Thakur, N. S.; Kumar, Ravi

    2014-01-01

    The use of lead free materials in device fabrication is very essential from environmental point of view. We have synthesized the lead free ferroelectric polymer nanocomposite films with increased dielectric properties. Lead free bismuth titanate has been used as active ceramic nanofillers having crystallite size 24nm and PVDF as the polymer matrix. Ferroelectric β-phase of the polymer composite films was confirmed by X-ray diffraction pattern. Mapping data confirms the homogeneous dispersion of ceramic particles into the polymer matrix. Frequency dependent dielectric constant increases up to 43.4 at 100Hz, whereas dielectric loss decreases with 7 wt% bismuth titanate loading. This high dielectric constant lead free ferroelectric polymer films can be used for energy density applications

  19. Optical properties of PbS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Akhmedov, O. R., E-mail: orucahmedov@mail.ru; Guseinaliyev, M. G. [National Academy of Azerbaijan, Nakhichevan Branch (Azerbaijan); Abdullaev, N. A.; Abdullaev, N. M.; Babaev, S. S.; Kasumov, N. A. [National Academy of Sciences of Azerbaijan, Abdullaev Institute of Physics (Azerbaijan)

    2016-01-15

    The complex dielectric function of PbS thin films is studied by spectroscopic ellipsometry in the spectral range from 0.74 to 6.45 eV at a temperature of 293 K. The critical energies are determined to be E{sub 1} = 3.53 eV and E{sub 2} = 4.57 eV. For both energy regions, the best fit is attained at the critical point 2D (m = 0). In addition, the Raman spectra and the optical-absorption spectra of PbS thin films are studied. From the dependence of the quantity (αhν){sup 2} on the photon energy hν, the band gap is established at E{sub g} = 0.37 eV.

  20. Spectral, structural, optical and dielectrical studies of UV irradiated Rose Bengal thin films prepared by spin coating technique

    Energy Technology Data Exchange (ETDEWEB)

    Zeyada, H.M., E-mail: hzeyada@gmail.com [Department of Physics, Faculty of Science at New Damietta, University of Damietta, 34517 (Egypt); Youssif, M.I.; El-Ghamaz, N.A. [Department of Physics, Faculty of Science at New Damietta, University of Damietta, 34517 (Egypt); Aboderbala, M.E.O. [Department of Physics, Faculty of Science at New Damietta, University of Damietta, 34517 (Egypt); Department of Physics, Faculty of Science, AlJabl Al Gharbi University (Libya)

    2017-02-01

    Optical properties of pristine and UV irradiated Rose Bengal (RB) films have been investigated using transmittance and reflectance methods. The refractive index(n) and extinction coefficient (k) have been calculated from the absolute values of transmission and reflection spectrum. Single oscillator parameters and Drude model of free carrier absorption have been applied for analysis of the refractive index dispersion. Within the frame work of the band-to-band electron transitions theory; the fundamental absorption edge data were analyzed. Our results suggest that thickness of RB films has no effect on the absorption or the refractive indices in the investigated thicknesses range and within the experimental error. Structural transformation of films from amorphous to polycrystalline has been observed upon UV irradiation. Accordingly, the decreases of all of the absorption coefficient, the energy gap and the refractive index of RB films have been detected. Furthermore, the dependence of the optical functions on UV exposure times has been discussed based on the spectral distribution of the dielectric constant.

  1. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  2. Glass transition and thermal expansivity of polystyrene thin films

    International Nuclear Information System (INIS)

    Inoue, R.; Kanaya, T.; Miyazaki, T.; Nishida, K.; Tsukushi, I.; Shibata, K.

    2006-01-01

    We have studied glass transition temperature and thermal expansivity of polystyrene thin films supported on silicon substrate using X-ray reflectivity and inelastic neutron scattering techniques. In annealing experiments, we have found that the reported apparent negative expansivity of polymer thin films is caused by unrelaxed structure due to insufficient annealing. Using well-annealed films, we have evaluated glass transition temperature T g and thermal expansivity as a function of film thickness. The glass transition temperature decreases with film thickness and is constant below about 10 nm, suggesting the surface glass transition temperature of 355 K, which is lower than that in bulk. We have also found that the thermal expansivity in the glassy state decreases with film thickness even after annealing. The decrease has been attributed to hardening of harmonic force constant arising from chain confinement in a thin film. This idea has been confirmed in the inelastic neutron scattering measurements

  3. Glass transition and thermal expansivity of polystyrene thin films

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, R. [Institute for Chemical Research, Kyoto University, Uji, Kyoto-fu 611-0011 (Japan); Kanaya, T. [Institute for Chemical Research, Kyoto University, Uji, Kyoto-fu 611-0011 (Japan)]. E-mail: kanaya@scl.kyoto-u.ac.jp; Miyazaki, T. [Nitto Denko Corporation, 1-1-2 Shimohozumi, Ibaraki, Osaka-fu 567-8680 (Japan); Nishida, K. [Institute for Chemical Research, Kyoto University, Uji, Kyoto-fu 611-0011 (Japan); Tsukushi, I. [Chiba Institute of Technology, Narashino, Chiba-ken 275-0023 (Japan); Shibata, K. [Japan Atomic Energy Research Institute, Tokai, Ibaraki-ken 319-1195 (Japan)

    2006-12-20

    We have studied glass transition temperature and thermal expansivity of polystyrene thin films supported on silicon substrate using X-ray reflectivity and inelastic neutron scattering techniques. In annealing experiments, we have found that the reported apparent negative expansivity of polymer thin films is caused by unrelaxed structure due to insufficient annealing. Using well-annealed films, we have evaluated glass transition temperature T {sub g} and thermal expansivity as a function of film thickness. The glass transition temperature decreases with film thickness and is constant below about 10 nm, suggesting the surface glass transition temperature of 355 K, which is lower than that in bulk. We have also found that the thermal expansivity in the glassy state decreases with film thickness even after annealing. The decrease has been attributed to hardening of harmonic force constant arising from chain confinement in a thin film. This idea has been confirmed in the inelastic neutron scattering measurements.

  4. Micromachined Thin-Film Sensors for SOI-CMOS Co-Integration

    Science.gov (United States)

    Laconte, Jean; Flandre, D.; Raskin, Jean-Pierre

    Co-integration of sensors with their associated electronics on a single silicon chip may provide many significant benefits regarding performance, reliability, miniaturization and process simplicity without significantly increasing the total cost. Micromachined Thin-Film Sensors for SOI-CMOS Co-integration covers the challenges and interests and demonstrates the successful co-integration of gas flow sensors on dielectric membrane, with their associated electronics, in CMOS-SOI technology. We firstly investigate the extraction of residual stress in thin layers and in their stacking and the release, in post-processing, of a 1 μm-thick robust and flat dielectric multilayered membrane using Tetramethyl Ammonium Hydroxide (TMAH) silicon micromachining solution.

  5. Domain Engineered Magnetoelectric Thin Films for High Sensitivity Resonant Magnetic Field Sensors

    Science.gov (United States)

    2011-12-01

    band gap of highly textured PZT thin films. The deposition process variables were - argon and oxygen flows, chamber pressure, RF power (DC Bias...needed another parameter to equate with the number of unknowns in the resultant model equations. From Figure 24, electronic polarizability affects the... Polarizability and Optical dielectric response of a thin.film , ., ,__~--~---\\- 000 01’ "󈧶 Ots Tncnt.re"’°l Effective Polarizability = Reddy

  6. Post Deposition Annealing Effects on Optical, Electrical and Morphological Studies of ZnTTBPc Thin Films

    Directory of Open Access Journals (Sweden)

    B. R. Rejitha

    2012-01-01

    Full Text Available Phthalocyanines (Pcs act as efficient absorbants of photons in the visible region, specifically between 600 and 700 nm. It will produce an excited triplet state. In this paper we report the annealing effects of optical, electrical and surface morphological properties of thermal evaporated Zinc-tetra-tert-butyl-29H, 31H phthalocyanine (ZnTTBPc thin films. The optical transmittance measurements were done in the visible region (400-800 nm and, films were found to be absorbing in nature. From spectral data the absorption coefficient α, dielectric constant ε and the extinction coefficient k were evaluated and, results discussed. Also the optical band gap of the material was estimated. The activation energies were measured. Scanning electron microscopic studies was carried out to determine surface uniformity of films.

  7. Low frequency ac conduction and dielectric relaxation in poly(N ...

    Indian Academy of Sciences (India)

    The ac conductivity and dielectric constant of poly(N-methyl pyrrole) thin films have been investigated in the temperature range 77–350 K and in the frequency range 102–106 Hz. The well defined loss peaks have been observed in the temperature region where measured ac conductivity approaches dc conductivity.

  8. Cellulose Triacetate Dielectric Films For Capacitors

    Science.gov (United States)

    Yen, Shiao-Ping S.; Jow, T. Richard

    1994-01-01

    Cellulose triacetate investigated for use as dielectric material in high-energy-density capacitors for pulsed-electrical-power systems. Films of cellulose triacetate metalized on one or both sides for use as substrates for electrodes and/or as dielectrics between electrodes in capacitors. Used without metalization as simple dielectric films. Advantages include high breakdown strength and self-healing capability.

  9. Tunable silver-shell dielectric core nano-beads array for thin-film solar cell application

    Energy Technology Data Exchange (ETDEWEB)

    Chou Chau, Yuan-Fong, E-mail: a0920146302@gmail.com, E-mail: chou.fong@ubd.edu.bn; Lim, Chee Ming [Universiti Brunei Darussalam, Centre for Advanced Material and Energy Sciences (Brunei) (Brunei Darussalam); Chiang, Chien-Ying [National Taipei University of Technology, Department of Electro-Optical Engineering (China); Voo, Nyuk Yoong; Muhammad Idris, Nur Syafi’ie; Chai, Siew Ung [Universiti Brunei Darussalam, Centre for Advanced Material and Energy Sciences (Brunei) (Brunei Darussalam)

    2016-04-15

    The absorbance spectra of thin-film solar cells (TFSCs) can be enhanced by constructing the tunable periodic Ag-shell nano-bead (PASNB) arrays in the active material. In this paper, we investigated a plasmonic thin-film solar cell (TFSC) which composed of the arrays of PASNB deposited onto a crystalline silicon layer. By performing three-dimensional finite element method, we demonstrate that near field coupling among the PASNB arrays results in SPR modes with enhanced absorbance and field intensity. The proposed structure can significantly enhance the plasmonic activity in a wide range of incident light and enlarge working wavelength of absorbance in the range of near-UV, visible and near-infrared. We show that the sensitivity of the PASNB arrays reveals a linear relationship with the thickness of Ag-shell nano-bead (ASNB) for both the anti-bonding and bonding modes in the absorbance spectra. The broadband of absorbance spectra could be expanded as a wide range by varying the thickness of ASNB while the particle size is kept constant. Simulation results suggest this alternative scheme to the design and improvements on plasmonic enhanced TFSCs can be extended to other nanophotonic applications.

  10. Solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a polymer dielectric on a flexible substrate

    International Nuclear Information System (INIS)

    Shin, Sang-Il; Kwon, Jae-Hong; Ju, Byeong-Kwon; Kang, Hochul

    2008-01-01

    The authors report the fabrication of solution-processed 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene thin-film transistors with a cross-linked poly-4-vinylphenol (PVP) dielectric on a polyethersulphone (PES) substrate. The device exhibited useful electrical characteristics, including a saturation field effect mobility of 2.08 × 10 −2 cm 2 V −1 s −1 , a current on/off ratio of 10 5 , a threshold voltage of −2 V and an excellent subthreshold slope of 0.86 V/dec. It was demonstrated that the significant improvement in the subthreshold slope of TIPS-pentacene TFTs could be attributed to a decreased carrier trap density at the PVP/TIPS-pentacene film interface. Furthermore, a 1,2,3,4-tetrahydronaphthalene (Tetralin) solvent used in this study had a high boiling point, which had a positive effect on the morphology and the molecular ordering of the TIPS-pentacene film

  11. Future directions of positron annihilation spectroscopy in low-k dielectric films

    International Nuclear Information System (INIS)

    Gidley, D.W.; Vallery, R.S.; Liu, M.; Peng, H.G.

    2007-01-01

    Positronium Annihilation Lifetime Spectroscopy (PALS) has become recognized in the microelectronics industry as one of only several methods capable of quantitatively characterizing engineered nanopores in next-generation (k < 2.2) interlayer dielectric (ILD) thin films. Successes and shortcomings of PALS to date will be assessed and compared with other methods of porosimetry such as ellipsometric and X-ray porosimetries (EP and XRP). A major theme in future low-k research focuses on the ability to integrate porous ILD's into chip fabrication; the vulnerability of porous dielectrics to etching, ashing, and chemical-mechanical polishing in process integration is delaying the introduction of ultra-low-k films. As device size approaches 45 nm the need to probe very small (sub-nanometer), semi-isolated pores beneath thin diffusion barriers is even more challenging. Depth-profiled PALS with its ability to determine a quantitative pore interconnection length and easily resolve 0.3 nm pores beneath diffusion barriers or in trench-patterned dielectrics should have a bright future in porous ILD research. The ability of PALS (and PAS in general) to deduce evolution and growth of pores with porosity should find broad applicability in the emerging field of high performance materials with strategically engineered nanopores. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Metal-insulator phase transition in a VO2 thin film observed with terahertz spectroscopy

    DEFF Research Database (Denmark)

    Jepsen, Peter Uhd; Fischer, Bernd M.; Thoman, Andreas

    2006-01-01

    We investigate the dielectric properties of a thin VO2 film in the terahertz frequency range in the vicinity of the semiconductor-metal phase transition. Phase-sensitive broadband spectroscopy in the frequency region below the phonon bands of VO2 gives insight into the conductive properties...... of the film during the phase transition. We compare our experimental data with models proposed for the evolution of the phase transition. The experimental data show that the phase transition occurs via the gradual growth of metallic domains in the film, and that the dielectric properties of the film...

  13. Mechanistic interaction study of thin oxide dielectric with conducting organic electrode

    International Nuclear Information System (INIS)

    Sharma, Himani; Sethi, Kanika; Raj, P. Markondeya; Gerhardt, R.A.; Tummala, Rao

    2012-01-01

    Highlights: ► Thin film-oxide dielectric-organic electrode interface studies for investigating the leakage mechanism. ► XPS to elucidate chemical-structural changes on dielectric oxide surface. ► Correlates structural characterization data with capacitor leakage current and impedance spectroscopy characteristics. - Abstract: This paper aims at understanding the interaction of intrinsic conducting polymer, PEDT, with ALD-deposited Al 2 O 3 and thermally oxidized Ta 2 O 5 dielectrics, and the underlying mechanisms for increase in leakage currents in PEDT-based capacitors. Conducting polymers offer several advantages as electrodes for high surface area capacitors because of their lower resistance, self-healing and enhanced conformality. However, capacitors with in situ polymerized PEDT show poor electrical properties that are attributed to the interfacial interaction between the organic electrode and the oxide dielectric. This study focuses on characterizing these interactions. A combination of compositional, structural and electrical characterization techniques was applied to polymer-solid-state-capacitor to understand the interfacial chemical behavior and dielectric property deterioration of alumina and tantalum-oxide films. XPS and impedance studies were employed to understand the stiochiometric and compositional changes that occur in the dielectric film on interaction with in situ deposited PEDT. Based on the observations from several complimentary techniques, it is concluded that tantalum-pentoxide has more resistance towards chemical interaction with in situ polymerized PEDT. The thermally oxidized Ta 2 O 5 -PEDT system showed leakage current of 280 nA μF −1 at 3 V with a breakdown voltage of 30 V. On the other hand, Al 2 O 3 -PEDT capacitor showed leakage current of 50 μA μF −1 and a breakdown voltage of 40 V. The study reports direct evidence for the mechanism of resistivity drop in alumina dielectric with in situ polymerized PEDT electrode.

  14. MIS field effect transistor with barium titanate thin film as a gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Firek, P., E-mail: pfirek@elka.pw.edu.p [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Werbowy, A.; Szmidt, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland)

    2009-11-25

    The properties of barium titanate (BaTiO{sub 3}, BT) like, e.g. high dielectric constant and resistivity, allow it to find numerous applications in field of microelectronics. In this work silicon metal insulator semiconductor field effect transistor (MISFET) structures with BaTiO{sub 3} (containing La{sub 2}O{sub 3} admixture) thin films in a role of gate insulator were investigated. The films were produced by means of radio frequency plasma sputtering (RF PS) of sintered BaTiO{sub 3} + La{sub 2}O{sub 3} (2 wt.%) target. In the paper transfer and output current-voltage (I-V), transconductance and output conductance characteristics of obtained transistors are presented and discussed. Basic parameters of these devices like, e.g. threshold voltage (V{sub TH}), are determined and discussed.

  15. Highly c-axis-oriented monocrystalline Pb(Zr, Ti)O₃ thin films on si wafer prepared by fast cooling immediately after sputter deposition.

    Science.gov (United States)

    Yoshida, Shinya; Hanzawa, Hiroaki; Wasa, Kiyotaka; Esashi, Masayoshi; Tanaka, Shuji

    2014-09-01

    We successfully developed sputter deposition technology to obtain a highly c-axis-oriented monocrystalline Pb(Zr, Ti)O3 (PZT) thin film on a Si wafer by fast cooling (~-180°C/min) of the substrate after deposition. The c-axis orientation ratio of a fast-cooled film was about 90%, whereas that of a slow-cooled (~-40°C/min) film was only 10%. The c-axis-oriented monocrystalline Pb(Zr0.5, Ti0.5)O3 films showed reasonably large piezoelectric coefficients, e(31,f) = ~-11 C/m(2), with remarkably small dielectric constants, ϵ(r) = ~220. As a result, an excellent figure of merit (FOM) was obtained for piezoelectric microelectromechanical systems (MEMS) such as a piezoelectric gyroscope. This c-axis orientation technology on Si will extend industrial applications of PZT-based thin films and contribute further to the development of piezoelectric MEMS.

  16. Carbon nanotube network thin-film transistors on flexible/stretchable substrates

    Science.gov (United States)

    Takei, Kuniharu; Takahashi, Toshitake; Javey, Ali

    2016-03-29

    This disclosure provides systems, methods, and apparatus for flexible thin-film transistors. In one aspect, a device includes a polymer substrate, a gate electrode disposed on the polymer substrate, a dielectric layer disposed on the gate electrode and on exposed portions of the polymer substrate, a carbon nanotube network disposed on the dielectric layer, and a source electrode and a drain electrode disposed on the carbon nanotube network.

  17. Thin film Ag superlens towards lab-on-a-chip integration

    DEFF Research Database (Denmark)

    Jeppesen, Claus; Nielsen, Rasmus Bundgaard; Boltasseva, Alexandra

    2009-01-01

    A thin metal film near-field superlens, as originally suggested by Pendry and realized by Fang et al. and Melville et al., is investigated with emphasis on materials suitable for integration on a lab-on-a-chip platform. A chemically resistant cyclo-olefin copolymer (COC), mr-I-T85 from microresist...... technology, is applied as dielectric matrix/spacer for an Ag thin film superlens. The superlens successfully resolves 80 nm half-pitch gratings when illuminated with UV radiation at a free space wavelength of 365 nm. The superlens design, fabrication and characterization is discussed....

  18. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    Science.gov (United States)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  19. Evaluation of unencapsulated ceramic monolithic and MOS thin-film capacitors (25 to 3000C)

    International Nuclear Information System (INIS)

    Nance, W.R.

    1982-01-01

    Several commercial monolithic ceramic and thin-film MOS chip capacitors were evaluated for use in high temperature (300 0 C) geothermal instrumentation. Characteristics of the commonly used dielectric materials (NPO, X7R, BX) and temperature dependence of the insulation resistance are briefly discussed. Some ceramic capacitors with NPO dielectric materials had insulation resistances above 10 megohms at 300 0 C and less than 2% change in capacitance from 25 0 C to 300 0 C, while the X7R and BX dielectric materials exhibited insulation resistances below 10 megohm and changes in capacitance greater then 50%. The thin-film capacitors showed good stability at 300 0 C. However, during aging, bonds and bond pads presented a problem causing intermittently open circuits for some of the devices

  20. Mechanical and dielectric characterization of lead zirconate titanate(PZT)/polyurethane(PU) thin film composite for energy harvesting

    Science.gov (United States)

    Aboubakr, S.; Rguiti, M.; Hajjaji, A.; Eddiai, A.; Courtois, C.; d'Astorg, S.

    2014-04-01

    The Lead Zirconate titanate (PZT) ceramic is known by its piezoelectric feature, but also by its stiffness, the use of a composite based on a polyurethane (PU) matrix charged by a piezoelectric material, enable to generate a large deformation of the material, therefore harvesting more energy. This new material will provide a competitive alternative and low cost manufacturing technology of autonomous systems (smart clothes, car seat, boat sail, flag ...). A thin film of the PZT/PU composite was prepared using up to 80 vol. % of ceramic. Due to the dielectric nature of the PZT, inclusions of this one in a PU matrix raises the permittivity of the composite, on other hand this latter seems to decline at high frequencies.

  1. Nanopolar reorientation in ferroelectric thin films

    International Nuclear Information System (INIS)

    Hubert, C.; Levy, J.; Rivkin, T. V.; Carlson, C.; Parilla, P. A.; Perkins, J. D.; Ginley, D. S.

    2001-01-01

    The influence of varying oxygen pressure P(O 2 ) during the growth of Ba 0.4 Sr 0.6 TiO 3 thin films is investigated using dielectric and local optical probes. A transition from in-plane to out-of-plane ferroelectricity is observed with increasing P(O 2 ). Signatures of in-plane and out-of-plane ferroelectricity are identified using dielectric response and time-resolved confocal scanning optical microscopy (TRCSOM). At the crossover pressure between in-plane and out-of-plane polarization (P c =85 mTorr), TRCSOM measurements reveal a soft, highly dispersive out-of-plane polarization that reorients in plane under modest applied electric fields. At higher deposition pressures, the out-of-plane polarization is hardened and is less dispersive at microwave frequencies, and the dielectric tuning is suppressed. Nanopolar reorientation is believed to be responsible for the marked increase in dielectric tuning at P(O 2 )=P c

  2. Tunable electromechanical actuation in silicone dielectric film

    International Nuclear Information System (INIS)

    Lamberti, Andrea; Di Donato, Marco; Giorgis, Fabrizio; Chiappone, Annalisa; Canavese, Giancarlo

    2014-01-01

    Dielectric elastomer actuator films were fabricated on transparent conductive electrode using bi-component poly(dimethyl)siloxane (PDMS). PDMS is a well-known material in microfluidics and soft lithography for biomedical applications, being easy to process, low cost, biocompatible and transparent. Moreover its mechanical properties can be easily tuned by varying the mixing ratio between the oligomer base and the crosslinking agent. In this work we investigate the chemical composition and the electromechanical properties of PDMS thin film verifying for the first time the tuneable actuation response by simply modifying the amount of the curing agent. We demonstrate that, for a 20:1 ratio of base:crosslinker mixture, a striking 150% enhancement of Maxwell strain occurs at 1 Hz actuating frequency. (paper)

  3. Note: On the dielectric constant of nanoconfined water

    OpenAIRE

    Zhang, Chao

    2018-01-01

    Investigations of dielectric properties of water in nanoconfinement are highly relevant for various applications. Here, using a simple capacitor model, we show that the low dielectric constant of nanoconfined water found in molecular dynamics simulations can be largely explained by the so-called dielectric dead-layer effect known for ferroelectric nanocapacitors.

  4. Formation of Ag nanoparticles in percolative Ag–PbTiO3 composite thin films through lead-rich Ag–Pb alloy particles formed as transitional phase

    International Nuclear Information System (INIS)

    Hu, Tao; Wang, Zongrong; Su, Yanbo; Tang, Liwen; Shen, Ge; Song, Chenlu; Han, Gaorong; Weng, Wenjian; Ma, Ning; Du, Piyi

    2012-01-01

    The Ag nanoparticle dispersed percolative PbTiO 3 ceramic thin film was prepared in situ by sol–gel method with excess lead introduced into a sol precursor. The influence of excess lead and the heat treatment time on the formation of Ag nanoparticles was investigated by energy dispersive X-ray spectra, scanning electron microscopy, X-ray diffraction, and ultraviolet–visible absorption spectra. Results showed that the excess lead introduced into the sol precursor was in favor of the crystallization of the thin film and in favor of formation of the perovskite phase without the pyrochlore phase. Lead-rich Ag–Pb alloy particles first formed in the thin films and then decomposed to become large numbers of Ag nanoparticles of about 3 nm in size in the thin films when the heat treatment time was longer than 2 min. The content of the Ag nanoparticles increased with increasing the heat treatment time. The percolative behavior appears typically in the Ag nanoparticle dispersed thin films. The dielectric constant of the thin film was about 3 times of that without Ag nanoparticles. - Highlights: ► The Ag nanoparticles formed in the PbTiO 3 percolative ceramic thin film. ► The Ag–Pb alloy particles formed as transitional phase during thin film preparation. ► The lead-rich Ag–Pb alloy particles decomposed to form Ag nanoparticles in the film. ► Permittivity of the thin film is 3 times higher than that without Ag nanoparticles.

  5. Growth of HfO{sub x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany)

    2008-07-01

    Thin films of hafnium oxide were grown on single crystal r-cut and c-cut sapphire by reactive molecular beam epitaxy. The conditions for the growth of single oriented hafnium oxide thin films have been established. Hafnium oxide thin films were characterized by X-ray diffraction and optical absorption measurements. It was found that hafnium oxide thin films grown on r-cut sapphire were (00l) oriented whereas, on c-cut sapphire, hafnium oxide films showed different orientations depending on the growth temperature and oxidation conditions. The hafnium oxide films grown at higher temperature and under strong oxidation conditions yielded (001) oriented films on c-cut sapphire whereas slightly weaker oxidation condition leads to (111) oriented hafnium oxide films. The bandgap deducted from optical absorption measurement carried out on hafnium oxide films grown under optimized conditions agreed well with the values reported in literature. A range of oxygen deficient thin films of hafnium oxide were also grown on single crystal sapphire substrates in order to investigate the effect of oxygen vacancies on dielectric properties of hafnium oxide. The oxygen deficient thin films of hafnium oxide show a decrease in bandgap with increase in oxygen deficiency.

  6. Properties of reactively radio frequency-magnetron sputtered (Zr,Sn)TiO4 dielectric films

    International Nuclear Information System (INIS)

    Huang, C.-L.; Hsu, C.-H.

    2004-01-01

    Zirconium tin titanium oxide doped 1 wt % ZnO thin films on n-type Si substrate were deposited by rf magnetron sputtering at a fixed rf power of 350 W with various argon-oxygen (Ar/O 2 ) mixture and different substrate temperatures. Electrical properties and microstructures of ZnO-doped (Zr 0.8 Sn 0.2 )TiO 4 thin films prepared by rf magnetron sputtering on n-type Si(100) substrates at different Ar/O 2 ratios and substrate temperatures have been investigated. The surface structural and morphological characteristics analyzed by x-ray diffraction, scanning electron microscopy, and atomic force microscope were sensitive to the deposition conditions, such as Ar/O 2 ratio (100/0-80/20) and substrate temperature (350 deg. C-450 deg. C). The selected-area diffraction pattern showed that the deposited films exhibited a polycrystalline microstructure. All films exhibited ZST (111) orientation perpendicular to the substrate surface and the grain size as well as the deposition rate of the films increased with the increase of both the Ar partial pressure and the substrate temperature. At a Ar/O 2 ratio of 100/0, rf power level of 350 W and substrate temperature of 450 deg. C, the Zr 0.8 Sn 0.2 TiO 4 films with 6.44 μm thickness possess a dielectric constant of 42 (at 10 MHz), a dissipation factor of 0.065 (at 10 MHz), and a leakage current density of 2x10 -7 A/cm 2 at an electrical field of 1 kV/cm

  7. Synthesis and Characterization of High-Dielectric-Constant Nanographite-Polyurethane Composite

    Science.gov (United States)

    Mishra, Praveen; Bhat, Badekai Ramachandra; Bhattacharya, B.; Mehra, R. M.

    2018-05-01

    In the face of ever-growing demand for capacitors and energy storage devices, development of high-dielectric-constant materials is of paramount importance. Among various dielectric materials available, polymer dielectrics are preferred for their good processability. We report herein synthesis and characterization of nanographite-polyurethane composite with high dielectric constant. Nanographite showed good dispersibility in the polyurethane matrix. The thermosetting nature of polyurethane gives the composite the ability to withstand higher temperature without melting. The resultant composite was studied for its dielectric constant (ɛ) as a function of frequency. The composite exhibited logarithmic variation of ɛ from 3000 at 100 Hz to 225 at 60 kHz. The material also exhibited stable dissipation factor (tan δ) across the applied frequencies, suggesting its ability to resist current leakage.

  8. Quantitative nanometer-scale mapping of dielectric tunability

    Energy Technology Data Exchange (ETDEWEB)

    Tselev, Alexander [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Klein, Andreas [Technische Univ. Darmstadt (Germany); Gassmann, Juergen [Technische Univ. Darmstadt (Germany); Jesse, Stephen [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Li, Qian [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Kalinin, Sergei V. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Wisinger, Nina Balke [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2015-08-21

    Two scanning probe microscopy techniques—near-field scanning microwave microscopy (SMM) and piezoresponse force microscopy (PFM)—are used to characterize and image tunability in a thin (Ba,Sr)TiO3 film with nanometer scale spatial resolution. While sMIM allows direct probing of tunability by measurement of the change in the dielectric constant, in PFM, tunability can be extracted via electrostrictive response. The near-field microwave imaging and PFM provide similar information about dielectric tunability with PFM capable to deliver quantitative information on tunability with a higher spatial resolution close to 15 nm. This is the first time that information about the dielectric tunability is available on such length scales.

  9. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  10. Self-assembled monolayer exchange reactions as a tool for channel interface engineering in low-voltage organic thin-film transistors.

    Science.gov (United States)

    Lenz, Thomas; Schmaltz, Thomas; Novak, Michael; Halik, Marcus

    2012-10-02

    In this work, we compared the kinetics of monolayer self-assembly long-chained carboxylic acids and phosphonic acids on thin aluminum oxide surfaces and investigated their dielectric properties in capacitors and low-voltage organic thin-film transistors. Phosphonic acid anchor groups tend to substitute carboxylic acid molecules on aluminum oxide surfaces and thus allow the formation of mixed or fully exchanged monolayers. With different alkyl chain substituents (n-alkyl or fluorinated alkyl chains), the exchange reaction can be monitored as a function of time by static contact angle measurements. The threshold voltage in α,α'-dihexyl-sexithiophene thin-film transistors composed of such mixed layer dielectrics correlates with the exchange progress and can be tuned from negative to positive values or vice versa depending on the dipole moment of the alkyl chain substituents. The change in the dipole moment with increasing exchange time also shifts the capacitance of these devices. The rate constants for exchange reactions determined by the time-dependent shift of static contact angle, threshold voltage, and capacitance exhibit virtually the same value thus proving the exchange kinetics to be highly controllable. In general, the exchange approach is a powerful tool in interface engineering, displaying a great potential for tailoring of device characteristics.

  11. Kinetically controlled glass transition measurement of organic aerosol thin films using broadband dielectric spectroscopy

    Directory of Open Access Journals (Sweden)

    Y. Zhang

    2018-06-01

    Full Text Available Glass transitions from liquid to semi-solid and solid phase states have important implications for reactivity, growth, and cloud-forming (cloud condensation nuclei and ice nucleation capabilities of secondary organic aerosols (SOAs. The small size and relatively low mass concentration of SOAs in the atmosphere make it difficult to measure atmospheric SOA glass transitions using conventional methods. To circumvent these difficulties, we have adapted a new technique for measuring glass-forming properties of atmospherically relevant organic aerosols. Aerosol particles to be studied are deposited in the form of a thin film onto an interdigitated electrode (IDE using electrostatic precipitation. Dielectric spectroscopy provides dipole relaxation rates for organic aerosols as a function of temperature (373 to 233 K that are used to calculate the glass transition temperatures for several cooling or heating rates. IDE-enabled broadband dielectric spectroscopy (BDS was successfully used to measure the kinetically controlled glass transition temperatures of aerosols consisting of glycerol and four other compounds with selected cooling and heating rates. The glass transition results agree well with available literature data for these five compounds. The results indicate that the IDE-BDS method can provide accurate glass transition data for organic aerosols under atmospheric conditions. The BDS data obtained with the IDE-BDS technique can be used to characterize glass transitions for both simulated and ambient organic aerosols and to model their climate effects.

  12. Simultaneous achievement of high dielectric constant and low temperature dependence of capacitance in (111-oriented BaTiO3-Bi(Mg0.5Ti0.5O3-BiFeO3 solid solution thin films

    Directory of Open Access Journals (Sweden)

    Junichi Kimura

    2016-01-01

    Full Text Available The temperature dependence of the capacitance of (111c-oriented (0.90–xBaTiO3-0.10Bi(Mg0.5Ti0.5O3-xBiFeO3 solid solution films is investigated. These films are prepared on (111cSrRuO3/(111Pt/TiO2/SiO2/(100Si substrates by the chemical solution deposition technique. All the films have perovskite structures and the crystal symmetry at room temperature varies with increasing x ratio, from pseudocubic when x = 0–0.30 to rhombohedral when x = 0.50–0.90. The pseudocubic phase shows a high relative dielectric constant (εr (ranging between 400 and 560 at room temperature and an operating frequency of 100 kHz and a low temperature dependence of capacitance up to 400°C, while maintaining a dielectric loss (tan δ value of less than 0.2 at 100 kHz. In contrast, εr for the rhombohedral phase increases monotonically with increasing temperature up to 250°C, and increasingly high tan δ values are recorded at higher temperatures. These results indicate that pseudocubic (0.90–xBaTiO3-0.10Bi(Mg0.5Ti0.5O3-xBiFeO3 solid solution films with (111 orientation are suitable candidates for high-temperature capacitor applications.

  13. Sputtered Modified Barium Titanate for Thin-Film Capacitor Applications

    Directory of Open Access Journals (Sweden)

    Robert Mamazza

    2012-04-01

    Full Text Available New apparatus and a new process for the sputter deposition of modified barium titanate thin-films were developed. Films were deposited at temperatures up to 900 °C from a Ba0.96Ca0.04Ti0.82Zr0.18O3 (BCZTO target directly onto Si, Ni and Pt surfaces and characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM and X-ray photoelectron spectroscopy (XPS. Film texture and crystallinity were found to depend on both deposition temperature and substrate: above 600 °C, the as-deposited films consisted of well-facetted crystallites with the cubic perovskite structure. A strongly textured Pt (111 underlayer enhanced the (001 orientation of BCZTO films deposited at 900 °C, 10 mtorr pressure and 10% oxygen in argon. Similar films deposited onto a Pt (111 textured film at 700 °C and directly onto (100 Si wafers showed relatively larger (011 and diminished intensity (00ℓ diffraction peaks. Sputter ambients containing oxygen caused the Ni underlayers to oxidize even at 700 °C: Raising the process temperature produced more diffraction peaks of NiO with increased intensities. Thin-film capacitors were fabricated using ~500 nm thick BCZTO dielectrics and both Pt and Ni top and bottom electrodes. Small signal capacitance measurements were carried out to determine capacitance and parallel resistance at low frequencies and from these data, the relative permittivity (er and resistivity (r of the dielectric films were calculated; values ranged from ~50 to >2,000, and from ~104 to ~1010 Ω∙cm, respectively.

  14. Quantum effects on propagation of bulk and surface waves in a thin quantum plasma film

    International Nuclear Information System (INIS)

    Moradi, Afshin

    2015-01-01

    The propagation of bulk and surface plasma waves in a thin quantum plasma film is investigated, taking into account the quantum effects. The generalized bulk and surface plasma dispersion relation due to quantum effects is derived, using the quantum hydrodynamic dielectric function and applying appropriate additional boundary conditions. The quantum mechanical and film geometric effects on the bulk and surface modes are discussed. It is found that quantum effects become important for a thin film of small thickness. - Highlights: • New bulk and surface plasma dispersion relations due to quantum effects are derived, in a thin quantum plasma film. • It is found that quantum effects become important for a thin quantum film of small thickness

  15. Ferroelectric properties of NaNbO3-BaTiO3 thin films deposited on SrRuO3/(001)SrTiO3 substrate by pulsed laser deposition

    International Nuclear Information System (INIS)

    Yamazoe, Seiji; Oda, Shinya; Sakurai, Hiroyuki; Wada, Takahiro; Adachi, Hideaki

    2009-01-01

    (NaNbO 3 ) 1-x (BaTiO 3 ) x (NN-xBT) thin films with low BaTiO 3 (BT) concentrations x (x=0.05 and 0.10) were fabricated on SrRuO 3 /(001)SrTiO 3 (SRO)/(001)STO) substrate by pulsed laser deposition (PLD). X-ray diffraction pattern (XRD) and transmission electron diffraction pattern (TED) showed that NN-0.10BT thin film was epitaxially grown on SRO/(001)STO substrate with a crystallographic relationship of [001] NN-xBT parallel [001] STO . From reciprocal space maps, the lattice parameters of the out-of-plane direction of NN-xBT thin films became larger with an increase in BT concentration, although the lattice parameter of the in-plane was hardly changed by the BT concentration. The value of relative dielectric constant ε r of the NN-xBT thin films were increased with BT concentration. The ε r and the dielectric loss tanδ of NN-0.10BT were 1220 and 0.02 at 1 kHz, respectively. The P-E hysteresis loops of the NN-xBT thin films showed clear ferroelectricity. Although the value of remanent polarization P r decreased with the BT concentration, the behaviors of ε r , P r , and coercive electric field E c of the NN-xBT thin films against the BT concentration accorded with those of NN-xBT ceramics, in which NN-0.10BT ceramics exhibited the largest piezoelectric property. Therefore, the NN-0.10BT thin film is expected to show high piezoelectricity. (author)

  16. Indium–gallium–zinc oxide thin film transistors with a hybrid-channel structure for defect suppression and mobility improvement

    International Nuclear Information System (INIS)

    Lin, Huang-Kai; Su, Liang-Yu; Hung, Chia-Chin; Huang, JianJang

    2013-01-01

    In this work, we explore an indium gallium zinc oxide (IGZO) thin film transistor structure with a vacuum annealed IGZO thin film inserted between the dielectric and typical channel layers. The device demonstrates a better subthreshold swing and field-effect mobility due to the suppression of defects in the channel and the channel/dielectric interface. The hybrid channel structure also exhibits the flexibility of adjusting the threshold voltage. The superior carrier mobility was then verified from the transient response of the inverter circuit constructed by the devices. - Highlights: • Additional in-situ annealed In–Ga–ZnO film was inserted in thin film transistor (TFT). • Traps are suppressed and field effect mobility is improved in the TFT. • An inverter with the device structure has a better transient response

  17. Indium–gallium–zinc oxide thin film transistors with a hybrid-channel structure for defect suppression and mobility improvement

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Huang-Kai; Su, Liang-Yu; Hung, Chia-Chin [Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China); Huang, JianJang, E-mail: jjhuang@cc.ee.ntu.edu.tw [Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China); Department of Electrical Engineering, National Taiwan University, 1, Roosevelt Road, Sec. 4, Taipei 106, Taiwan (China)

    2013-07-01

    In this work, we explore an indium gallium zinc oxide (IGZO) thin film transistor structure with a vacuum annealed IGZO thin film inserted between the dielectric and typical channel layers. The device demonstrates a better subthreshold swing and field-effect mobility due to the suppression of defects in the channel and the channel/dielectric interface. The hybrid channel structure also exhibits the flexibility of adjusting the threshold voltage. The superior carrier mobility was then verified from the transient response of the inverter circuit constructed by the devices. - Highlights: • Additional in-situ annealed In–Ga–ZnO film was inserted in thin film transistor (TFT). • Traps are suppressed and field effect mobility is improved in the TFT. • An inverter with the device structure has a better transient response.

  18. Low dielectric constant-based organic field-effect transistors and metal-insulator-semiconductor capacitors

    Science.gov (United States)

    Ukah, Ndubuisi Benjamin

    This thesis describes a study of PFB and pentacene-based organic field-effect transistors (OFET) and metal-insulator-semiconductor (MIS) capacitors with low dielectric constant (k) poly(methyl methacrylate) (PMMA), poly(4-vinyl phenol) (PVP) and cross-linked PVP (c-PVP) gate dielectrics. A physical method -- matrix assisted pulsed laser evaporation (MAPLE) -- of fabricating all-polymer field-effect transistors and MIS capacitors that circumvents inherent polymer dissolution and solvent-selectivity problems, is demonstrated. Pentacene-based OFETs incorporating PMMA and PVP gate dielectrics usually have high operating voltages related to the thickness of the dielectric layer. Reduced PMMA layer thickness (≤ 70 nm) was obtained by dissolving the PMMA in propylene carbonate (PC). The resulting pentacene-based transistors exhibited very low operating voltage (below -3 V), minimal hysteresis in their transfer characteristics, and decent electrical performance. Also low voltage (within -2 V) operation using thin (≤ 80 nm) low-k and hydrophilic PVP and c-PVP dielectric layers obtained via dissolution in high dipole moment and high-k solvents -- PC and dimethyl sulfoxide (DMSO), is demonstrated to be a robust means of achieving improved electrical characteristics and high operational stability in OFETs incorporating PVP and c-PVP dielectrics.

  19. Thermal conductivity model for nanoporous thin films

    Science.gov (United States)

    Huang, Congliang; Zhao, Xinpeng; Regner, Keith; Yang, Ronggui

    2018-03-01

    Nanoporous thin films have attracted great interest because of their extremely low thermal conductivity and potential applications in thin thermal insulators and thermoelectrics. Although there are some numerical and experimental studies about the thermal conductivity of nanoporous thin films, a simplified model is still needed to provide a straightforward prediction. In this paper, by including the phonon scattering lifetimes due to film thickness boundary scattering, nanopore scattering and the frequency-dependent intrinsic phonon-phonon scattering, a fitting-parameter-free model based on the kinetic theory of phonon transport is developed to predict both the in-plane and the cross-plane thermal conductivities of nanoporous thin films. With input parameters such as the lattice constants, thermal conductivity, and the group velocity of acoustic phonons of bulk silicon, our model shows a good agreement with available experimental and numerical results of nanoporous silicon thin films. It illustrates that the size effect of film thickness boundary scattering not only depends on the film thickness but also on the size of nanopores, and a larger nanopore leads to a stronger size effect of the film thickness. Our model also reveals that there are different optimal structures for getting the lowest in-plane and cross-plane thermal conductivities.

  20. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Sanne, A.; Movva, H. C. P.; Kang, S.; McClellan, C.; Corbet, C. M.; Banerjee, S. K. [Microelectronics Research Center, University of Texas, Austin, Texas 78758 (United States)

    2014-02-24

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriers as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.

  1. Optical constants and band edge of amorphous zinc oxide thin films

    International Nuclear Information System (INIS)

    Khoshman, Jebreel M.; Kordesch, Martin E.

    2007-01-01

    The optical characteristics of amorphous zinc oxide (a-ZnO) thin films grown by radio frequency reactive magnetron sputtering on various substrates at temperature -8 -0.32, respectively. The band edge of the films on Si (100) and quartz has been determined by spectroscopic ellipsometry (3.39 ± 0.05 eV) and spectrophotometric (3.35 ± 0.05 eV) methods, respectively. From the angle dependence of the p-polarized reflectivity we deduce a Brewster angle of 60.5 deg. Measurement of the polarized optical properties shows a high transmissivity (81%-99%) and low absorptivity (< 5%) in the visible and near infrared regions at different angles of incidence. Also, we found that there was a higher absorptivity for wavelength < 370 nm. This wavelength, ∼ 370 nm, therefore indicated that the band edge for a-ZnO thin films is about 3.35 eV

  2. Thermal oxidation of Ni films for p-type thin-film transistors

    KAUST Repository

    Jiang, Jie; Wang, Xinghui; Zhang, Qing; Li, Jingqi; Zhang, Xixiang

    2013-01-01

    p-Type nanocrystal NiO-based thin-film transistors (TFTs) are fabricated by simply oxidizing thin Ni films at temperatures as low as 400 °C. The highest field-effect mobility in a linear region and the current on-off ratio are found to be 5.2 cm2 V-1 s-1 and 2.2 × 103, respectively. X-ray diffraction, transmission electron microscopy and electrical performances of the TFTs with "top contact" and "bottom contact" channels suggest that the upper parts of the Ni films are clearly oxidized. In contrast, the lower parts in contact with the gate dielectric are partially oxidized to form a quasi-discontinuous Ni layer, which does not fully shield the gate electric field, but still conduct the source and drain current. This simple method for producing p-type TFTs may be promising for the next-generation oxide-based electronic applications. © 2013 the Owner Societies.

  3. Self-assembled single-phase perovskite nanocomposite thin films.

    Science.gov (United States)

    Kim, Hyun-Suk; Bi, Lei; Paik, Hanjong; Yang, Dae-Jin; Park, Yun Chang; Dionne, Gerald F; Ross, Caroline A

    2010-02-10

    Thin films of perovskite-structured oxides with general formula ABO(3) have great potential in electronic devices because of their unique properties, which include the high dielectric constant of titanates, (1) high-T(C) superconductivity in cuprates, (2) and colossal magnetoresistance in manganites. (3) These properties are intimately dependent on, and can therefore be tailored by, the microstructure, orientation, and strain state of the film. Here, we demonstrate the growth of cubic Sr(Ti,Fe)O(3) (STF) films with an unusual self-assembled nanocomposite microstructure consisting of (100) and (110)-oriented crystals, both of which grow epitaxially with respect to the Si substrate and which are therefore homoepitaxial with each other. These structures differ from previously reported self-assembled oxide nanocomposites, which consist either of two different materials (4-7) or of single-phase distorted-cubic materials that exhibit two or more variants. (8-12) Moreover, an epitaxial nanocomposite SrTiO(3) overlayer can be grown on the STF, extending the range of compositions over which this microstructure can be formed. This offers the potential for the implementation of self-organized optical/ferromagnetic or ferromagnetic/ferroelectric hybrid nanostructures integrated on technologically important Si substrates with applications in magnetooptical or spintronic devices.

  4. Effect of Co doping concentration on structural properties and optical parameters of Co-doped ZnO thin films by sol-gel dip-coating method.

    Science.gov (United States)

    Nam, Giwoong; Yoon, Hyunsik; Kim, Byunggu; Lee, Dong-Yul; Kim, Jong Su; Leem, Jae-Young

    2014-11-01

    The structural and optical properties of Co-doped ZnO thin films prepared by a sol-gel dip-coating method were investigated. X-ray diffraction analysis showed that the thin films were grown with a c-axis preferred orientation. The position of the (002) peak was almost the same in all samples, irrespective of the Co concentration. It is thus clear that Co doping had little effect on the position of the (002) peak. To confirm that Co2+ was substituted for Zn2+ in the wurtzite structure, optical measurements were conducted at room temperature by a UV-visible spectrometer. Three absorption peaks are apparent in the Co-doped ZnO thin films that do not appear for the undoped ZnO thin film. As the Co concentration was increased, absorption related to characteristic Co2+ transitions increased because three absorption band intensities and the area underneath the absorption wells between 500 and 700 nm increased with increasing Co concentration. The optical band gap and static dielectric constant decreased and the Urbach energy and extinction coefficient increased with increasing Co concentration.

  5. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  6. Optical and electrical properties of hydrided palladium thin films studied by an inversion approach from transmittance measurements

    International Nuclear Information System (INIS)

    Vargas, W.E.; Rojas, I.; Azofeifa, D.E.; Clark, N.

    2006-01-01

    Palladium (Pd) thin films have been deposited by electron beam evaporation, and exposed to increasing hydrogen pressures. Transmittance spectra in the range of visible light have been measured to obtain from them, by means of a spectral projected gradient method, the wavelength dependence of the dielectric function. The decreasing metallic character of Pd with hydrogen absorption is displayed. This effect is more pronounced when Pd is deposited on metallic substrates, and there is a correlation with an increase in the effective polarization of the core electrons determining the optical dielectric constant value. Another optimization approach is devised to separate the contribution of the free carriers and of the interband transitions to the optical conductivity and to the dielectric function. Very good agreement is found between the optimized parameters characterizing the free carrier contribution and the corresponding values reported in the literature and obtained by independent experimental methods

  7. Microwave characteristics of sol-gel based Ag-doped (Ba{sub 0.6}Sr{sub 0.4})TiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Kyoung-Tae; Kim, Cheolbok [Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 (United States); Senior, David E. [Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 (United States); Department of Electrical and Electronic Engineering, Universidad Tecnológica de Bolívar Cartagena, 130011 Colombia (Colombia); Kim, Dongsu [Packaging Research Center, Korea Electronics Technology Institute, Gyeonggi-do, 463-816 (Korea, Republic of); Yoon, Yong-Kyu, E-mail: ykyoon@ece.ufl.edu [Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2014-08-28

    Dielectric Ba{sub 0.6}Sr{sub 0.4}TiO{sub 3} (BST) thin films with a different concentration of Ag-dopant of 0.5, 1, 1.5, 2, 3, and 5 mol % have been prepared using an alkoxide-based sol-gel method on a Pt(111)/TiO{sub 2}/SiO{sub 2}/Si substrate and their surface morphology and crystallinity have been examined using scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis, respectively. An on-chip metal-insulator-metal capacitor has been fabricated with the prepared thin film ferroelectric sample. Concentric coplanar electrodes are used for high frequency electrical characterization with a vector network analyzer and a probe station. The SEM images show that increasing Ag doping concentration leads to a decrease in grain size. XRD reveals that the fabricated films show good BST crystallinity for all the concentration while a doping concentration of 5 mol % starts to show an Ag peak, implying a metallic phase. Improved microwave dielectric loss properties of the BST thin films are observed in a low Ag doping level. Especially, BST with an Ag doping concentration of 1 mol % shows the best properties with a dielectric constant of 269.3, a quality factor of 48.1, a tunability at the electric field of 100 kV/cm of 41.2 %, a leakage-current density of 1.045 × 10{sup −7}A/cm{sup 2} at an electric field of 100 kV/cm and a figure of merit (defined by tunability (%) divided by tan δ (%)) of 19.59 under a dc bias voltage of 10 V at 1 GHz. - Highlights: • High quality Ag-doped Ba{sub 0.6}Sr{sub 0.4}TiO{sub 3} (BST) thin films were derived by the sol-gel method. • Doped Ag replaced the A site ions in the ABO{sub 3} type structure. • Doped Ag helped lower leakage current by filling oxygen vacancies, which is a leakage path. • Microwave characteristics of low dielectric loss and good tunability were confirmed. • Great potential is envisioned for low loss tunable microwave applications.

  8. Thermal pulse measurements of space charge distributions under an applied electric field in thin films

    International Nuclear Information System (INIS)

    Zheng, Feihu; An, Zhenlian; Zhang, Yewen; Liu, Chuandong; Lin, Chen; Lei, Qingquan

    2013-01-01

    The thermal pulse method is a powerful method to measure space charge and polarization distributions in thin dielectric films, but a complicated calibration procedure is necessary to obtain the real distribution. In addition, charge dynamic behaviour under an applied electric field cannot be observed by the classical thermal pulse method. In this work, an improved thermal pulse measuring system with a supplemental circuit for applying high voltage is proposed to realize the mapping of charge distribution in thin dielectric films under an applied field. The influence of the modified measuring system on the amplitude and phase of the thermal pulse response current are evaluated. Based on the new measuring system, an easy calibration approach is presented with some practical examples. The newly developed system can observe space charge evolution under an applied field, which would be very helpful in understanding space charge behaviour in thin films. (paper)

  9. A „Hybrid“ Thin-Film pH Sensor with Integrated Thick-Film Reference

    OpenAIRE

    Simonis, Anette; Krings, Thomas; Lüth, Hans; Wang, Joseph; Schöning, Michael J.

    2001-01-01

    A reference electrode fabricated by means of thick-film technique is deposited onto a silicon substrate and combined with a thin-film pH sensor to a “hybrid†chip system. To evaluate the suitability of this combination, first investigations were carried out. The characteristics of the thin-film pH sensor were studied towards the thick-film Ag/AgCl reference electrode. Measurements were performed in the capacitance/voltage (C/V) and constant capacitance (Concap) mode for different pH ...

  10. Zirconium doped TiO{sub 2} thin films deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Juma, A. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Department of Physics and Astronomy, Botswana International University of Science and Technology, Private bag 16, Palapye (Botswana); Oja Acik, I., E-mail: ilona.oja@ttu.ee [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Oluwabi, A.T.; Mere, A. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Mikli, V.; Danilson, M. [Chair of Semiconductor Materials Technology, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Krunks, M. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia)

    2016-11-30

    Highlights: • Mean crystallite size of TiO{sub 2}:Zr film decreases with increasing [Zr] in the solution. • Zr doping supresses the anatase to rutile transformation process in TiO{sub 2} films. • Band gap of TiO{sub 2}:Zr film is 3.4 eV irrespective of the annealing temperature. - Abstract: Chemical spray pyrolysis (CSP) is a flexible deposition technique that allows for mixing of the precursor solutions in different proportions suitable for doping thin films. The CSP method was used to dope TiO{sub 2} thin films with Zr by adding zirconium(IV) acetylacetonate into a solution of titanium(IV) isopropoxide in ethanol stabilized by acetylacetone at [Zr]/[Ti] of 0, 5, 10 and 20 at%. The Zr-doped TiO{sub 2} thin films were uniform and homogeneous showing much smaller grains than the undoped TiO{sub 2} films. Zr stabilized the anatase phase to temperatures above 800 °C depending on Zr concentration in the spray solution. The concentration of Zr determined by XPS was 6.4 at% for the thin film deposited from the 20 at% solution. According to AFM studies, Zr doping decreased the root mean square roughness of TiO{sub 2} film from 5.9 to 1.1 nm. An XRD study of samples with the highest Zr amount showed the ZrTiO{sub 4} phase started forming after annealing at 800 °C. The optical band gap for TiO{sub 2} decreased from 3.3 eV to 3.0 eV after annealing at 800 °C but for the TiO{sub 2}:Zr(20) film it remained at 3.4 eV. The dielectric constant increased by more than four times with Zr-doping and this was associated with the change in the bond formations caused by substitution of Ti by Zr in the lattice.

  11. High temperature dielectric properties of (BxNyOz thin films deposited using ion source assisted physical vapor deposition

    Directory of Open Access Journals (Sweden)

    N. Badi

    2015-12-01

    Full Text Available The dielectric integrity has been one of the major obstacle in bringing out capacitor devices with suitable performance characteristics at high temperatures. In this paper, BxNyOz dielectric films for high temperature capacitors solutions are investigated. The films were grown on silicon substrate by using ion source assisted physical vapor deposition technique. The as-grown films were characterized by SEM, XRD, and XPS. The capacitor structures were fabricated using BxNyOz as a dielectric and titanium as metal electrodes. The elaborated devices were subjected to electrical and thermal characterization. They exhibited low electrical loss and very good stability when subjected to high temperature for a prolonged period of time.

  12. Preparation of thin-film (Ba(0.5),Sr(0.5))TiO3 by the laser ablation technique and electrical properties

    Science.gov (United States)

    Yoon, Soon-Gil; Lee, Jai-Chan; Safari, A.

    1994-09-01

    The chemical composition and electrical properties were investigated for epitaxially crystallized (Ba(0.5),Sr(0.5))TiO3 (BST) films deposited on Pt/MgO and YBa2Cu3O(7-x) (YBCO)/MgO substrates by the laser ablation technique. Rutherford backscattering spectroscopy analysis shows that thin films on Pt/MgO have almost the same stoichiometric composition as the target material. Films deposited at 600 C exhibited an excellent epitaxial growth, a dielectric constant of 430, and a dissipation factor of 0.02 at 10 kHz frequency. They have a charge storage density of 40 fC/sq micron at an applied electric field of 0.15 MV/cm. Leakage current density of BST thin films on Pt/MgO was smaller than on YBCO/MgO. Their leakage current density is about 0.8 microA/sq cm at an applied electric field of 0.15 MV/cm.

  13. Optical properties of vacuum deposited polyaniline ultra-thin film

    International Nuclear Information System (INIS)

    Wahab, M. R. A.; Din, M.; Yunus, W. M. M.; Hasan, Z. A.; Kasim, A.

    2005-01-01

    Full text: Ultra-thin films of emeraldine base (EB) and emeraldine salt (ES) form of polyaniline (PANi) were prepared using electron-gun vacuum deposition. Thickness range studied was between 100AA and 450AA. Dielectric permittivity of the films determined from Kretchmann Configuration Surface Plasmon Resonance (SPR) angles-scanning set-up show shifts and narrowing of the SPR dip. Absorbance spectra of S-polarized and P-polarized light show the aging effect on orientation of the film. The effect of aging on its conductivity and photoluminescence is also correlated to the surface morphology

  14. PLZT capacitor and method to increase the dielectric constant

    Science.gov (United States)

    Taylor, Ralph S.; Fairchild, Manuel Ray; Balachjandran, Uthamalingam; Lee, Tae H.

    2017-12-12

    A ceramic-capacitor includes a first electrically-conductive-layer, a second electrically-conductive-layer arranged proximate to the first electrically-conductive-layer, and a dielectric-layer interposed between the first electrically-conductive-layer and the second electrically-conductive-layer. The dielectric-layer is formed of a lead-lanthanum-zirconium-titanate material (PLZT), wherein the PLZT is characterized by a dielectric-constant greater than 125, when measured at 25 degrees Celsius and zero Volts bias, and an excitation frequency of ten-thousand Hertz (10 kHz). A method for increasing a dielectric constant of the lead-lanthanum-zirconium-titanate material (PLZT) includes the steps of depositing PLZT to form a dielectric-layer of a ceramic-capacitor, and heating the ceramic-capacitor to a temperature not greater than 300.degree. C.

  15. Synthesis and characterization of hexagonal ferrite Sr{sub 1.8}Sm{sub 0.2}Co{sub 2}Ni{sub 1.50}Fe{sub 10.50}O{sub 22}/PST thin films for high frequency application

    Energy Technology Data Exchange (ETDEWEB)

    Ali, Irshad, E-mail: irshadalibzu@gmail.com [Department of Physics, Bahauddin Zakariya University, Multan P.O. 60800 (Pakistan); Islam, M.U. [Department of Physics, Bahauddin Zakariya University, Multan P.O. 60800 (Pakistan); Ashiq, Muhammad Naeem, E-mail: naeemashiqqau@yahoo.com [Institute of Chemical Science, Bahauddin Zakariya University, Multan 60800 (Pakistan); Asif Iqbal, M. [Department of Physics, Bahauddin Zakariya University, Multan P.O. 60800 (Pakistan); College of E & ME, National University of Science and Technology, Islamabad (Pakistan); Karamat, Nazia [Institute of Chemical Science, Bahauddin Zakariya University, Multan 60800 (Pakistan); Azhar Khan, M. [Department of Physics, Islamia University, Bahawalpur 63100 (Pakistan); Sadiq, Imran [Centre of Excellence in Solid State Physics, University of The Punjab, Lahore (Pakistan); Ijaz, Sana [Institute of Chemical Science, Bahauddin Zakariya University, Multan 60800 (Pakistan); Shakir, Imran [Sustainable Energy Technologies (SET) Center, College of Engineering, King Saud University (Saudi Arabia)

    2015-11-01

    Y-type hexagonal ferrite (Sr{sub 1.8}Sm{sub 0.2}Co{sub 2}Ni{sub 1.50} Fe{sub 10.50}O{sub 22}) was prepared by a normal microemulsion route. The ferrite/polymer composites thin films are formed at different ferrite ratios in pure polystyrene matrix. The X-ray diffraction analysis shows broad peak at low angles which is due to the PST and the peaks for Y-type ferrite are also observed in composite samples. The peaks become more intense and show less broadening with increasing concentration of ferrite which suggests that crystallinity is improved with the addition of ferrite. DC resistivity of the composites samples is lower than that of the pure PST and decreases by increasing ferrite filler into the polymer. This decrease of resistivity is mainly due to the addition of comparatively less resistive ferrite into the highly insulating polymer matrix of PST. The observed increase in the dielectric constant (permittivity) with increasing concentration ratio of ferrites is mainly due to the electron exchange between Fe{sup 2+}↔Fe{sup 3+}+e{sup −} which consequently results in enhancement of electric polarization as well as dielectric constant. The existence of resonances peaks in the dielectric loss tangent spectra is due to the fact when the external applied frequency becomes equal to the jumping frequency of electrons between Fe{sup 2+} and Fe{sup 3+}. The increasing behavior of the dielectric constant, dielectric loss and AC conductivity with increasing ferrite ratio in PST matrix proposes their versatile use in different technological applications especially for electromagnetic shielding. - Highlights: • Y-type hexaferrites were synthesized by the microemulsion route. • AC activation is lower than DC activation energy. • Ferrite/polymer composites thin films are formed. • The peaks become more intense with increasing concentration of ferrite. • Values of “n” confirm the hopping mechanism in all thin films.

  16. Comparison of residual stress measurement in thin films using surface micromachining method

    International Nuclear Information System (INIS)

    He, Q.; Luo, Z.X.; Chen, X.Y.

    2008-01-01

    Conductive, dielectric, semiconducting, piezoelectric and ferroelectric thin films are extensively used for MEMS/NEMS applications. One of the important parameters of thin films is residual stress. The residual stress can seriously affect the properties, performance and long-term stability of the films. Excessive compressive or tensile stress results in buckling, cracking, splintering and sticking problems. Stress measurement techniques are therefore essential for both process development and process monitoring. Many suggestions for stress measurement in thin films have been made over the past several decades. This paper is concentrated on the in situ stress measurement using surface micromachining techniques to determine the residual stress. The authors review and compare several types of stress measurement methods including buckling technique, rotating technique, micro strain gauge and long-short beam strain sensor

  17. Effects of background oxygen pressure on dielectric and ferroelectric properties of epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrTiO3

    Science.gov (United States)

    Abazari, M.; Akdoǧan, E. K.; Safari, A.

    2008-11-01

    Oxygen partial pressure (PO_2) in pulsed laser deposition significantly influences the composition, microstructure, and electrical properties of epitaxial misfit strain-relieved 450nm ⟨001⟩ oriented epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated SrTiO3. Films deposited at 400mTorr exhibit high remnant and saturated polarization of 7.5 and 16.5μC /cm2, respectively, which is ˜100% increase over the ones grown at 100mTorr. The dielectric constant linearly increases from 220 to 450 with increasing PO2. The observed changes in surface morphology of the films and their properties are shown to be due to the suppression of volatile A-site cation loss.

  18. Misfit strain-film thickness phase diagrams and related electromechanical properties of epitaxial ultra-thin lead zirconate titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Q.Y.; Mahjoub, R. [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia); Alpay, S.P. [Materials Science and Engineering Program and Institute of Materials Science, University of Connecticut, Storrs, CT 06269 (United States); Nagarajan, V., E-mail: nagarajan@unsw.edu.au [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia)

    2010-02-15

    The phase stability of ultra-thin (0 0 1) oriented ferroelectric PbZr{sub 1-x}Ti{sub x}O{sub 3} (PZT) epitaxial thin films as a function of the film composition, film thickness, and the misfit strain is analyzed using a non-linear Landau-Ginzburg-Devonshire thermodynamic model taking into account the electrical and mechanical boundary conditions. The theoretical formalism incorporates the role of the depolarization field as well as the possibility of the relaxation of in-plane strains via the formation of microstructural features such as misfit dislocations at the growth temperature and ferroelastic polydomain patterns below the paraelectric-ferroelectric phase transformation temperature. Film thickness-misfit strain phase diagrams are developed for PZT films with four different compositions (x = 1, 0.9, 0.8 and 0.7) as a function of the film thickness. The results show that the so-called rotational r-phase appears in a very narrow range of misfit strain and thickness of the film. Furthermore, the in-plane and out-of-plane dielectric permittivities {epsilon}{sub 11} and {epsilon}{sub 33}, as well as the out-of-plane piezoelectric coefficients d{sub 33} for the PZT thin films, are computed as a function of misfit strain, taking into account substrate-induced clamping. The model reveals that previously predicted ultrahigh piezoelectric coefficients due to misfit-strain-induced phase transitions are practically achievable only in an extremely narrow range of film thickness, composition and misfit strain parameter space. We also show that the dielectric and piezoelectric properties of epitaxial ferroelectric films can be tailored through strain engineering and microstructural optimization.

  19. Growth of KNN thin films for non-linear optical applications

    International Nuclear Information System (INIS)

    Sharma, Shweta; Gupta, Reema; Gupta, Vinay; Tomar, Monika

    2018-01-01

    Two-wave mixing is a remarkable area of research in the field of non-linear optics, finding various applications in the development of opto-electronic devices, photorefractive waveguides, real time holography, etc. Non-linear optical properties of ferroelectric potassium sodium niobate (KNN) thin films have been interrogated using two-wave mixing phenomenon. Regarding this, a-axis oriented K 0.35 Na (1-0.35) NbO 3 thin films were successfully grown on epitaxial matched (100) SrTiO 3 substrate using pulsed laser deposition (PLD) technique. The uniformly distributed Au micro-discs of 200 μm diameter were integrated with KNN/STO thin film to study the plasmonic enhancement in the optical response. Beam amplification has been observed as a result of the two-wave mixing. This is due to the alignment of ferroelectric domains in KNN films and the excitement of plasmons at the metal-dielectric (Au-KNN) interface. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Growth of KNN thin films for non-linear optical applications

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Shweta; Gupta, Reema; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi (India); Tomar, Monika [Department of Physics, Miranda House University of Delhi (India)

    2018-02-15

    Two-wave mixing is a remarkable area of research in the field of non-linear optics, finding various applications in the development of opto-electronic devices, photorefractive waveguides, real time holography, etc. Non-linear optical properties of ferroelectric potassium sodium niobate (KNN) thin films have been interrogated using two-wave mixing phenomenon. Regarding this, a-axis oriented K{sub 0.35}Na{sub (1-0.35)}NbO{sub 3} thin films were successfully grown on epitaxial matched (100) SrTiO{sub 3} substrate using pulsed laser deposition (PLD) technique. The uniformly distributed Au micro-discs of 200 μm diameter were integrated with KNN/STO thin film to study the plasmonic enhancement in the optical response. Beam amplification has been observed as a result of the two-wave mixing. This is due to the alignment of ferroelectric domains in KNN films and the excitement of plasmons at the metal-dielectric (Au-KNN) interface. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Amorphous Dielectric Thin Films with Extremely Low Mechanical Loss

    Directory of Open Access Journals (Sweden)

    Liu X.

    2015-04-01

    Full Text Available The ubiquitous low-energy excitations are one of the universal phenomena of amorphous solids. These excitations dominate the acoustic, dielectric, and thermal properties of structurally disordered solids. One exception has been a type of hydrogenated amorphous silicon (a-Si:H with 1 at.% H. Using low temperature elastic and thermal measurements of electron-beam evap-orated amorphous silicon (a-Si, we show that TLS can be eliminated in this system as the films become denser and more structurally ordered under certain deposition conditions. Our results demonstrate that TLS are not intrinsic to the glassy state but instead reside in low density regions of the amorphous network. This work obviates the role hydrogen was previously thought to play in removing TLS in a-Si:H and favors an ideal four-fold covalently bonded amorphous structure as the cause for the disappearance of TLS. Our result supports the notion that a-Si can be made a “perfect glass” with “crystal-like” properties, thus offering an encouraging opportunity to use it as a simple crystal dielectric alternative in applications, such as in modern quantum devices where TLS are the source of dissipation, decoherence and 1/f noise.

  2. Fabrication of air-stable n-type carbon nanotube thin-film transistors on flexible substrates using bilayer dielectrics.

    Science.gov (United States)

    Li, Guanhong; Li, Qunqing; Jin, Yuanhao; Zhao, Yudan; Xiao, Xiaoyang; Jiang, Kaili; Wang, Jiaping; Fan, Shoushan

    2015-11-14

    Single-walled carbon nanotube (SWNT) thin-film transistors hold great potential for flexible electronics. However, fabrication of air-stable n-type devices by methods compatible with standard photolithography on flexible substrates is challenging. Here, we demonstrated that by using a bilayer dielectric structure of MgO and atomic layer deposited (ALD) Al2O3 or HfO2, air-stable n-type devices can be obtained. The mechanism for conduction type conversion was elucidated and attributed to the hole depletion in SWNT, the decrease of the trap state density by MgO assimilating adsorbed water molecules in the vicinity of SWNT, and the energy band bending because of the positive fixed charges in the ALD layer. The key advantage of the method is the relatively low temperature (120 or 90 °C) required here for the ALD process because we need not employ this step to totally remove the absorbates on the SWNTs. This advantage facilitates the integration of both p-type and n-type transistors through a simple lift off process and compact CMOS inverters were demonstrated. We also demonstrated that the doping of SWNTs in the channel plays a more important role than the Schottky barriers at the metal contacts in carbon nanotube thin-film transistors, unlike the situation in individual SWNT-based transistors.

  3. Optical behaviour of sprayed tin sulphide thin films

    International Nuclear Information System (INIS)

    Reddy, N. Koteeswara; Reddy, K.T. Ramakrishna

    2006-01-01

    SnS films have been grown by spray pyrolysis technique on Corning 7059 glass substrates at different substrate temperatures that vary in the range of 100-450deg. C, keeping the other deposition parameters constant. The optical properties of the films were systematically studied using the optical transmittance and reflectance data. The optical absorption coefficient and optical energy band gap of the films were evaluated. The variation of refractive index and extinction coefficient with photon energy for the films grown at different temperatures were studied. The SnS films grown at the substrate temperature range 300-375deg. C, were showed an absorption coefficient >10 4 cm -1 with the energy band gap 1.32eV, measured at room temperature. For these films, the material properties such as the dielectric constants (n, n 0 , k, ε 0 and ε ∞ ), plasma frequency (ω p ), hole effective mass (m h *) and carrier density (N opt ) were also evaluated

  4. An Approach for Measuring the Dielectric Strength of OLED Materials

    Directory of Open Access Journals (Sweden)

    Sujith Sudheendran Swayamprabha

    2018-06-01

    Full Text Available Surface roughness of electrodes plays a key role in the dielectric breakdown of thin-film organic devices. The rate of breakdown will increase when there are stochastic sharp spikes on the surface of electrodes. Additionally, surface having spiking morphology makes the determination of dielectric strength very challenging, specifically when the layer is relatively thin. We demonstrate here a new approach to investigate the dielectric strength of organic thin films for organic light-emitting diodes (OLEDs. The thin films were deposited on a substrate using physical vapor deposition (PVD under high vacuum. The device architectures used were glass substrate/indium tin oxide (ITO/organic material/aluminum (Al and glass substrate/Al/organic material/Al. The dielectric strength of the OLED materials was evaluated from the measured breakdown voltage and layer thickness.

  5. Enhancement and Tunability of Near-Field Radiative Heat Transfer Mediated by Surface Plasmon Polaritons in Thin Plasmonic Films

    Directory of Open Access Journals (Sweden)

    Svetlana V. Boriskina

    2015-06-01

    Full Text Available The properties of thermal radiation exchange between hot and cold objects can be strongly modified if they interact in the near field where electromagnetic coupling occurs across gaps narrower than the dominant wavelength of thermal radiation. Using a rigorous fluctuational electrodynamics approach, we predict that ultra-thin films of plasmonic materials can be used to dramatically enhance near-field heat transfer. The total spectrally integrated film-to-film heat transfer is over an order of magnitude larger than between the same materials in bulk form and also exceeds the levels achievable with polar dielectrics such as SiC. We attribute this enhancement to the significant spectral broadening of radiative heat transfer due to coupling between surface plasmon polaritons (SPPs on both sides of each thin film. We show that the radiative heat flux spectrum can be further shaped by the choice of the substrate onto which the thin film is deposited. In particular, substrates supporting surface phonon polaritons (SPhP strongly modify the heat flux spectrum owing to the interactions between SPPs on thin films and SPhPs of the substrate. The use of thin film phase change materials on polar dielectric substrates allows for dynamic switching of the heat flux spectrum between SPP-mediated and SPhP-mediated peaks.

  6. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  7. Method for fabrication of crack-free ceramic dielectric films

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Beihai; Narayanan, Manoj; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan

    2017-12-05

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprises the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. The process provides a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  8. Method for fabrication of crack-free ceramic dielectric films

    Science.gov (United States)

    Ma, Beihai; Balachandran, Uthamalingam; Chao, Sheng; Liu, Shanshan; Narayanan, Manoj

    2014-02-11

    The invention provides a process for forming crack-free dielectric films on a substrate. The process comprise the application of a dielectric precursor layer of a thickness from about 0.3 .mu.m to about 1.0 .mu.m to a substrate. The deposition is followed by low temperature heat pretreatment, prepyrolysis, pyrolysis and crystallization step for each layer. The deposition, heat pretreatment, prepyrolysis, pyrolysis and crystallization are repeated until the dielectric film forms an overall thickness of from about 1.5 .mu.m to about 20.0 .mu.m and providing a final crystallization treatment to form a thick dielectric film. Also provided was a thick crack-free dielectric film on a substrate, the dielectric forming a dense thick crack-free dielectric having an overall dielectric thickness of from about 1.5 .mu.m to about 20.0 .mu.m.

  9. Studies on optical properties of antimony doped SnO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Gürakar, Sibel, E-mail: sgurakar@eng.ankara.edu.tr; Serin, Tülay, E-mail: serin@eng.ankara.edu.tr; Serin, Necmi, E-mail: nserin@eng.ankara.edu.tr

    2015-10-15

    Highlights: • Antimony doped tin oxide thin films were grown by spray method on glass substrates. • The antimony doping was varied from 0 to 4 at%. • The structural properties of the films were investigated by X-ray diffraction method. • A simple analysis according to Swanepoel's method was applied to derive the real and imaginary parts of the complex index of refraction plus film thickness. • The dispersion of refractive index was investigated in terms of the single-oscillator Wemple and DiDomenico model to determine the optical parameters. - Abstract: Antimony doped tin oxide thin films were grown by spray method on microscope glass substrates. The antimony doping was varied from 0 to 4 at%. The structural properties of the films were investigated by X-ray diffraction method. The optical transmittances of thin films were measured with UV-Vis-NIR spectrometer in the 300–2000 nm wavelength range. A simple analysis according to Swanepoel's method was applied to derive the real and imaginary parts of the complex index of refraction plus film thickness. The dispersion of refractive index was investigated in terms of the single-oscillator Wemple and DiDomenico model and the important oscillating parameters such as the dispersion energy E{sub d}, the oscillation energy E{sub o}, the high frequency dielectric constant ε{sub ∞} were determined. The analysis of the refractive index has been carried out to calculate the lattice dielectric constant ε{sub L} and the ratio of carrier concentration to the effective mass N/m*. The real and imaginary parts of the electronic dielectric constant and optical conductivity were analyzed. The optical band gap, E{sub g} values of the films were obtained from the spectral dependence of the absorption coefficient, using the Tauc relation.

  10. Large Dielectric Constant Enhancement in MXene Percolative Polymer Composites

    KAUST Repository

    Tu, Shao Bo

    2018-04-06

    near the percolation limit of about 15.0 wt % MXene loading, which surpasses all previously reported composites made of carbon-based fillers in the same polymer. With up to 10 wt % MXene loading, the dielectric loss of the MXene/P(VDF-TrFE-CFE) composite indicates only an approximately 5-fold increase (from 0.06 to 0.35), while the dielectric constant increased by 25 times over the same composition range. Furthermore, the ratio of permittivity to loss factor of the MXene-polymer composite is superior to that of all previously reported fillers in this same polymer. The dielectric constant enhancement effect is demonstrated to exist in other polymers as well when loaded with MXene. We show that the dielectric constant enhancement is largely due to the charge accumulation caused by the formation of microscopic dipoles at the surfaces between the MXene sheets and the polymer matrix under an external applied electric field.

  11. Process for obtaining multiple sheet resistances for thin film hybrid microcircuit resistors

    International Nuclear Information System (INIS)

    Norwood, D.P.

    1989-01-01

    A standard thin film circuit containing Ta/sub 2/N (100 ohms/square) resistors is fabricated by depositing on a dielectric substrate successive layers of Ta/sub 2/N, Ti and Pd, with a gold layer to provide conductors. The addition of a few simple photoprocessing steps to the standard TFN manufacturing process enables the formation of Ta/sub 2/N + Ti (10 ohms/square) and Ta/sub 2/N + Ti + Pd (1 ohm/square) resistors in the same otherwise standard thin film circuit structure

  12. Determining the thermal expansion coefficient of thin films for a CMOS MEMS process using test cantilevers

    International Nuclear Information System (INIS)

    Cheng, Chao-Lin; Fang, Weileun; Tsai, Ming-Han

    2015-01-01

    Many standard CMOS processes, provided by existing foundries, are available. These standard CMOS processes, with stacking of various metal and dielectric layers, have been extensively applied in integrated circuits as well as micro-electromechanical systems (MEMS). It is of importance to determine the material properties of the metal and dielectric films to predict the performance and reliability of micro devices. This study employs an existing approach to determine the coefficients of thermal expansion (CTEs) of metal and dielectric films for standard CMOS processes. Test cantilevers with different stacking of metal and dielectric layers for standard CMOS processes have been designed and implemented. The CTEs of standard CMOS films can be determined from measurements of the out-of-plane thermal deformations of the test cantilevers. To demonstrate the feasibility of the present approach, thin films prepared by the Taiwan Semiconductor Manufacture Company 0.35 μm 2P4M CMOS process are characterized. Eight test cantilevers with different stacking of CMOS layers and an auxiliary Si cantilever on a SOI wafer are fabricated. The equivalent elastic moduli and CTEs of the CMOS thin films including the metal and dielectric layers are determined, respectively, from the resonant frequency and static thermal deformation of the test cantilevers. Moreover, thermal deformations of cantilevers with stacked layers different to those of the test beams have been employed to verify the measured CTEs and elastic moduli. (paper)

  13. Effects of applied electric field during postannealing on the tunable properties of (Ba,Sr)TiO3 thin films

    International Nuclear Information System (INIS)

    Xia Yidong; Cheng Jinbo; Pan Bai; Wu Di; Meng Xiangkang; Liu Zhiguo

    2005-01-01

    The impact of postannealing in electric field on the structure, tunability, and dielectric behavior of rf magnetron sputtering derived (Ba,Sr)TiO 3 films has been studied. It has been demonstrated that postannealing in the proper electric field can increase the dielectric constant and the tunability remarkably and destroy the symmetry of capacitance-voltage characteristics of the films. The increased out-of-plane lattice constant and the appearance of the hysteresis loops in the electric-annealed films indicated the formation of small polar regions with tetragonal structure, which are responsible for the increased dielectric constant and tunability. It was proposed that the segregation of Ti 3+ ions caused by electric annealing could induce the formation of BaTiO 3 -like regions, which are ferroelectric at room temperature

  14. Effects of applied electric field during postannealing on the tunable properties of (Ba,Sr)TiO3 thin films

    Science.gov (United States)

    Xia, Yidong; Cheng, Jinbo; Pan, Bai; Wu, Di; Meng, Xiangkang; Liu, Zhiguo

    2005-08-01

    The impact of postannealing in electric field on the structure, tunability, and dielectric behavior of rf magnetron sputtering derived (Ba,Sr)TiO3 films has been studied. It has been demonstrated that postannealing in the proper electric field can increase the dielectric constant and the tunability remarkably and destroy the symmetry of capacitance-voltage characteristics of the films. The increased out-of-plane lattice constant and the appearance of the hysteresis loops in the electric-annealed films indicated the formation of small polar regions with tetragonal structure, which are responsible for the increased dielectric constant and tunability. It was proposed that the segregation of Ti3+ ions caused by electric annealing could induce the formation of BaTiO3-like regions, which are ferroelectric at room temperature.

  15. Nonlinear current-voltage behavior in PZT thin films

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Mi; Zhang, Weikang; Zhang, Zebin; Li, Shida; Zhang, Ping; Lan, Kuibo [Tianjin University, School of Electrical and Information Engineering, Tianjin (China)

    2017-05-15

    In this paper, Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were prepared by sol-gel synthesis and characterized by X-ray diffraction, field emission scanning electron microscopy and current-voltage measurements. Here, we demonstrate that in addition to the outstanding ferroelectric and dielectric properties, the PZT films also have remarkably nonlinear current-voltage characteristics. Considering the contact of semi-conductive grains in the PZT films, a double Schottky barrier (DSB) model may be responsible for such phenomena. The test results show that with the decrease of annealing temperature and the increase of the film thickness, the threshold voltages (V{sub th}) increase obviously. The maximum V{sub th} value of 60.95 V and the minimum value of 6.9 V in our experiments were obtained from the five-layered samples annealed at 600 C and the two-layered samples annealed at 700 C, respectively. As a result, PZT thin film may lead to efficient switching and sensing devices. (orig.)

  16. Hot plate annealing at a low temperature of a thin ferroelectric P(VDF-TrFE) film with an improved crystalline structure for sensors and actuators.

    Science.gov (United States)

    Mahdi, Rahman Ismael; Gan, W C; Abd Majid, W H

    2014-10-14

    Ferroelectric poly(vinylidene fluoride-trifluoroethylene) (P(VDF-TrFE)) copolymer 70/30 thin films are prepared by spin coating. The crystalline structure of these films is investigated by varying the annealing temperature from the ferroelectric phase to the paraelectric phase. A hot plate was used to produce a direct and an efficient annealing effect on the thin film. The dielectric, ferroelectric and pyroelectric properties of the P(VDF-TrFE) thin films are measured as a function of different annealing temperatures (80 to 140 °C). It was found that an annealing temperature of 100 °C (slightly above the Curie temperature, Tc) has induced a highly crystalline β phase with a rod-like crystal structure, as examined by X-ray. Such a crystal structure yields a high remanent polarization, Pr = 94 mC/m2, and pyroelectric constant, p = 24 μC/m2K. A higher annealing temperature exhibits an elongated needle-like crystal domain, resulting in a decrease in the crystalline structure and the functional electrical properties. This study revealed that highly crystalline P(VDF-TrFE) thin films could be induced at 100 °C by annealing the thin film with a simple and cheap method.

  17. Microscopic theoretical study of frequency dependent dielectric constant of heavy fermion systems

    Science.gov (United States)

    Shadangi, Keshab Chandra; Rout, G. C.

    2017-05-01

    The dielectric polarization and the dielectric constant plays a vital role in the deciding the properties of the Heavy Fermion Systems. In the present communication we consider the periodic Anderson's Model which consists of conduction electron kinetic energy, localized f-electron kinetic energy and the hybridization between the conduction and localized electrons, besides the Coulomb correlation energy. We calculate dielectric polarization which involves two particle Green's functions which are calculated by using Zubarev's Green's function technique. Using the equations of motion of the fermion electron operators. Finally, the temperature and frequency dependent dielectric constant is calculated from the dielectric polarization function. The charge susceptibility and dielectric constant are computed numerically for different physical parameters like the position (Ef) of the f-electron level with respect to fermi level, the strength of the hybridization (V) between the conduction and localized f-electrons, Coulomb correlation potential temperature and optical phonon wave vector (q). The results will be discussed in a reference to the experimental observations of the dielectric constants.

  18. Enhanced field emission from Si doped nanocrystalline AlN thin films

    International Nuclear Information System (INIS)

    Thapa, R.; Saha, B.; Chattopadhyay, K.K.

    2009-01-01

    Si doped and undoped nanocrystalline aluminum nitride thin films were deposited on various substrates by direct current sputtering technique. X-ray diffraction analysis confirmed the formation of phase pure hexagonal aluminum nitride with a single peak corresponding to (1 0 0) reflection of AlN with lattice constants, a = 0.3114 nm and c = 0.4986 nm. Energy dispersive analysis of X-rays confirmed the presence of Si in the doped AlN films. Atomic force microscopic studies showed that the average particle size of the film prepared at substrate temperature 200 deg. C was 9.5 nm, but when 5 at.% Si was incorporated the average particle size increased to ∼21 nm. Field emission study indicated that, with increasing Si doping concentration, the emission characteristics have been improved. The turn-on field (E to ) was 15.0 (±0.7) V/μm, 8.0 (±0.4) V/μm and 7.8 (±0.5) V/μm for undoped, 3 at.% and 5 at.% Si doped AlN films respectively and the maximum current density of 0.27 μA/cm 2 has been observed for 5 at.% Si doped nanocrystalline AlN film. It was also found that the dielectric properties were highly dependent on Si doping.

  19. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    Science.gov (United States)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  20. Polarization fluctuation behavior of lanthanum substituted Bi{sub 4}Ti{sub 3}O{sub 12} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhong, Ni; Xiang, Ping-Hua, E-mail: phxiang@ee.ecnu.edu.cn; Zhang, Yuan-Yuan; Wu, Xing; Tang, Xiao-Dong; Yang, Ping-Xiong; Duan, Chun-Gang; Chu, Jun-Hao [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, Shanghai 200241 (China)

    2015-09-14

    Polarization fluctuation behavior of lanthanum substituted Bi{sub 4}Ti{sub 3}O{sub 12} (Bi{sub 4−x}La{sub x}Ti{sub 3}O{sub 12}, BLT) ferroelectric thin films has been examined. Remnant polarization exhibits an initial increase (P{sub up}, 1–10{sup 6} cycles) and a subsequent decrease (P{sub down}, 10{sup 6}–10{sup 9} cycles) with switching cycles, whereas the dielectric constant exhibits a continuous decrease. By careful investigations on the effect of switching frequency and annealing atmosphere on the polarization fluctuation characteristics, we propose that this polarization fluctuation characteristic of BLT films is attributed to the competition between domain pinning and passive layer growing effect, due to the redistribution of oxygen vacancy related defect under external applied field. P{sub up} behavior is dominated by the unpinning of pinned domain, while P{sub down} behavior is dominated by the reduction of applied field on BLT bulk layer, due to the growing of the passive layer between BLT and Pt electrode. By assuming the dielectric constant and initial thickness of passive layer, the passive layer was estimated to be about 2–5 times thicker than the initial state after 10{sup 9} cycling.

  1. Device and method for luminescence enhancement by resonant energy transfer from an absorptive thin film

    Science.gov (United States)

    Akselrod, Gleb M.; Bawendi, Moungi G.; Bulovic, Vladimir; Tischler, Jonathan R.; Tisdale, William A.; Walker, Brian J.

    2017-12-12

    Disclosed are a device and a method for the design and fabrication of the device for enhancing the brightness of luminescent molecules, nanostructures, and thin films. The device includes a mirror, a dielectric medium or spacer, an absorptive layer, and a luminescent layer. The absorptive layer is a continuous thin film of a strongly absorbing organic or inorganic material. The luminescent layer may be a continuous luminescent thin film or an arrangement of isolated luminescent species, e.g., organic or metal-organic dye molecules, semiconductor quantum dots, or other semiconductor nanostructures, supported on top of the absorptive layer.

  2. Brillouin light scattering studies on the mechanical properties of ultrathin, porous low-K dielectric films

    Science.gov (United States)

    Zhou, Wei; Sooryakumar, R.; King, Sean

    2010-03-01

    Low K dielectrics have predominantly replaced silicon dioxide as the interlayer dielectric material for interconnects in state of the art integrated circuits. To further reduce interconnect resistance-capacitance (RC) delays, additional reductions in the K for these low-K materials is being pursued by the introduction of controlled levels of porosity. The main challenge for porous low-K dielectrics is the substantial reduction in mechanical properties that is accompanied by the increased pore volume content needed to reduce K. We report on the application of the nondestructive Brillouin light scattering technique to monitor and characterize the mechanical properties of these porous films at thicknesses well below 200 nm that are pertinent to present applications. Observation of longitudinal and transverse standing wave acoustic resonances and the dispersion that accompany their transformation into traveling waves with finite in-plane wave vectors provides for the principal elastic constants that completely characterize the mechanical properties of these porous films. The mode amplitudes of the standing waves, their variation within the film, and the calculated Brillouin intensities account for most aspects of the spectra. The resulting elastic constants are compared with corresponding values obtained from other experimental techniques.

  3. Phonon transport across nano-scale curved thin films

    Energy Technology Data Exchange (ETDEWEB)

    Mansoor, Saad B.; Yilbas, Bekir S., E-mail: bsyilbas@kfupm.edu.sa

    2016-12-15

    Phonon transport across the curve thin silicon film due to temperature disturbance at film edges is examined. The equation for radiative transport is considered via incorporating Boltzmann transport equation for the energy transfer. The effect of the thin film curvature on phonon transport characteristics is assessed. In the analysis, the film arc length along the film centerline is considered to be constant and the film arc angle is varied to obtain various film curvatures. Equivalent equilibrium temperature is introduced to assess the phonon intensity distribution inside the curved thin film. It is found that equivalent equilibrium temperature decay along the arc length is sharper than that of in the radial direction, which is more pronounced in the region close to the film inner radius. Reducing film arc angle increases the film curvature; in which case, phonon intensity decay becomes sharp in the close region of the high temperature edge. Equivalent equilibrium temperature demonstrates non-symmetric distribution along the radial direction, which is more pronounced in the near region of the high temperature edge.

  4. Phonon transport across nano-scale curved thin films

    International Nuclear Information System (INIS)

    Mansoor, Saad B.; Yilbas, Bekir S.

    2016-01-01

    Phonon transport across the curve thin silicon film due to temperature disturbance at film edges is examined. The equation for radiative transport is considered via incorporating Boltzmann transport equation for the energy transfer. The effect of the thin film curvature on phonon transport characteristics is assessed. In the analysis, the film arc length along the film centerline is considered to be constant and the film arc angle is varied to obtain various film curvatures. Equivalent equilibrium temperature is introduced to assess the phonon intensity distribution inside the curved thin film. It is found that equivalent equilibrium temperature decay along the arc length is sharper than that of in the radial direction, which is more pronounced in the region close to the film inner radius. Reducing film arc angle increases the film curvature; in which case, phonon intensity decay becomes sharp in the close region of the high temperature edge. Equivalent equilibrium temperature demonstrates non-symmetric distribution along the radial direction, which is more pronounced in the near region of the high temperature edge.

  5. Thickness-dependence of optical constants for Ta2O5 ultrathin films

    International Nuclear Information System (INIS)

    Zhang, Dong-Xu; Zheng, Yu-Xiang; Cai, Qing-Yuan; Lin, Wei; Wu, Kang-Ning; Mao, Peng-Hui; Zhang, Rong-Jun; Zhao, Hai-bin; Chen, Liang-Yao

    2012-01-01

    An effective method for determining the optical constants of Ta 2 O 5 thin films deposited on crystal silicon (c-Si) using spectroscopic ellipsometry (SE) measurement with a two-film model (ambient-oxide-interlayer-substrate) was presented. Ta 2 O 5 thin films with thickness range of 1-400 nm have been prepared by the electron beam evaporation (EBE) method. We find that the refractive indices of Ta 2 O 5 ultrathin films less than 40 nm drop with the decreasing thickness, while the other ones are close to those of bulk Ta 2 O 5 . This phenomenon was due to the existence of an interfacial oxide region and the surface roughness of the film, which was confirmed by the measurement of atomic force microscopy (AFM). Optical properties of ultrathin film varying with the thickness are useful for the design and manufacture of nano-scaled thin-film devices. (orig.)

  6. Thickness-dependence of optical constants for Ta2O5 ultrathin films

    Science.gov (United States)

    Zhang, Dong-Xu; Zheng, Yu-Xiang; Cai, Qing-Yuan; Lin, Wei; Wu, Kang-Ning; Mao, Peng-Hui; Zhang, Rong-Jun; Zhao, Hai-bin; Chen, Liang-Yao

    2012-09-01

    An effective method for determining the optical constants of Ta2O5 thin films deposited on crystal silicon (c-Si) using spectroscopic ellipsometry (SE) measurement with a two-film model (ambient-oxide-interlayer-substrate) was presented. Ta2O5 thin films with thickness range of 1-400 nm have been prepared by the electron beam evaporation (EBE) method. We find that the refractive indices of Ta2O5 ultrathin films less than 40 nm drop with the decreasing thickness, while the other ones are close to those of bulk Ta2O5. This phenomenon was due to the existence of an interfacial oxide region and the surface roughness of the film, which was confirmed by the measurement of atomic force microscopy (AFM). Optical properties of ultrathin film varying with the thickness are useful for the design and manufacture of nano-scaled thin-film devices.

  7. Preparation of PZT/YBCO/YAlO heterostructure thin films by KrF excimer laser ablation

    International Nuclear Information System (INIS)

    Ebihara, Kenji; Kurogi, Hiromitsu; Yamagata, Yukihiko; Ikegami, Tomoaki; Grishin, A.M.

    1998-01-01

    The perovskite oxide YBa 2 Cu 3 O 7-x (YBCO) and Pb(Zr x Ti 1-x )O 3 (PZT) thin films have been deposited for superconducting-ferroelectric devices. KrF excimer laser ablation technique was used at the deposition conditions of 200--600 mTorr O 2 , 2-3J/cm 2 and 5--10 Hz operation frequency. Heterostructures of PZT-YBCO-YAlO 3 :Nd show the zero resistivity critical temperature of 82 K and excellent ferroelectric properties of remnant polarization 32 microC/cm 2 , coercive force of 80 kV/cm and dielectric constant 800. Cycling fatigue characteristics and leakage current are also discussed

  8. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for Advanced CMOS Devices

    Directory of Open Access Journals (Sweden)

    Masamichi Suzuki

    2012-03-01

    Full Text Available A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3 high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process.

  9. ac conductivity and dielectric properties of amorphous Se80Te20-xGex chalcogenide glass film compositions

    International Nuclear Information System (INIS)

    Hegab, N.A.; Afifi, M.A.; Atyia, H.E.; Farid, A.S.

    2009-01-01

    Thin films of the prepared Se 80 Te 20-x Ge x (x = 5, 7 and 10 at.%) were prepared by thermal evaporation technique. X-ray diffraction patterns showed that the films were in amorphous state. The ac conductivity and dielectric properties of the investigated film compositions were studied in the frequency range 0.1-100 kHz and in temperature range (303-373 K). The experimental results indicated that the ac conductivity and the dielectric properties depended on the temperature and frequency. The ac conductivity is found to obey the ω s law, in accordance with the hopping model, s is found to be temperature dependent (s 1 and dielectric loss ε 2 were found to decrease with frequency and increase with temperature. The maximum barrier height W m , calculated from dielectric measurements according to Guintini equation, agrees with that proposed by the theory of hopping over potential barrier as suggested by Elliott in case of chalcogenide glasses. The density of localized states was estimated for the studied film compositions. The variation of the studied properties with Ge content was also investigated.

  10. Improved organic thin-film transistor performance using novel self-assembled monolayers

    Science.gov (United States)

    McDowell, M.; Hill, I. G.; McDermott, J. E.; Bernasek, S. L.; Schwartz, J.

    2006-02-01

    Pentacene-based organic thin-film transistors have been fabricated using a phosphonate-linked anthracene self-assembled monolayer as a buffer between the silicon dioxide gate dielectric and the active pentacene channel region. Vast improvements in the subthreshold slope and threshold voltage are observed compared to control devices fabricated without the buffer. Both observations are consistent with a greatly reduced density of charge trapping states at the semiconductor-dielectric interface effected by introduction of the self-assembled monolayer.

  11. Catalytic EC′ reaction at a thin film modified electrode

    International Nuclear Information System (INIS)

    Gerbino, Leandro; Baruzzi, Ana M.; Iglesias, Rodrigo A.

    2013-01-01

    Numerical simulations of cyclic voltammograms corresponding to a catalytic EC′ reaction taking place at a thin film modified electrode are performed by way of finite difference method. Besides considering the chemical kinetic occurring inside the thin film, the model takes into account the different diffusion coefficients for each species at each of the involved phases, i.e. the thin film layer and bulk solution. The theoretical formulation is given in terms of dimensionless model parameters but a brief discussion of each of these parameters and their relationship to experimental variables is presented. Special emphasis is given to the use of working curve characteristics to quantify diffusion coefficient, homogeneous kinetic constant and thickness of the thin layer in a real system. Validation of the model is made by comparison of experimental results corresponding to the electron charge transfer of Ru(NH 3 ) 6 3+ /Ru(NH 3 ) 6 2+ hemi-couple at a thin film of a cross-linked chitosan film containing an immobilized redox dye

  12. Electrical analysis of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors on flexible bulk mono-crystalline silicon

    KAUST Repository

    Ghoneim, Mohamed T.; Rojas, Jhonathan Prieto; Young, Chadwin D.; Bersuker, Gennadi; Hussain, Muhammad Mustafa

    2015-01-01

    We report on the electrical study of high dielectric constant insulator and metal gate metal oxide semiconductor capacitors (MOSCAPs) on a flexible ultra-thin (25 μm) silicon fabric which is peeled off using a CMOS compatible process from a standard

  13. Phase transitions and optical characterization of lead-free piezoelectric (K0.5Na0.5)0.96Li0.04(Nb 0.8Ta0.2)O3 thin films

    KAUST Repository

    Yao, Yingbang

    2013-06-01

    Lead-free piezoelectric thin films, (K0.5Na0.5) 0.96Li0.04(Nb0.8Ta0.2)O 3, were epitaxially grown on MgO(001) and Nb-doped SrTiO 3(001) substrates using pulsed laser deposition. The optimum deposition temperature was found to be 600 C. Two types of in-plane orientations were observed in the films depending on the substrates used. The transmittance and photoluminescence spectra as well as the dielectric and ferroelectric properties of the films were measured. The measured band-gap energy was found to be decreased with the deposition temperature. The dielectric constant decreased from 550 to 300 as the frequency increased from 100 Hz to 1 MHz. The measured remnant polarization and coercive field were 4 μC/cm2 and 68 kV/cm, respectively. The phase transitions of the films were studied by Raman spectroscopy. Two distinct anomalies originating from the cubic-to-tetragonal (TC-T ~ 300 C) and tetragonal-to-orthorhombic (TT-O ~ 120 C) phase transitions were observed. Our results show that Raman spectroscopy is a powerful tool in identifying the phase transitions in ferroelectric thin films. © 2013 Elsevier B.V.

  14. PREFACE: Proceedings Symposium G of E-MRS Spring Meeting on Fundamentals and Technology of Multifunctional Oxide Thin Films

    Science.gov (United States)

    2010-07-01

    Oxide materials exhibit a large variety of functional properties that are useful in a plethora of applications. Symposium G focused on oxide thin films that include dielectric or switching properties. Its program mirrored very well the strong worldwide search for high-K thin films for gate, memory, and on-chip capacitors, as well as the emerging field of functional thin films for MEMS. A complete session was devoted to the colossal effect of dielectric response in (Ca,Cu)TiO3, representing the major European research groups in this field. A comprehensive overview on this phenomenon was given by D Sinclair J Wolfman presented the latest results on CCTO thin films obtained by wafer scale pulsed laser deposition. A Loidl showed the analytical power of dielectric spectroscopy when covering the complete frequency range from 1-1012 Hz, i.e. from space charge to phonon contributions at the example of CCTO. Another session was devoted to applications in non-volatile memories, covering various effects including ferroelectric and resistive switching, the complex behavior of oxide tunnel junctions (H Kohlstedt), the possibility to manipulate the magnetic state of a 2d-electron gas by the polarization of an adjacent ferroelectric gate (I Stolitchnov). Latest advancements in ALD processing for high-K thin films in dynamic RAM were reported by S Ramanathan. The advancement of piezoelectric PZT thin film MEMS devices was well documented by outstanding talks on their developments in industry (M Klee, F Tyholdt), new possibilities in GHz filters (T Matshushima), advancements in sol-gel processing (B Tuttle, H Suzuki), and low temperature integration approaches by UV light curing (S Trolier-McKinstry). Recent advances in incipient ferroelectric thin films and nano composites for tunable capacitors in microwave applications were present by A Vorobiev and T Yamada. Integrated electro-optics is another field to be conquered by thin film structures. The impressive progress made in this

  15. Effect of Secondary Doping Using Sorbitol on Structure and Transport Properties of PEDOT-PSS Thin Films

    Science.gov (United States)

    Khasim, Syed; Pasha, Apsar; Roy, Aashish S.; Parveen, Ameena; Badi, Nacer

    2017-07-01

    Poly(3,4-ethylene dioxythiophene):poly(styrenesulphonate) (PEDOT-PSS) in the recent past has emerged as one of the most fascinating conducting polymers for many device applications. The unique feature of PEDOT-PSS is its transparency in the entire visible spectrum with excellent thermal stability. The PEDOT-PSS as prepared as an aqueous dispersion has very low conductivity, and it hinders the performance of a device. In this work we report the conductivity enhancement of PEDOT-PSS thin films through secondary doping using a polar organic solvent such as sorbitol. The mechanism of conductivity enhancement was studied through various physical and chemical characterizations. The effect of sorbitol concentration on structure and transport properties of PEDOT-PSS thin films was investigated in detail. The structural and morphological modifications in PEDOT-PSS due to the addition of sorbitol was studied through Fourier transform spectroscopy, Ultra Violet-visible spectroscopy, theromogravimetric analysis, scanning electron microscopy and atomic force microscopy. The interactions resulting from conformational changes of PEDOT chains that changes from coiled to linear structure due to the sorbitol treatment significantly improves the conductivity of PEDOT-PSS films. The secondary doping of sorbitol reduces the energy barrier that facilitates the charge carrier hopping leading to enhanced conductivity. We have observed that the conductivity of PEDOT-PSS thin films was increased by two fold due to sorbitol treatment when compared to conductivity of pure PEDOT-PSS. We have carried out detailed analysis of dielectric parameters of sorbitol-treated PEDOT-PSS films and found that sorbitol treatment has a significant effect on various dielectric attributes of PEDOT-PSS films. Hence, secondary doping using sorbitol could be a useful way to effectively tailor the conductivity and dielectric properties of PEDOT-PSS thin films that can be used as flexible electrodes in

  16. Production and investigation of galvanomagnetic properties of indium antimonide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sukhanov, S; Allaberenov, O; Annaberdyev, R; Mukhametniyazova, A B; Tudzhanova, I N [AN Tadzhikskoj SSR, Khorog. Pamirskij Biologicheskij Inst.

    1978-01-01

    The method of thermal evaporation in vacuum from 1 to 3x10/sup 5/ Tor was used to obtain thin films of indium antimonide on dielectric and ferrite substrates. At dusting of films the substrates had the temperature about 570 K. The rate of film growth reached 1000 AxC/sup -1/. Based on the Hall measurements, the specific electrical conductivity, mobility and concentration of spare film carriers were determined. Their dependences on the temperature (77-400 K) and on the magnetic fields in the range of 0-6500 e were studied.

  17. Anomalous degradation behaviors under illuminated gate bias stress in a-Si:H thin film transistor

    International Nuclear Information System (INIS)

    Tsai, Ming-Yen; Chang, Ting-Chang; Chu, Ann-Kuo; Hsieh, Tien-Yu; Lin, Kun-Yao; Wu, Yi-Chun; Huang, Shih-Feng; Chiang, Cheng-Lung; Chen, Po-Lin; Lai, Tzu-Chieh; Lo, Chang-Cheng; Lien, Alan

    2014-01-01

    This study investigates the impact of gate bias stress with and without light illumination in a-Si:H thin film transistors. It has been observed that the I–V curve shifts toward the positive direction after negative and positive gate bias stress due to interface state creation at the gate dielectric. However, this study found that threshold voltages shift negatively and that the transconductance curve maxima are anomalously degraded under illuminated positive gate bias stress. In addition, threshold voltages shift positively under illuminated negative gate bias stress. These degradation behaviors can be ascribed to charge trapping in the passivation layer dominating degradation instability and are verified by a double gate a-Si:H device. - Highlights: • There is abnormal V T shift induced by illuminated gate bias stress in a-Si:H thin film transistors. • Electron–hole pair is generated via trap-assisted photoexcitation. • Abnormal transconductance hump is induced by the leakage current from back channel. • Charge trapping in the passivation layer is likely due to the fact that a constant voltage has been applied to the top gate

  18. Grain Boundary Induced Bias Instability in Soluble Acene-Based Thin-Film Transistors

    Science.gov (United States)

    Nguyen, Ky V.; Payne, Marcia M.; Anthony, John E.; Lee, Jung Hun; Song, Eunjoo; Kang, Boseok; Cho, Kilwon; Lee, Wi Hyoung

    2016-01-01

    Since the grain boundaries (GBs) within the semiconductor layer of organic field-effect transistors (OFETs) have a strong influence on device performance, a substantial number of studies have been devoted to controlling the crystallization characteristics of organic semiconductors. We studied the intrinsic effects of GBs within 5,11-bis(triethylsilylethynyl) anthradithiophene (TES-ADT) thin films on the electrical properties of OFETs. The GB density was easily changed by controlling nulceation event in TES-ADT thin films. When the mixing time was increased, the number of aggregates in as-spun TES-ADT thin films were increased and subsequent exposure of the films to 1,2-dichloroethane vapor led to a significant increase in the number of nuleation sites, thereby increasing the GB density of TES-ADT spherulites. The density of GBs strongly influences the angular spread and crystallographic orientation of TES-ADT spherulites. Accordingly, the FETs with higher GB densities showed much poorer electrical characteristics than devices with lower GB density. Especially, GBs provide charge trapping sites which are responsible for bias-stress driven electrical instability. Dielectric surface treatment with a polystyrene brush layer clarified the GB-induced charge trapping by reducing charge trapping at the semiconductor-dielectric interface. Our study provides an understanding on GB induced bias instability for the development of high performance OFETs. PMID:27615358

  19. Structural and optical analysis of 60Co gamma-irradiated thin films of polycrystalline Ga10Se85Sn5

    Science.gov (United States)

    Ahmad, Shabir; Asokan, K.; Shahid Khan, Mohd.; Zulfequar, M.

    2015-12-01

    The present study focuses on the effects of gamma irradiation on structural and optical properties of polycrystalline Ga10Se85Sn5 thin films with a thickness of ∼300 nm deposited by the thermal evaporation technique on cleaned glass substrates. X-ray diffraction patterns of the investigated thin films show that crystallite growth occurs in the orthorhombic phase structure. The surface study carried out by using the scanning electron microscope (SEM) confirms that the grain size increases with gamma irradiation. The optical parameters were estimated from optical transmission spectra data measured from a UV-vis-spectrophotometer in the wavelength range of 200-1100 nm. The refractive index dispersion data of the investigated thin films follow the single oscillator model. The estimated values of static refractive index n0, oscillator strength Ed, zero frequency dielectric constant ε0, optical conductivity σoptical and the dissipation factor increases after irradiation, while the single oscillator energy Eo decreases after irradiation. It was found that the value of the optical band gap of the investigated thin films decreases and the corresponding absorption coefficient increases continuously with an increase in the dose of gamma irradiation. This post irradiation changes in the values of optical band gap and absorption coefficient were interpreted in terms of the bond distribution model.

  20. Examination of Effective Dielectric Constants Derived from Non-Spherical Melting Hydrometeor

    Science.gov (United States)

    Liao, L.; Meneghini, R.

    2009-04-01

    The bright band, a layer of enhanced radar echo associated with melting hydrometeors, is often observed in stratiform rain. Understanding the microphysical properties of melting hydrometeors and their scattering and propagation effects is of great importance in accurately estimating parameters of the precipitation from spaceborne radar and radiometers. However, one of the impediments in the study of the radar signature of the melting layer is the determination of effective dielectric constants of melting hydrometeors. Although a number of mixing formulas are available to compute the effective dielectric constants, their results vary to a great extent when water is a component of the mixture, such as in the case of melting snow. It is also physically unclear as to how to select among these various formulas. Furthermore, the question remains as to whether these mixing formulas can be applied to computations of radar polarimetric parameters from non-spherical melting particles. Recently, several approaches using numerical methods have been developed to derive the effective dielectric constants of melting hydrometeors, i.e., mixtures consisting of air, ice and water, based on more realistic melting models of particles, in which the composition of the melting hydrometeor is divided into a number of identical cells. Each of these cells is then assigned in a probabilistic way to be water, ice or air according to the distribution of fractional water contents for a particular particle. While the derived effective dielectric constants have been extensively tested at various wavelengths over a range of particle sizes, these numerical experiments have been restricted to the co-polarized scattering parameters from spherical particles. As polarimetric radar has been increasingly used in the study of microphysical properties of hydrometeors, an extension of the theory to polarimetric variables should provide additional information on melting processes. To account for polarimetric

  1. Characterisation of lead barium zirconate thin films for utilisation of the electrocaloric effect

    Energy Technology Data Exchange (ETDEWEB)

    Shaw, C.P., E-mail: c.p.shaw@cranfield.ac.uk [Surface Engineering and Nanotechnology Institute, Manufacturing and Materials Department, Cranfield University, Cranfield, Bedfordshire MK43 0AL (United Kingdom); Zhang, Qi, E-mail: q.zhang@cranfield.ac.uk [Surface Engineering and Nanotechnology Institute, Manufacturing and Materials Department, Cranfield University, Cranfield, Bedfordshire MK43 0AL (United Kingdom); Correia, T.M., E-mail: Tatiana.correia@ktn-uk.org [Knowledge Transfer Network (United Kingdom); Weaver, P.M., E-mail: paul.weaver@innotecuk.com [InotekUK (United Kingdom)

    2016-08-01

    Characterisation of a composition of Lead Barium Zirconate thin films deposited by a spin coating sol-gel process onto platinised silicon substrates has been undertaken to investigate a potential application utilising the reported electrocaloric properties of the material. Xray diffraction studies revealed that above 700 °C the presence of pyrochlore phase was replaced by ferroelectric phase but the identification of antiferroelectric phases previously reported could not be identified. Wet etching of the films produced an insoluble residue containing only evidence of zirconium out of the original three metals, which resembled a skeletal structure similar to the original rosette morphology of the annealed films. Elemental analysis of the annealed films using EDX indicated a non-target Pb:Ba:Zr ratio, which suggested a reduced Zr content of the films. “Solution-like” rather than “sol-like” nature of the spin coated sol and phase boundary proximity are proposed for reported observations, and a mechanism is proposed to account for the unusual observed trend in dielectric constant. - Highlights: • Characterisation of sol-gel deposited Lead barium zirconnate films. • Absence of previously reported antiferroelectric phase. • Identification of proposed non-PBZ phase. • Anomalous increase in film capacitance with thickness interpreted.

  2. Imaging performance of an isotropic negative dielectric constant slab.

    Science.gov (United States)

    Shivanand; Liu, Huikan; Webb, Kevin J

    2008-11-01

    The influence of material and thickness on the subwavelength imaging performance of a negative dielectric constant slab is studied. Resonance in the plane-wave transfer function produces a high spatial frequency ripple that could be useful in fabricating periodic structures. A cost function based on the plane-wave transfer function provides a useful metric to evaluate the planar slab lens performance, and using this, the optimal slab dielectric constant can be determined.

  3. Optimization of the low-temperature MOCVD process for PZT thin films

    CERN Document Server

    Wang, C H; Choi, D J

    2000-01-01

    Pb(Zr sub X Ti sub 1 sub - sub X)O sub 3 (PZT) thin films of about 0.34 nm were successfully grown at a low temperature of 500 .deg. C by metalorganic chemical vapor deposition with a beta-diketonate complex of Pb(tmhd) sub 2 , zirconium t-butoxide, and titanium isopropoxide as source precursors. Ferroelectric capacitors of a Pt/PZT/Pt configuration were fabricated, and their structural and electrical properties were investigated as a function of the input Pb/(Zr+Ti) and Zr/(Zr+Ti) source ratios. The structure of the as-grown films at 500 .deg. C changed from tetragonal to pseudocubic with increasing the Zr/(Zr+Ti) ratio above an input Pb/(Zr+Ti) source ratio of 5.0 while a 2nd phase of ZrO sub 2 was only observed below Pb/(Zr+Ti) ratio of 5.0, regardless of the Zr/(Zr+Ti) ratio. The dielectric constant and loss of the PZT films were 150-1200 and 0.01-0.04 at 100 kHz, respectively, Leakage current densities decreased with increasing the Zr/(Zr+Ti) ratio. The process window for growing a single phase PZT is ve...

  4. Synthesis and electrical characterization of low-temperature thermal-cured epoxy resin/functionalized silica hybrid-thin films for application as gate dielectrics

    Energy Technology Data Exchange (ETDEWEB)

    Na, Moonkyong, E-mail: nmk@keri.re.kr [HVDC Research Division, Korea Electrotechnology Research Institute, Changwon, 642-120 (Korea, Republic of); System on Chip Chemical Process Research Center, Department of Chemical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, 790-784 (Korea, Republic of); Kang, Young Taec [Creative and Fundamental Research Division, Korea Electrotechnology Research Institute, Changwon, 642-120 (Korea, Republic of); Department of Polymer Science and Engineering, Pusan National University, Busan, 609-735 (Korea, Republic of); Kim, Sang Cheol [HVDC Research Division, Korea Electrotechnology Research Institute, Changwon, 642-120 (Korea, Republic of); Kim, Eun Dong [Creative and Fundamental Research Division, Korea Electrotechnology Research Institute, Changwon, 642-120 (Korea, Republic of)

    2013-07-31

    Thermal-cured hybrid materials were synthesized from homogenous hybrid sols of epoxy resins and organoalkoxysilane-functionalized silica. The chemical structures of raw materials and obtained hybrid materials were characterized using Fourier transform infrared spectroscopy. The thermal resistance of the hybrids was enhanced by hybridization. The interaction between epoxy matrix and the silica particles, which caused hydrogen bonding and van der Waals force was strengthened by organoalkoxysilane. The degradation temperature of the hybrids was improved by approximately 30 °C over that of the parent epoxy material. The hybrid materials were formed into uniformly coated thin films of about 50 nm-thick using a spin coater. An optimum mixing ratio was used to form smooth-surfaced hybrid films. The electrical property of the hybrid film was characterized, and the leakage current was found to be well below 10{sup −6} A cm{sup −2}. - Highlights: • Preparation of thermal-curable hybrid materials using epoxy resin and silica. • The thermal stability was enhanced through hybridization. • The insulation property of hybrid film was investigated as gate dielectrics.

  5. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  6. Effect of Pb content and solution concentration of Pb{sub x}TiO{sub 3} seed layer on (100)-texture and ferroelectric/dielectric behavior of PZT (52/48) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhong, Jian; Batra, Vaishali; Han, Hui; Kotru, Sushma, E-mail: skotru@eng.ua.edu [Department of Electrical and Computer Engineering, The University of Alabama, Tuscaloosa, Alabama 35487 (United States); Pandey, Raghvendar K. [Ingram School of Engineering, Texas State University, San Marcos, Texas 78666 (United States)

    2015-09-15

    The effect of Pb content and solution concentration of lead titanate (Pb{sub x}TiO{sub 3}) seed layer on the texture and electric properties of Pb{sub 1.1}(Zr{sub 0.52},Ti{sub 0.48})O{sub 3} (PZT) thin films was investigated. A variety of seed layers (y Pb{sub x}TiO{sub 3}) with varying solution concentration (y = 0.02, 0.05, 0.1, and 0.2 M) and Pb content (x = 1.0, 1.05, 1.1, and 1.2) was deposited on Pt/TiO{sub 2}/SiO{sub 2}/Si substrates using chemical-solution deposition method. PZT films were then deposited on these seed layers using the same process. X-ray diffraction (XRD) and X-ray photoelectron spectroscopy investigations of the seed layers confirm change in crystal structure with variation in the solution properties. XRD studies of PZT films deposited on seed layers demonstrate that the seed layer helps in enhancing (100)-texture and suppressing (111)-texture. It was observed that PZT films prepared on seed layers with lower solution concentrations results in highly (100)-textured films, which further helps to improve the electric properties. The polarization and dielectric constant of the PZT films were seen to increase while the coercive field decreased with increase in (100)-texture. Irrespective of the seed layer solution concentration, higher Pb content in the seed layer deteriorates the PZT film properties. Ninety-five percent to ninety-six percent (100)-texture was obtained from thin PZT films deposited on seed layers of 0.02 M solution concentration with 1.05 and 1.10 Pb contents, which is higher than the values reported for thick PZT films. Optimization of both Pb content and solution concentration of the seed layer is a promising route to achieve highly (100)-textured PZT films with improved electric properties.

  7. Junctionless Thin-Film Transistors Gated by an H₃PO₄-Incorporated Chitosan Proton Conductor.

    Science.gov (United States)

    Liu, Huixuan; Xun, Damao

    2018-04-01

    We fabricated an H3PO4-incorporated chitosan proton conductor film that exhibited the electric double layer effect and showed a high specific capacitance of 4.42 μF/cm2. Transparent indium tin oxide thin-film transistors gated by H3PO4-incorporated chitosan films were fabricated by sputtering through a shadow mask. The operating voltage was as low as 1.2 V because of the high specific capacitance of the H3PO4-incorporated chitosan dielectrics. The junctionless transparent indium tin oxide thin film transistors exhibited good performance, including an estimated current on/off ratio and field-effect mobility of 1.2 × 106 and 6.63 cm2V-1s-1, respectively. These low-voltage thin-film electric-double-layer transistors gated by H3PO4-incorporated chitosan are promising for next generation battery-powered "see-through" portable sensors.

  8. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  9. Nanoporous cerium oxide thin film for glucose biosensor.

    Science.gov (United States)

    Saha, Shibu; Arya, Sunil K; Singh, S P; Sreenivas, K; Malhotra, B D; Gupta, Vinay

    2009-03-15

    Nanoporous cerium oxide (CeO(2)) thin film deposited onto platinum (Pt) coated glass plate using pulsed laser deposition (PLD) has been utilized for immobilization of glucose oxidase (GOx). Atomic force microscopy studies reveal the formation of nanoporous surface morphology of CeO(2) thin film. Response studies carried out using differential pulsed voltammetry (DPV) and optical measurements show that the GOx/CeO(2)/Pt bio-electrode shows linearity in the range of 25-300 mg/dl of glucose concentration. The low value of Michaelis-Menten constant (1.01 mM) indicates enhanced enzyme affinity of GOx to glucose. The observed results show promising application of the nanoporous CeO(2) thin film for glucose sensing application without any surface functionalization or mediator.

  10. Analyses of desorbed H2O with temperature programmed desorption technique in sol-gel derived HfO2 thin films

    International Nuclear Information System (INIS)

    Shimizu, H.; Nemoto, D.; Ikeda, M.; Nishide, T.

    2009-01-01

    Hafnium oxide (HfO 2 ) is a promising material for the gate insulator in highly miniaturized silicon (Si) ultra-large-scale-integration (ULSI) devices (32 nm and beyond). In the field chemistry, a sol-gel processing has been used to fabricate HfO 2 thin film with the advantages of low cost, relative simplicity, and easy control of the composition of the layers formed. Temperature-programmed desorption (TPD) has been used not only for analyzing adsorbed gases on the surfaces of bulk sol-gel-derived HfO 2 of sol-gel-derived HfO 2 thin film fired at 350, 450, 550 and 700 deg C in sol-gel derived HfO 2 films in air is investigated using TPD, and also the material characterization of HfO 2 thin films is evaluated by X-ray diffraction (XRD) method. The dielectric constant of the films was also estimated using the capacitance-voltage (C-V) method. TPD is essentially a method of analyzing desorped gases from samples heated by infra-red light as a function of temperature under vacuum conditions using a detector of quadruple mass spectroscopy (QMS). Sol-gel-derived HfO 2 films were fabricated on 76-mm-diameter Si(100) wafers as follows. Hafnia sol solutions were prepared by dissolving HfCl 4 in NH 4 OH solution, followed by the of HCOOH. (author)

  11. Thin film barium strontium titanate capacitors for tunable RF front-end applications

    NARCIS (Netherlands)

    Tiggelman, M.P.J.

    2009-01-01

    In this thesis, the results of intensive electrical characterization, modeling and the design of hardware with thin film tunable capacitors, i.e., dielectric varactors, has been presented and discussed. Especially the quality factor Q and the tuning ratio of the tunable capacitors have been studied,

  12. Thin film plasma coatings from dielectric free-flowing materials

    International Nuclear Information System (INIS)

    Timofeeva, L.A.; Katrich, S.A.; Solntsev, L.A.

    1994-01-01

    Fabrication of thin film plasma coatings from insulating free-flowing materials is considered. Molybdenum-tart ammonium coating of 3...5 μ thickness deposited on glassy carbon, aluminium, silicon, nickel, cast iron and steel substrates in 'Bulat-ZT' machine using insulating free-flowing materials cathod was found to form due to adsorption, absorption and dissuasion processes. The use of insulating free-flowing materials coatings allow to exclude pure metals cathods in plasma-plating process

  13. Hybrid nanomembrane-based capacitors for the determination of the dielectric constant of semiconducting molecular ensembles

    Science.gov (United States)

    Petrini, Paula A.; Silva, Ricardo M. L.; de Oliveira, Rafael F.; Merces, Leandro; Bof Bufon, Carlos C.

    2018-06-01

    Considerable advances in the field of molecular electronics have been achieved over the recent years. One persistent challenge, however, is the exploitation of the electronic properties of molecules fully integrated into devices. Typically, the molecular electronic properties are investigated using sophisticated techniques incompatible with a practical device technology, such as the scanning tunneling microscopy. The incorporation of molecular materials in devices is not a trivial task as the typical dimensions of electrical contacts are much larger than the molecular ones. To tackle this issue, we report on hybrid capacitors using mechanically-compliant nanomembranes to encapsulate ultrathin molecular ensembles for the investigation of molecular dielectric properties. As the prototype material, copper (II) phthalocyanine (CuPc) has been chosen as information on its dielectric constant (k CuPc) at the molecular scale is missing. Here, hybrid nanomembrane-based capacitors containing metallic nanomembranes, insulating Al2O3 layers, and the CuPc molecular ensembles have been fabricated and evaluated. The Al2O3 is used to prevent short circuits through the capacitor plates as the molecular layer is considerably thin (electrical measurements of devices with molecular layers of different thicknesses, the CuPc dielectric constant has been reliably determined (k CuPc = 4.5 ± 0.5). These values suggest a mild contribution of the molecular orientation on the CuPc dielectric properties. The reported nanomembrane-based capacitor is a viable strategy for the dielectric characterization of ultrathin molecular ensembles integrated into a practical, real device technology.

  14. Investigation of the in-plane and out-of-plane electrical properties of metallic nanoparticles in dielectric matrix thin films elaborated by atomic layer deposition

    Science.gov (United States)

    Thomas, D.; Puyoo, E.; Le Berre, M.; Militaru, L.; Koneti, S.; Malchère, A.; Epicier, T.; Roiban, L.; Albertini, D.; Sabac, A.; Calmon, F.

    2017-11-01

    Pt nanoparticles in a Al2O3 dielectric matrix thin films are elaborated by means of atomic layer deposition. These nanostructured thin films are integrated in vertical and planar test structures in order to assess both their in-plane and out-of-plane electrical properties. A shadow edge evaporation process is used to develop planar devices with electrode separation distances in the range of 30 nm. Both vertical and planar test structures show a Poole-Frenkel conduction mechanism. Low trap energy levels (<0.1 eV) are identified for the two test structures which indicates that the Pt islands themselves are not acting as traps in the PF mechanism. Furthermore, a more than three order of magnitude current density difference is observed between the two geometries. This electrical anisotropy is attributed to a large electron mobility difference in the in-plane and out-of-plane directions which can be related to different trap distributions in both directions.

  15. Characterization of PZT thin films on metal substrates

    International Nuclear Information System (INIS)

    Dutschke, A.

    2008-01-01

    Lead zirconate titanate (PbZr x Ti 1-x O 3 ,PZT) is one of the most applied ceramic materials because of its distinctive piezo- and ferroelectric properties. Prepared as thin films on flexible, metallic substrates it can be used for various applications as strain gauges, key switches, vibration dampers, microactuators and ultrasonic transducers. The aim of this work is to analyze the microstructure and the phase-content of PZT-thin films deposited on temperature- und acid-resistant hastelloy-sheets, to correlate the results with the ferroelectric and dielectric properties. It is demonstrated, that the specific variation of the microstructure can be achieved by different thermal treatments and the selective addition of Neodymium as dopant. Nd-doping leads to a shift of the maximum nucleation rate towards reduced temperatures and a decrease in the rate of growth compared to undoped films. The PZT-films are prepared by a sol-gel-process in fourfold multilayers with a composition near the morphotropic phase boundary, where the tetragonal und rhombohedral perovskite-phases coexist. The crystallisation in Nd-doped and undoped films takes place heterogeneously, preferentially at the interfaces and on the surface of the multilayered films as well as on the inner surface of pores within the films. For the first time, the Zr:Ti fluctuation phenomena emerging in sol-gel derived PZT films is related to the microstructure and the local phase content on a nanometer scale. In this connection it is proved, that long-distance Zr:Ti gradients arise preferentially before and during the crystallisation of the pyrochlore phase. During the following crystallisation of the perovskite phase, the crystallites grow across these gradients without modifying them. It is pointed out that the fluctuation in the Zr:Ti ratio has only minor influence on the amount of the tetragonal or rhombohedral distortion of the crystallites after the transition from the para- to the ferroelectric state due to

  16. Photo- and Thermo-Induced Changes in Optical Constants and Structure of Thin Films from GeSe2-GeTe-ZnTe System

    Science.gov (United States)

    Petkov, Kiril; Todorov, Rossen; Vassilev, Venceslav; Aljihmani, Lilia

    We examined the condition of preparation of thin films from GeSe2-GeTe-ZnTe system by thermal evaporation and changes in their optical properties after exposure to light and thermal annealing. The results for composition analysis of thin films showed absence of Zn independently of the composition of the bulk glass. By X-ray diffraction (XRD) analysis it was found that a reduction of ZnTe in ZnSe in bulk materials takes of place during the film deposition. A residual from ZnSe was observed in the boat after thin film deposition. Optical constants (refractive index, n and absorption coefficient, α) and thickness, d as well as the optical band gap, Eg, depending of the content of Te in ternary Ge-Se-Te system are determined from specrophotometric measurements in the spectral range 400-2500 nm applying the Swanepoel's envelope method and Tauc's procedure. With the increase of Te content in the layers the absorption edge is shifted to the longer wavelengths, refractive index increases while the optical band gap decreases from 2.02 eV for GeSe2 to 1.26 eV for Ge34Se42Te24. The values of the refractive index decrease after annealing of all composition and Eg increase, respectively. Thin films with composition of Ge27Se47Te9Zn17 and Ge28Se49Te10Zn13 were prepared by co-evaporation of (GeSe2)78(GeTe)22 and Zn from a boat and a crucible and their optical properties, surface morphology and structure were investigated. The existence of a correlation between the optical band gap and the copostion of thin films from the system studied was demonstrated.

  17. Multicomponent doped barium strontium titanate thin films for tunable microwave applications

    Science.gov (United States)

    Alema, Fikadu Legesse

    In recent years there has been enormous progress in the development of barium strontium titanate (BST) films for tunable microwave applications. However, the properties of BST films still remain inferior compared to bulk materials, limiting their use for microwave technology. Understanding the film/substrate mismatch, microstructure, and stoichiometry of BST films and finding the necessary remedies are vital. In this work, BST films were deposited via radio frequency magnetron sputtering method and characterized both analytically and electrically with the aim of optimizing their properties. The stoichiometry, crystal structure, and phase purity of the films were studied by varying the oxygen partial pressure (OPP) and total gas pressure (TGP) in the chamber. A better stoichiometric match between film and target was achieved when the TGP is high (> 30 mTorr). However, the O2/Ar ratio should be adjusted as exceeding a threshold of 2 mTorr in OPP facilitates the formation of secondary phases. The growth of crystalline film on platinized substrates was achieved only with a lower temperature grown buffer layer, which acts as a seed layer by crystallizing when the temperature increases. Concurrent Mg/Nb doping has significantly improved the properties of BST thin films. The doped film has shown an average tunability of 53%, which is only ˜8 % lower than the value for the undoped film. This drop is associated with the Mg ions whose detrimental effects are partially compensated by Nb ions. Conversely, the doping has reduced the dielectric loss by ˜40 % leading to a higher figure of merit. Moreover, the two dopants ensure a charge neutrality condition which resulted in significant leakage current reduction. The presence of large amounts of empty shallow traps related to Nb Ti localize the free carriers injected from the contacts; thus increase the device control voltage substantially (>10 V). A combinatorial thin film synthesis method based on co-sputtering of two BST

  18. X-ray diffraction stress analysis of ferroelectric thin films with ideal (h k l) textures considering the piezoelectric coupling effect

    International Nuclear Information System (INIS)

    Wu Huaping; Wu Linzhi; Li Jiquan; Chai Guozhong; Du Shanyi

    2010-01-01

    Ferroelectric thin films present large residual stress and strong texture during preparation, which affect the mechanical, dielectric and piezoelectric properties of the thin films. The determination of residual stresses in ferroelectric thin films with different textures is therefore very important. In this paper, an extended crystallite group model to evaluate the residual stresses of ferroelectric thin films using X-ray diffraction is proposed by considering the constitutive equation of orthogonally anisotropic ferroelectric medium. The effects of anisotropy and piezoelectric coupling on residual stresses of ferroelectric thin films are analyzed. X-ray stress factors for ideal (h k l)-textured ferroelectric thin films are obtained. An example of calculating the residual stresses of tetragonal perovskite ferroelectric thin films with (1 1 1) and (1 0 0) textures using the extended model is provided to validate the model.

  19. Dielectric aluminium phosphate thin films. Couches minces dielectriques de phosphate d'aluminium

    Energy Technology Data Exchange (ETDEWEB)

    Daviero, S. (Lab. Physicochimie des Materiaux Solides, 34 - Montpellier (France)); Avinens, C. (Lab. Physicochimie des Materiaux Solides, 34 - Montpellier (France)); Ibanez, A. (Lab. Physicochimie des Materiaux Solides, 34 - Montpellier (France)); Giuntini, J.C. (Lab. Physicochimie des Materiaux Solides, 34 -Montpellier (France)); Philippot, E. (Lab. Physicochimie des Materiaux Solides, 34 - Montpellier (France))

    1993-04-01

    Aluminium phosphate thin films on silicium substrate have been carried out from tributylphosphate and aluminium acetylacetonate precursors in solution through the ''pyrosol'' process. It can be observed a large range of chemical analysis in terms of experimental conditions. These thin films have been characterized by X-ray diffraction and infrared spectrometry. Their electrical characteristics, defined from direct current and alternative current measurements, are quite different to those of the crystallized phosphate and can be explained by P-O and Al-O ''dangling bond'' existence. (orig.).

  20. Epitaxial Pb(Mg1/3Nb2/3)O3 thin films synthesized by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Bai, G. R.; Streiffer, S. K.; Baumann, P. K.; Auciello, O.; Ghosh, K.; Stemmer, S.; Munkholm, A.; Thompson, Carol; Rao, R. A.; Eom, C. B.

    2000-01-01

    Metal-organic chemical vapor deposition was used to prepare Pb(Mg 1/3 Nb 2/3 )O 3 (PMN) thin films on (001) SrTiO 3 and SrRuO 3 /SrTiO 3 substrates, using solid Mg β-diketonate as the Mg precursor. Parameters including the precursor ratio in the vapor phase, growth temperature, growth rate, and reaction pressure in the reactor chamber were varied in order to determine suitable growth conditions for producing phase-pure, epitaxial PMN films. A cube-on-cube orientation relationship between the thin film and the SrTiO 3 substrate was found, with a (001) rocking curve width of 0.1 degree sign , and in-plane rocking-curve width of 0.8 degree sign . The root-mean-square surface roughness of a 200-nm-thick film on SrTiO 3 was 2 to 3 nm as measured by scanning probe microscopy. The zero-bias dielectric constant and loss measured at room temperature and 10 kHz for a 200-nm-thick film on SrRuO 3 /SrTiO 3 were approximately 1100 and 2%, respectively. The remnant polarization for this film was 16 μC/cm 2 . (c) 2000 American Institute of Physics