WorldWideScience

Sample records for thin compressed layer

  1. Delamination of Compressed Thin Layers at Corners

    DEFF Research Database (Denmark)

    Sørensen, Kim D.; Jensen, Henrik Myhre; Clausen, Johan

    2008-01-01

    An analysis of delamination for a thin elastic layer under compression, attached to a substrate at a corner is carried out. The analysis is performed by combining results from interface fracture mechanics and the theory of thin shells. In contrast with earlier results for delamination on a flat s...... layers, Fracture mechanics, Crack closure, Steady state crack propagation.......An analysis of delamination for a thin elastic layer under compression, attached to a substrate at a corner is carried out. The analysis is performed by combining results from interface fracture mechanics and the theory of thin shells. In contrast with earlier results for delamination on a flat...... results for the fracture mechanical properties have been obtained, and these are applied in a study of the effect of contacting crack faces. Special attention has been given to analyse conditions under which steady state propagation of buckling driven delamination takes place. Keywords: Delamination, Thin...

  2. Delamination of Compressed Thin Layers at Corners

    DEFF Research Database (Denmark)

    Sørensen, Kim D.; Jensen, Henrik Myhre; Clausen, Johan

    2008-01-01

    An analysis of delamination for a thin elastic layer under compression, attached to a substrate at a corner is carried out. The analysis is performed by combining results from interface fracture mechanics and the theory of thin shells. In contrast with earlier results for delamination on a flat...

  3. Self-assembled three-dimensional and compressible interdigitated thin-film supercapacitors and batteries

    Science.gov (United States)

    Nyström, Gustav; Marais, Andrew; Karabulut, Erdem; Wågberg, Lars; Cui, Yi; Hamedi, Mahiar M.

    2015-01-01

    Traditional thin-film energy-storage devices consist of stacked layers of active films on two-dimensional substrates and do not exploit the third dimension. Fully three-dimensional thin-film devices would allow energy storage in bulk materials with arbitrary form factors and with mechanical properties unique to bulk materials such as compressibility. Here we show three-dimensional energy-storage devices based on layer-by-layer self-assembly of interdigitated thin films on the surface of an open-cell aerogel substrate. We demonstrate a reversibly compressible three-dimensional supercapacitor with carbon nanotube electrodes and a three-dimensional hybrid battery with a copper hexacyanoferrate ion intercalating cathode and a carbon nanotube anode. The three-dimensional supercapacitor shows stable operation over 400 cycles with a capacitance of 25 F g−1 and is fully functional even at compressions up to 75%. Our results demonstrate that layer-by-layer self-assembly inside aerogels is a rapid, precise and scalable route for building high-surface-area 3D thin-film devices. PMID:26021485

  4. Damage Behaviors and Compressive Strength of Toughened CFRP Laminates with Thin Plies Subjected to Transverse Impact Loadings

    Science.gov (United States)

    Yokozeki, Tomohiro; Aoki, Yuichiro; Ogasawara, Toshio

    It has been recognized that damage resistance and strength properties of CFRP laminates can be improved by using thin-ply prepregs. This study investigates the damage behaviors and compressive strength of CFRP laminates using thin-ply and standard prepregs subjected to out-of-plane impact loadings. CFRP laminates used for the evaluation are prepared using the standard prepregs, thin-ply prepregs, and combinations of the both. Weight-drop impact test and post-impact compression test of quasi-isotropic laminates are performed. It is shown that the damage behaviors are different between the thin-ply and the standard laminates, and the compression-after-impact strength is improved by using thin-ply prepregs. Effects of the use of thin-ply prepregs and the layout of thin-ply layers on the damage behaviors and compression-after-impact properties are discussed based on the experimental results.

  5. Application of thin-layer Navier-Stokes equations near maximum lift

    Science.gov (United States)

    Anderson, W. K.; Thomas, J. L.; Rumsey, C. L.

    1984-01-01

    The flowfield about a NACA 0012 airfoil at a Mach number of 0.3 and Reynolds number of 1 million is computed through an angle of attack range, up to 18 deg, corresponding to conditions up to and beyond the maximum lift coefficient. Results obtained using the compressible thin-layer Navier-Stokes equations are presented as well as results from the compressible Euler equations with and without a viscous coupling procedure. The applicability of each code is assessed and many thin-layer Navier-Stokes benchmark solutions are obtained which can be used for comparison with other codes intended for use at high angles of attack. Reasonable agreement of the Navier-Stokes code with experiment and the viscous-inviscid interaction code is obtained at moderate angles of attack. An unsteady solution is obtained with the thin-layer Navier-Stokes code at the highest angle of attack considered. The maximum lift coefficient is overpredicted, however, in comparison to experimental data, which is attributed to the presence of a laminar separation bubble near the leading edge not modeled in the computations. Two comparisons with experimental data are also presented at a higher Mach number.

  6. On elastic waves in an thinly-layered laminated medium with stress couples under initial stress

    Directory of Open Access Journals (Sweden)

    P. Pal Roy

    1988-01-01

    Full Text Available The present work is concerned with a simple transformation rule in finding out the composite elastic coefficients of a thinly layered laminated medium whose bulk properties are strongly anisotropic with a microelastic bending rigidity. These elastic coefficients which were not known completely for a layered laminated structure, are obtained suitably in terms of initial stress components and Lame's constants λi, μi of initially isotropic solids. The explicit solutions of the dynamical equations for a prestressed thinly layered laminated medium under horizontal compression in a gravity field are derived. The results are discussed specifying the effects of hydrostatic, deviatoric and couple stresses upon the characteristic propagation velocities of shear and compression wave modes.

  7. Layered compression for high-precision depth data.

    Science.gov (United States)

    Miao, Dan; Fu, Jingjing; Lu, Yan; Li, Shipeng; Chen, Chang Wen

    2015-12-01

    With the development of depth data acquisition technologies, access to high-precision depth with more than 8-b depths has become much easier and determining how to efficiently represent and compress high-precision depth is essential for practical depth storage and transmission systems. In this paper, we propose a layered high-precision depth compression framework based on an 8-b image/video encoder to achieve efficient compression with low complexity. Within this framework, considering the characteristics of the high-precision depth, a depth map is partitioned into two layers: 1) the most significant bits (MSBs) layer and 2) the least significant bits (LSBs) layer. The MSBs layer provides rough depth value distribution, while the LSBs layer records the details of the depth value variation. For the MSBs layer, an error-controllable pixel domain encoding scheme is proposed to exploit the data correlation of the general depth information with sharp edges and to guarantee the data format of LSBs layer is 8 b after taking the quantization error from MSBs layer. For the LSBs layer, standard 8-b image/video codec is leveraged to perform the compression. The experimental results demonstrate that the proposed coding scheme can achieve real-time depth compression with satisfactory reconstruction quality. Moreover, the compressed depth data generated from this scheme can achieve better performance in view synthesis and gesture recognition applications compared with the conventional coding schemes because of the error control algorithm.

  8. A measurement method for piezoelectric material properties under longitudinal compressive stress–-a compression test method for thin piezoelectric materials

    International Nuclear Information System (INIS)

    Kang, Lae-Hyong; Lee, Dae-Oen; Han, Jae-Hung

    2011-01-01

    We introduce a new compression test method for piezoelectric materials to investigate changes in piezoelectric properties under the compressive stress condition. Until now, compression tests of piezoelectric materials have been generally conducted using bulky piezoelectric ceramics and pressure block. The conventional method using the pressure block for thin piezoelectric patches, which are used in unimorph or bimorph actuators, is prone to unwanted bending and buckling. In addition, due to the constrained boundaries at both ends, the observed piezoelectric behavior contains boundary effects. In order to avoid these problems, the proposed method employs two guide plates with initial longitudinal tensile stress. By removing the tensile stress after bonding a piezoelectric material between the guide layers, longitudinal compressive stress is induced in the piezoelectric layer. Using the compression test specimens, two important properties, which govern the actuation performance of the piezoelectric material, the piezoelectric strain coefficients and the elastic modulus, are measured to evaluate the effects of applied electric fields and re-poling. The results show that the piezoelectric strain coefficient d 31 increases and the elastic modulus decreases when high voltage is applied to PZT5A, and the compression in the longitudinal direction decreases the piezoelectric strain coefficient d 31 but does not affect the elastic modulus. We also found that the re-poling of the piezoelectric material increases the elastic modulus, but the piezoelectric strain coefficient d 31 is not changed much (slightly increased) by re-poling

  9. Comparison of the effectiveness of compression stockings and layer compression systems in venous ulceration treatment

    Science.gov (United States)

    Jawień, Arkadiusz; Cierzniakowska, Katarzyna; Cwajda-Białasik, Justyna; Mościcka, Paulina

    2010-01-01

    Introduction The aim of the research was to compare the dynamics of venous ulcer healing when treated with the use of compression stockings as well as original two- and four-layer bandage systems. Material and methods A group of 46 patients suffering from venous ulcers was studied. This group consisted of 36 (78.3%) women and 10 (21.70%) men aged between 41 and 88 years (the average age was 66.6 years and the median was 67). Patients were randomized into three groups, for treatment with the ProGuide two-layer system, Profore four-layer compression, and with the use of compression stockings class II. In the case of multi-layer compression, compression ensuring 40 mmHg blood pressure at ankle level was used. Results In all patients, independently of the type of compression therapy, a few significant statistical changes of ulceration area in time were observed (Student’s t test for matched pairs, p ulceration area in each of the successive measurements was observed in patients treated with the four-layer system – on average 0.63 cm2/per week. The smallest loss of ulceration area was observed in patients using compression stockings – on average 0.44 cm2/per week. However, the observed differences were not statistically significant (Kruskal-Wallis test H = 4.45, p > 0.05). Conclusions A systematic compression therapy, applied with preliminary blood pressure of 40 mmHg, is an effective method of conservative treatment of venous ulcers. Compression stockings and prepared systems of multi-layer compression were characterized by similar clinical effectiveness. PMID:22419941

  10. Thin pentacene layer under pressure

    International Nuclear Information System (INIS)

    Srnanek, R.; Jakabovic, J.; Kovac, J.; Donoval, D.; Dobrocka, E.

    2011-01-01

    Organic semiconductors have got a lot of interest during the last years, due to their usability for organic thin film transistor. Pentacene, C 22 H 14 , is one of leading candidates for this purpose. While we obtain the published data about pressure-induced phase transition only on single crystal of pentacene we present pressure-induced phase transition in pentacene thin layers for the first time. Changes in the pentacene structure, caused by the pressure, were detected by micro-Raman spectroscopy. Applying the defined pressure to the pentacene layer it can be transformed from thin phase to bulk phase. Micro-Raman spectroscopy was found as useful method for detection of changes and phases identification in the pentacene layer induced by mechanical pressure. Such a pressure-induced transformation of pentacene thin layers was observed and identified for the first time. (authors)

  11. Study of wear in piston ring of the vehicle engine using thin layer activation technique

    International Nuclear Information System (INIS)

    Khan, I.H.; Farooq, M.; Ghiyas-ud-Din; Gul, S.; Qureshi, R.M.; Jin Joon Ha; Wallace, G.

    2004-01-01

    Thin Layer Activation (TLA) technique was used to investigate piston ring wear of a six cylinders vehicle engine at various engine speeds and load conditions. The activated ring was installed in cylinder no.5 of the engine at middle position (compression ring). Monitoring was carried out on-line (extremely on the engine block) using 'Thin Layer Difference Method'. The calibration curve of the activity profile was prepared with the help of activation parameters determined at the time of ring activation in particle accelerator. The results show that the piston ring wear varies from 0.309 micron/hour to 0.404 micron/hour at given engine speed and load conditions. (author)

  12. Compressive intrinsic stress originates in the grain boundaries of dense refractory polycrystalline thin films

    Energy Technology Data Exchange (ETDEWEB)

    Magnfält, D., E-mail: danma@ifm.liu.se; Sarakinos, K. [Nanoscale Engineering Division, Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden); Fillon, A.; Abadias, G. [Institut P' , Département Physique et Mécanique des Matériaux, Université de Poitiers-CNRS-ENSMA, SP2MI, Téléport 2, Bd M. et P. Curie, F-86962 Chasseneuil-Futuroscope (France); Boyd, R. D.; Helmersson, U. [Plasma and Coatings Physics Division, Department of Physics, Chemistry and Biology (IFM), Linköping University, SE-581 83 Linköping (Sweden)

    2016-02-07

    Intrinsic stresses in vapor deposited thin films have been a topic of considerable scientific and technological interest owing to their importance for functionality and performance of thin film devices. The origin of compressive stresses typically observed during deposition of polycrystalline metal films at conditions that result in high atomic mobility has been under debate in the literature in the course of the past decades. In this study, we contribute towards resolving this debate by investigating the grain size dependence of compressive stress magnitude in dense polycrystalline Mo films grown by magnetron sputtering. Although Mo is a refractory metal and hence exhibits an intrinsically low mobility, low energy ion bombardment is used during growth to enhance atomic mobility and densify the grain boundaries. Concurrently, the lateral grain size is controlled by using appropriate seed layers on which Mo films are grown epitaxially. The combination of in situ stress monitoring with ex situ microstructural characterization reveals a strong, seemingly linear, increase of the compressive stress magnitude on the inverse grain size and thus provides evidence that compressive stress is generated in the grain boundaries of the film. These results are consistent with models suggesting that compressive stresses in metallic films deposited at high homologous temperatures are generated by atom incorporation into and densification of grain boundaries. However, the underlying mechanisms for grain boundary densification might be different from those in the present study where atomic mobility is intrinsically low.

  13. Influence of Magnetron Effect on Barium Hexaferrite Thin Layers

    International Nuclear Information System (INIS)

    Hassane, H.; Chatelon, J.P.; Rousseau, J.J; Siblini, A.; Kriga, A.

    2011-01-01

    In this paper, we study the effects of a magnet, located in the cathode, on barium hexaferrite thin films deposited by RF magnetron sputtering technique. During the process, these effects can modify thickness, roughness and stress of coatings. The characteristics of the deposited layers depend on the substrate position that is located opposite of magnetron cathode. In the m agnetron area , one can observe that the high stress can produce cracks or detachment of layers and the increasing of both depositing rate and surface roughness. After sputtering elaboration, barium hexaferrite films are in a compressive stress mode. But, after the post-deposition heat treatment these films are in a tensile stress mode. To improve the quality of BaM films, the subsrtate has to be set outside the magnetron area. (author)

  14. Nonlinear viscoelasticity of pre-compressed layered polymeric composite under oscillatory compression

    KAUST Repository

    Xu, Yangguang

    2018-05-03

    Describing nonlinear viscoelastic properties of polymeric composites when subjected to dynamic loading is essential for development of practical applications of such materials. An efficient and easy method to analyze nonlinear viscoelasticity remains elusive because the dynamic moduli (storage modulus and loss modulus) are not very convenient when the material falls into nonlinear viscoelastic range. In this study, we utilize two methods, Fourier transform and geometrical nonlinear analysis, to quantitatively characterize the nonlinear viscoelasticity of a pre-compressed layered polymeric composite under oscillatory compression. We discuss the influences of pre-compression, dynamic loading, and the inner structure of polymeric composite on the nonlinear viscoelasticity. Furthermore, we reveal the nonlinear viscoelastic mechanism by combining with other experimental results from quasi-static compressive tests and microstructural analysis. From a methodology standpoint, it is proved that both Fourier transform and geometrical nonlinear analysis are efficient tools for analyzing the nonlinear viscoelasticity of a layered polymeric composite. From a material standpoint, we consequently posit that the dynamic nonlinear viscoelasticity of polymeric composites with complicated inner structures can also be well characterized using these methods.

  15. Corrosion of pure magnesium under thin electrolyte layers

    International Nuclear Information System (INIS)

    Zhang Tao; Chen Chongmu; Shao Yawei; Meng Guozhe; Wang Fuhui; Li Xiaogang; Dong Chaofang

    2008-01-01

    The corrosion behavior of pure magnesium was investigated by means of cathodic polarization curve, electrochemical impedance spectroscopy (EIS) and electrochemical noise (EN) under aerated and deaerated thin electrolyte layers (TEL) with various thicknesses. Based on shot noise theory and stochastic theory, the EN results were quantitatively analyzed by using the Weibull and Gumbel distribution function, respectively. The results show that the cathodic process of pure magnesium under thin electrolyte layer was dominated by hydrogen reduction. With the decreasing of thin electrolyte layer thickness, cathodic process was retarded slightly while the anodic process was inhibited significantly, which indicated that both the cathodic and anodic process were inhibited in the presence of oxygen. The absence of oxygen decreased the corrosion resistance of pure magnesium in case of thin electrolyte layer. The corrosion was more localized under thin electrolyte layer than that in bulk solution. The results also demonstrate that there exist two kinds of effects for thin electrolyte layer on the corrosion behavior of pure magnesium: (1) the rate of pit initiation was evidently retarded compared to that in bulk solution; (2) the probability of pit growth oppositely increased. The corrosion model of pure magnesium under thin electrolyte layer was suggested in the paper

  16. Synthesis of layered birnessite-type manganese oxide thin films on plastic substrates by chemical bath deposition for flexible transparent supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Hu Yu; Zhu Hongwei; Wang Jun [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Chen Zhenxing, E-mail: chenzx65@mail.sysu.edu.cn [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2011-10-20

    Highlights: > Layered birnessite-type MnO{sub 2} thin films are fabricated on ITO/PET substrates through a facile chemical bath deposition at room temperature. > The transmittance of the MnO{sub 2} thin films at 550 nm is up to 77.4%. > MnO{sub 2} thin films exhibit a special capacitance of 229.2 F g{sup -1} and 9.2 mF cm{sup -2}. > MnO{sub 2} thin films show a capacitance retention ratio of 83% after 1000 CV cycles. > MnO{sub 2} thin film electrodes show great mechanical flexibility and electrochemical stability even after 200 tensile and compressive bending cycles. - Abstract: Layered birnessite-type manganese oxide thin films are successfully fabricated on indium tin oxide coated polyethylene terephthalate substrates for flexible transparent supercapacitors by a facile, effective and inexpensive chemical bath deposition technology from an alkaline KMnO{sub 4} aqueous solution at room temperature. The effects of deposition conditions, including KMnO{sub 4} concentration, initial molar ratio of NH{sub 3}.H{sub 2}O and KMnO{sub 4}, bath temperature, and reaction time, on the electrochemical properties of MnO{sub 2} thin films are investigated. Layered birnessite-type MnO{sub 2} thin films deposited under optimum conditions display three-dimensional porous morphology, high hydrophilicity, and a transmittance of 77.4% at 550 nm. A special capacitance of 229.2 F g{sup -1} and a capacitance retention ratio of 83% are obtained from the films after 1000 cycles at 10 mV s{sup -1} in 1 M Na{sub 2}SO{sub 4}. Compressive and tensile bending tests show that as-prepared MnO{sub 2} thin film electrodes possess excellent mechanical flexibility and electrochemical stability.

  17. On Compression of a Heavy Compressible Layer of an Elastoplastic or Elastoviscoplastic Medium

    Science.gov (United States)

    Kovtanyuk, L. V.; Panchenko, G. L.

    2017-11-01

    The problem of deformation of a horizontal plane layer of a compressible material is solved in the framework of the theory of small strains. The upper boundary of the layer is under the action of shear and compressing loads, and the no-slip condition is satisfied on the lower boundary of the layer. The loads increase in absolute value with time, then become constant, and then decrease to zero.Various plasticity conditions are consideredwith regard to the material compressibility, namely, the Coulomb-Mohr plasticity condition, the von Mises-Schleicher plasticity condition, and the same conditions with the viscous properties of the material taken into account. To solve the system of partial differential equations for the components of irreversible strains, a finite-difference scheme is developed for a spatial domain increasing with time. The laws of motion of elastoplastic boundaries are presented, the stresses, strains, rates of strain, and displacements are calculated, and the residual stresses and strains are found.

  18. Ion beam-based characterization of multicomponent oxide thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Lin, Yuping; Gruen, D.M.; Schultz, J.A.; Schmidt, H.K.; Chang, R.P.H.

    1992-01-01

    Fabrication of thin film layered structures of multi-component materials such as high temperature superconductors, ferroelectric and electro-optic materials, and alloy semiconductors, and the development of hybrid materials requires understanding of film growth and interface properties. For High Temperature Superconductors, the superconducting coherence length is extremely short (5--15 Angstrom), and fabrication of reliable devices will require control of film properties at extremely sharp interfaces; it will be necessary to verify the integrity of thin layers and layered structure devices over thicknesses comparable to the atomic layer spacing. Analytical techniques which probe the first 1--2 atomic layers are therefore necessary for in-situ characterization of relevant thin film growth processes. However, most surface-analytical techniques are sensitive to a region within 10--40 Angstrom of the surface and are physically incompatible with thin film deposition and are typically restricted to ultra high vacuum conditions. A review of ion beam-based analytical methods for the characterization of thin film and multi-layered thin film structures incorporating layers of multicomponent oxides is presented. Particular attention will be paid to the use of time-of-flight techniques based on the use of 1- 15 key ion beams which show potential for use as nondestructive, real-time, in-situ surface diagnostics for the growth of multicomponent metal and metal oxide thin films

  19. Layer-by-layer assembly of thin film oxygen barrier

    International Nuclear Information System (INIS)

    Jang, Woo-Sik; Rawson, Ian; Grunlan, Jaime C.

    2008-01-01

    Thin films of sodium montmorillonite clay and cationic polyacrylamide were grown on a polyethylene terephthalate film using layer-by-layer assembly. After 30 clay-polymer layers are deposited, with a thickness of 571 nm, the resulting transparent film has an oxygen transmission rate (OTR) below the detection limit of commercial instrumentation ( 2 /day/atm). This low OTR, which is unprecedented for a clay-filled polymer composite, is believed to be due to a brick wall nanostructure comprised of completely exfoliated clay in polymeric mortar. With an optical transparency greater than 90% and potential for microwaveability, this thin composite is a good candidate for foil replacement in food packaging and may also be useful for flexible electronics packaging

  20. Thin layer activation

    International Nuclear Information System (INIS)

    Schweickert, H.; Fehsenfeld, P.

    1995-01-01

    The reliability of industrial equip ment is substantially influenced by wear and corrosion; monitoring can prevent accidents and avoid down-time. One powerful tool is thin layer activation analysis (TLA) using accelerator systems. The information is used to improve mechanical design and material usage; the technology is used by many large companies, particularly in the automotive industry, e.g. Daimler Benz. A critical area of a machine component receives a thin layer of radioactivity by irradiation with charged particles from an accelerator - usually a cyclotron. The radioactivity can be made homogeneous by suitable selection of particle, beam energy and angle of incidence. Layer thickness can be varied from 20 microns to around 1 mm with different depth distributions; the position and size of the wear zone can be set to within 0.1 mm. The machine is then reassembled and operated so that wear can be measured. An example is a combustion engine comprising piston ring, cylinder wall, cooling water jacket and housing wall, where wear measurements on the cylinder wall are required in a critical zone around the dead-point of the piston ring. Proton beam bombardment creates a radioactive layer whose thickness is known accurately, and characteristic gamma radiation from this radioactive zone penetrates through the engine and is detected externally. Measurements can be made either of the activity removed from the surface, or of the (reduced) residual activity; wear measurement of the order of 10 -9 metres is possible

  1. Bypass transition in compressible boundary layers

    Science.gov (United States)

    Vandervegt, J. J.

    1992-01-01

    Transition to turbulence in aerospace applications usually occurs in a strongly disturbed environment. For instance, the effects of free-stream turbulence, roughness and obstacles in the boundary layer strongly influence transition. Proper understanding of the mechanisms leading to transition is crucial in the design of aircraft wings and gas turbine blades, because lift, drag and heat transfer strongly depend on the state of the boundary layer, laminar or turbulent. Unfortunately, most of the transition research, both theoretical and experimental, has focused on natural transition. Many practical flows, however, defy any theoretical analysis and are extremely difficult to measure. Morkovin introduced in his review paper the concept of bypass transition as those forms of transition which bypass the known mechanisms of linear and non-linear transition theories and are currently not understood by experiments. In an effort to better understand the mechanisms leading to transition in a disturbed environment, experiments are conducted studying simpler cases, viz. the effects of free stream turbulence on transition on a flat plate. It turns out that these experiments are very difficult to conduct, because generation of free stream turbulence with sufficiently high fluctuation levels and reasonable homogeneity is non trivial. For a discussion see Morkovin. Serious problems also appear due to the fact that at high Reynolds numbers the boundary layers are very thin, especially in the nose region of the plate where the transition occurs, which makes the use of very small probes necessary. The effects of free-stream turbulence on transition are the subject of this research and are especially important in a gas turbine environment, where turbulence intensities are measured between 5 and 20 percent, Wang et al. Due to the fact that the Reynolds number for turbine blades is considerably lower than for aircraft wings, generally a larger portion of the blade will be in a laminar

  2. Membrane filtration device for studying compression of fouling layers in membrane bioreactors.

    Directory of Open Access Journals (Sweden)

    Mads Koustrup Jørgensen

    Full Text Available A filtration devise was developed to assess compressibility of fouling layers in membrane bioreactors. The system consists of a flat sheet membrane with air scouring operated at constant transmembrane pressure to assess the influence of pressure on resistance of fouling layers. By fitting a mathematical model, three model parameters were obtained; a back transport parameter describing the kinetics of fouling layer formation, a specific fouling layer resistance, and a compressibility parameter. This stands out from other on-site filterability tests as model parameters to simulate filtration performance are obtained together with a characterization of compressibility. Tests on membrane bioreactor sludge showed high reproducibility. The methodology's ability to assess compressibility was tested by filtrations of sludges from membrane bioreactors and conventional activated sludge wastewater treatment plants from three different sites. These proved that membrane bioreactor sludge showed higher compressibility than conventional activated sludge. In addition, detailed information on the underlying mechanisms of the difference in fouling propensity were obtained, as conventional activated sludge showed slower fouling formation, lower specific resistance and lower compressibility of fouling layers, which is explained by a higher degree of flocculation.

  3. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  4. Compressibility effects in the shear layer over a rectangular cavity

    Energy Technology Data Exchange (ETDEWEB)

    Beresh, Steven J.; Wagner, Justin; Casper, Katya Marie

    2016-10-26

    we studied the influence of compressibility on the shear layer over a rectangular cavity of variable width in a free stream Mach number range of 0.6–2.5 using particle image velocimetry data in the streamwise centre plane. As the Mach number increases, the vertical component of the turbulence intensity diminishes modestly in the widest cavity, but the two narrower cavities show a more substantial drop in all three components as well as the turbulent shear stress. Furthermore, this contrasts with canonical free shear layers, which show significant reductions in only the vertical component and the turbulent shear stress due to compressibility. The vorticity thickness of the cavity shear layer grows rapidly as it initially develops, then transitions to a slower growth rate once its instability saturates. When normalized by their estimated incompressible values, the growth rates prior to saturation display the classic compressibility effect of suppression as the convective Mach number rises, in excellent agreement with comparable free shear layer data. The specific trend of the reduction in growth rate due to compressibility is modified by the cavity width.

  5. Facile chemical synthesis of nanoporous layered δ-MnO{sub 2} thin film for high-performance flexible electrochemical capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Yu; Wang, Jun; Jiang, Xionghua; Zheng, Yanfeng [The Key Laboratory of Low-Carbon Chemistry and Energy Conservation of Guangdong Province, School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Chen, Zhenxing, E-mail: chenzx65@mail.sysu.edu.cn [The Key Laboratory of Low-Carbon Chemistry and Energy Conservation of Guangdong Province, School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2013-04-15

    Layered δ-MnO{sub 2} thin films with a three-dimensional nanostructure are successfully fabricated on stainless steel foil substrates for flexible electrochemical capacitors by a facile and effective chemical bath deposition technology from ethanol and potassium permanganate solution at 15 °C. The as-prepared thin films display nanoporous morphology and a water contact angle of 20°. Energy-dispersive X-ray spectroscopy, X-ray diffraction, and Fourier transform infrared spectroscopy analyses reveal that the thin films are composed of δ-MnO{sub 2}. Electrochemical data demonstrate that the δ-MnO{sub 2} thin film electrodes can deliver a high special capacitance of 447 F/g at 2 mV/s, and provide a good capacitance retention ratio of 87% after 1000 continuous cycles at 10 mV/s in 0.5 M Na{sub 2}SO{sub 4}. Compressive and tensile bending tests show that the as-prepared electrodes can steadily work over a wide range of applied curvatures between −2.5 cm{sup −1} (tension) and 2.5 cm{sup −1} (compression). Only a small decrease in special capacitance (0.9% at a curvature of 2.5 cm{sup −1} under compressive strain, or 1.2% at a curvature of −2.5 cm{sup −1} under tensile strain) is observed even after bending for 200 cycles, indicating the excellent mechanical flexibility and electrochemical stability of the δ-MnO{sub 2} thin film electrodes.

  6. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  7. Compressibility of the fouling layer formed by membrane bioreactor sludge and supernatant

    DEFF Research Database (Denmark)

    Jørgensen, Mads Koustrup; Poorasgari, Eskandar; Christensen, Morten Lykkegaard

    Membrane bioreactors (MBR) are increasingly used for wastewater treatment as they give high effluent quality, low footprint and efficient sludge degradation. However, the accumulation and deposition of sludge components on and within the membrane (fouling) limits the widespread application of MBR....... Compressibility of the gel layer was studied in a dead-end filtration system, whereas the compressibility of a fouling layer formed by MBR sludge was studied in a submerged system hollow sheet membrane by TMP stepping. It was shown that the fouling layer formed by the MBR sludge was highly compressible within....... Hence, for MBR systems operated at constant flux mode, the applied pressure should be increased over time, to compensate for the lower permeability. Increasing applied pressure causes compression of the fouling layer and results in a more severe permeability decline [1]. In a general view, the fouling...

  8. Layer-by-Layer Assembly of a pH-Responsive and Electrochromic Thin Film

    Science.gov (United States)

    Schmidt, Daniel J.; Pridgen, Eric M.; Hammond, Paula T.; Love, J. Christopher

    2010-01-01

    This article summarizes an experiment on thin-film fabrication with layer-by-layer assembly that is appropriate for undergraduate laboratory courses. The purpose of this experiment is to teach students about self-assembly in the context of thin films and to expose students to the concepts of functional polymeric coatings. Students dip coat…

  9. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  10. MultiLayer solid electrolyte for lithium thin film batteries

    Science.gov (United States)

    Lee, Se -Hee; Tracy, C. Edwin; Pitts, John Roland; Liu, Ping

    2015-07-28

    A lithium metal thin-film battery composite structure is provided that includes a combination of a thin, stable, solid electrolyte layer [18] such as Lipon, designed in use to be in contact with a lithium metal anode layer; and a rapid-deposit solid electrolyte layer [16] such as LiAlF.sub.4 in contact with the thin, stable, solid electrolyte layer [18]. Batteries made up of or containing these structures are more efficient to produce than other lithium metal batteries that use only a single solid electrolyte. They are also more resistant to stress and strain than batteries made using layers of only the stable, solid electrolyte materials. Furthermore, lithium anode batteries as disclosed herein are useful as rechargeable batteries.

  11. Influence of compressive stress in TGO layer on impedance spectroscopy from TBC coatings

    Energy Technology Data Exchange (ETDEWEB)

    Kang, To; Zhang, Jianhai; Yuan, Maodan; Song, Sungjin; Kim, Hakjoon; Kim, Yongseok; Seok, Changsung [Sungkyunkwan Univ., Suwon (Korea, Republic of)

    2013-02-15

    Impedance spectroscopy is a non destructive evaluation (NDE) method first proposed and developed for evaluating TGO layers with compressive stress inside thermally degraded plasma sprayed thermal barrier coatings (PS TBCs). A bode plot (phase angle ({Dirac_h}) vs. frequency (f)) was used to investigate the TGO layer on electrical responses. In our experimental study, the phase angle of Bode plots is sensitive for detecting TGO layers while applying compressive stress on thermal barrier coatings. It is difficult to detect TGO layers in samples isothermally aged for 100hrs and 200hrs without compressive stress, and substantial change of phase was observed these samples with compressive stress. Also, the frequency shift of the phase angle and change of the phase angle are observed in samples isothermally aged for more than 400hrs.

  12. Copper diffusion in TaN-based thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Nazon, J. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Fraisse, B. [Laboratoire Structure, Proprietes et Modelisation des Solides (UMR 8580), Ecole Centrale de Paris, Grande Voie des Vignes, 92295 Chatenay-Malabry Cedex (France); Sarradin, J. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Fries, S.G. [SGF Scientific Consultancy, Arndt str.9, D-52064 Aachen (Germany); Tedenac, J.C. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France); Frety, N. [Universite Montpellier II, Institut Charles Gerhardt, UMR 5253 CNRS-UM2-ENSCM-UM1, cc 1504, 34095 Montpellier Cedex 5 (France)], E-mail: Nicole.Frety@univ-montp2.fr

    2008-07-15

    The diffusion of Cu through TaN-based thin layers into a Si substrate has been studied. The barrier efficiency of TaN/Ta/TaN multilayers of 150 nm in thickness has been investigated and is compared with that of TaN single layers. Thermal stabilities of these TaN-based thin layers against Cu diffusion were determined from in situ X-ray diffraction experiments, conducted in the temperature range of 773-973 K. The TaN/Ta/TaN barrier appeared to be more efficient in preventing Cu diffusion than the TaN single layer.

  13. Thermal effect on gravity waves in a compressible liquid layer over a ...

    Indian Academy of Sciences (India)

    R. Narasimhan (Krishtel eMaging) 1461 1996 Oct 15 13:05:22

    Abstract. This paper deals with the effect of temperature on gravity waves in a compressible liquid layer over a solid half-space. It has been assumed that the liquid layer is under the action of gravity, while the solid half-space is under the influence of initial compressive hydrostatic stress. When the temperature of the.

  14. The influence of double nested layer waviness on compression strength of carbon fiber composite materials

    International Nuclear Information System (INIS)

    Khan, Z.M.

    1997-01-01

    As advanced composite materials having superior physical and mechanical properties are being developed, optimization of their production processes in eagerly being sought. One of the most common defect in production of structural composites is layer waviness. Layer waviness is more pronounced in thick section flat and cylindrical laminates that are extensively used in missile casings, submersibles and space platforms. Layer waviness undulates the entire layers of a multidirectional laminate in through-the-thickness direction leading to gross deterioration of its compression strength. This research investigates the influence of multiple layer waviness in a double nest formation on the compression strength of a composite laminate. Different wave fractions of wave 0 degree centigrade layer fabricated in IM/85510-7 carbon - epoxy composite laminate on a steel mold using single step fabrication procedure. The laminate was cured on a heated press according to specific curing cycle. Static compression testing was performed using NASA short block compression fixture on an MTS servo Hydraulic machine. The purpose of these tests was to determine the effects of multiple layer wave regions on the compression strength of composite laminate. The experimental and analytical results revealed that up to about 35% fraction of wave 0 degree layer exceeded 35%. This analysis indicated that the percentage of 0 degree wavy layer may be used to estimate the reduction in compression strength of a composite laminate under restricted conditions. (author)

  15. Methods for producing thin film charge selective transport layers

    Science.gov (United States)

    Hammond, Scott Ryan; Olson, Dana C.; van Hest, Marinus Franciscus Antonius Maria

    2018-01-02

    Methods for producing thin film charge selective transport layers are provided. In one embodiment, a method for forming a thin film charge selective transport layer comprises: providing a precursor solution comprising a metal containing reactive precursor material dissolved into a complexing solvent; depositing the precursor solution onto a surface of a substrate to form a film; and forming a charge selective transport layer on the substrate by annealing the film.

  16. Thermal effect on gravity waves in a compressible liquid layer over a ...

    Indian Academy of Sciences (India)

    This paper deals with the effect of temperature on gravity waves in a compressible liquid layer over a solid half-space. It has been assumed that the liquid layer is under the action of gravity, while the solid half-space is under the influence of initial compressive hydrostatic stress. When the temperature of the half-space is ...

  17. Size scale dependence of compressive instabilities in layered composites in the presence of stress gradients

    DEFF Research Database (Denmark)

    Poulios, Konstantinos; Niordson, Christian Frithiof

    2016-01-01

    The compressive strength of unidirectionally or layer-wise reinforced composite materials in direction parallel to their reinforcement is limited by micro-buckling instabilities. Although the inherent compressive strength of a given material micro-structure can easily be determined by assessing its...... compressive stress but also on spatial stress or strain gradients, rendering failure initiation size scale dependent. The present work demonstrates and investigates the aforementioned effect through numerical simulations of periodically layered structures withnotches and holes under bending and compressive...... loads, respectively. The presented results emphasize the importance of the reinforcing layer thickness on the load carrying capacity of the investigated structures, at a constant volumetric fraction of the reinforcement. The observed strengthening at higher values of the relative layer thickness...

  18. Thin layer model for nonlinear evolution of the Rayleigh-Taylor instability

    Science.gov (United States)

    Zhao, K. G.; Wang, L. F.; Xue, C.; Ye, W. H.; Wu, J. F.; Ding, Y. K.; Zhang, W. Y.

    2018-03-01

    On the basis of the thin layer approximation [Ott, Phys. Rev. Lett. 29, 1429 (1972)], a revised thin layer model for incompressible Rayleigh-Taylor instability has been developed to describe the deformation and nonlinear evolution of the perturbed interface. The differential equations for motion are obtained by analyzing the forces (the gravity and pressure difference) of fluid elements (i.e., Newton's second law). The positions of the perturbed interface are obtained from the numerical solution of the motion equations. For the case of vacuum on both sides of the layer, the positions of the upper and lower interfaces obtained from the revised thin layer approximation agree with that from the weakly nonlinear (WN) model of a finite-thickness fluid layer [Wang et al., Phys. Plasmas 21, 122710 (2014)]. For the case considering the fluids on both sides of the layer, the bubble-spike amplitude from the revised thin layer model agrees with that from the WN model [Wang et al., Phys. Plasmas 17, 052305 (2010)] and the expanded Layzer's theory [Goncharov, Phys. Rev. Lett. 88, 134502 (2002)] in the early nonlinear growth regime. Note that the revised thin layer model can be applied to investigate the perturbation growth at arbitrary Atwood numbers. In addition, the large deformation (the large perturbed amplitude and the arbitrary perturbed distributions) in the initial stage can also be described by the present model.

  19. Cross-Field Current Instabilities in Thin Ionization Layers and the Enhanced Aurora

    International Nuclear Information System (INIS)

    Johnson, Jay R.; Okuda, Hideo

    2008-01-01

    Nearly half of the time, auroral displays exhibit thin, bright layers known as 'enhanced aurora'. There is a substantial body of evidence that connects these displays with thin, dense, heavy ion layers in the E-region. Based on the spectral characteristics of the enhanced layers, it is believed that they result when wave-particle interaction heats ambient electrons to energies at or just above the 17 eV ionization energy of N2. While there are several possible instabilities that could produce suprathermal electrons in thin layers, there has been no clear theoretical investigation which examines in detail how wave instabilities in the thin ionization layers could develop and produce the suprathermal electrons. We examine instabilities which would occur in thin, dense, heavy ion layers using extensive analytical analysis combined with particle simulations. We analyze a cross field current instability that is found to be strongly unstable in the heavy ion layers. Electrostatic simulations show that substantial heating of the ambient electrons occurs with energization at or above the N2 ionization energy.

  20. Ion beam analysis of aluminium in thin layers

    International Nuclear Information System (INIS)

    Healy, M.J.F.; Pidduck, A.J.; Dollinger, G.; Gorgens, L.; Bergmaier, A.

    2002-01-01

    This work quantifies aluminium in thin surface and near surface layers. In one example, the layer overlies a thin gallium nitride layer on an aluminium oxide substrate and in a second example the aluminium exists just below the surface of an indium arsenide substrate. The technique of non-Rutherford elastic backscattering of protons was used for the samples where aluminum in the layer of interest needed to be resolved from aluminium in the sapphire substrate and the results were corroborated at the Technische Universitaet Muenchen using heavy ion elastic recoil detection analysis. In the second example, where it was unnecessary to isolate the signal of aluminium in the layer of interest (as the substrate contained no aluminium), then the 27 Al(d,p 01 ) 28 Al nuclear reaction was used. The elastic proton scattering cross section of aluminum was found to vary very rapidly over the energy range of interest

  1. Application of thin-layer chromatography in radiochemistry

    International Nuclear Information System (INIS)

    Maki, Yasuyuki; Murakami, Yukio.

    1976-01-01

    In relation to the experimental procedures of thin-layer chromatography (TLC) in radiochemistry, the authors explained the preparation and development of radioactive test solutions, the methods of detection by autoradiography of isolated spots and by the calculation of measuring apparatus, and the identification of isolated spots. Next they outlined the carrier-free isolation and purification of nuclides, the quantification in combination with γ-ray spectrum, confirmation of the purity of RI-labeled medical supplies, their application to RI generator, thin-layer electrophoresis, in which electrophoresis and TLC were combined, and the application of this electrophoresis to isolation in recoil chemistry and to analysis and identification in carrier-free chemistry. (Kanao, K.)

  2. Nonlinear Stability and Structure of Compressible Reacting Mixing Layers

    Science.gov (United States)

    Day, M. J.; Mansour, N. N.; Reynolds, W. C.

    2000-01-01

    The parabolized stability equations (PSE) are used to investigate issues of nonlinear flow development and mixing in compressible reacting shear layers. Particular interest is placed on investigating the change in flow structure that occurs when compressibility and heat release are added to the flow. These conditions allow the 'outer' instability modes- one associated with each of the fast and slow streams-to dominate over the 'central', Kelvin-Helmholtz mode that unaccompanied in incompressible nonreacting mixing layers. Analysis of scalar probability density functions in flows with dominant outer modes demonstrates the ineffective, one-sided nature of mixing that accompany these flow structures. Colayer conditions, where two modes have equal growth rate and the mixing layer is formed by two sets of vortices, offer some opportunity for mixing enhancement. Their extent, however, is found to be limited in the mixing layer's parameter space. Extensive validation of the PSE technique also provides a unique perspective on central- mode vortex pairing, further supporting the view that pairing is primarily governed perspective sheds insight on how linear stability theory is able to provide such an accurate prediction of experimentally-observed, fully nonlinear flow phenomenon.

  3. Optical characterizations of silver nanoprisms embedded in polymer thin film layers

    Science.gov (United States)

    Carlberg, Miriam; Pourcin, Florent; Margeat, Olivier; Le Rouzo, Judikael; Berginc, Gerard; Sauvage, Rose-Marie; Ackermann, Jorg; Escoubas, Ludovic

    2017-10-01

    The precise control of light-matter interaction has a wide range of applications and is currently driven by the use of nanoparticles (NPs) by the recent advances in nanotechnology. Taking advantage of the material, size, shape, and surrounding media dependence of the optical properties of plasmonic NPs, thin film layers with tunable optical properties are achieved. The NPs are synthesized by wet chemistry and embedded in a polyvinylpyrrolidone (PVP) polymer thin film layer. Spectrophotometer and spectroscopic ellipsometry measurements are coupled to finite-difference time domain numerical modeling to optically characterize the heterogeneous thin film layers. Silver nanoprisms of 10 to 50 nm edge size exhibit high absorption through the visible wavelength range. A simple optical model composed of a Cauchy law and a Lorentz law, accounting for the optical properties of the nonabsorbing polymer and the absorbing property of the nanoprisms, fits the spectroscopic ellipsometry measurements. Knowing the complex optical indices of heterogeneous thin film layers let us design layers of any optical properties.

  4. UV and plasma treatment of thin silver layers and glass surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Hluschi, J.H. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Helmke, A. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Roth, P. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany); Boewer, R. [Interpane Glasbeschichtungsgesellschaft mbH and Co KG, Sohnreystr. 21, D-37697 Lauenfoerde (Germany); Herlitze, L. [Interpane Glasbeschichtungsgesellschaft mbH and Co KG, Sohnreystr. 21, D-37697 Lauenfoerde (Germany); Vioel, W. [University of Applied Sciences and Arts, Von-Ossietzky-Str. 99, D-37085 Goettingen (Germany)]. E-mail: vioel@hawk-hhg.de

    2006-11-10

    Thin silver layers can be modified by treatment with UV radiation or a plasma discharge. UV treatment at a wavelength of {lambda}=308 -bar nm improves the layer properties, thus leading to an enhancement of the layers IR reflectivity. For the purpose of in situ-measurement the sheet resistance is recorded during the process. Due to the Hagen-Rubens-Relation [E. Hagen, H. Rubens, Ann. Phys. 11 (1903) 873]-bar the sheet resistance is linked to the IR reflectivity of thin metal-films. A pretreatment of uncoated glass using a dielectric barrier discharge activates and cleans its surface, thus leading to an increase in adhesion of thin layers.

  5. UV and plasma treatment of thin silver layers and glass surfaces

    International Nuclear Information System (INIS)

    Hluschi, J.H.; Helmke, A.; Roth, P.; Boewer, R.; Herlitze, L.; Vioel, W.

    2006-01-01

    Thin silver layers can be modified by treatment with UV radiation or a plasma discharge. UV treatment at a wavelength of λ=308 -bar nm improves the layer properties, thus leading to an enhancement of the layers IR reflectivity. For the purpose of in situ-measurement the sheet resistance is recorded during the process. Due to the Hagen-Rubens-Relation [E. Hagen, H. Rubens, Ann. Phys. 11 (1903) 873]-bar the sheet resistance is linked to the IR reflectivity of thin metal-films. A pretreatment of uncoated glass using a dielectric barrier discharge activates and cleans its surface, thus leading to an increase in adhesion of thin layers

  6. Approximate reflection coefficients for a thin VTI layer

    KAUST Repository

    Hao, Qi; Stovas, Alexey

    2017-01-01

    We present an approximate method to derive simple expressions for the reflection coefficients of P- and SV-waves for a thin transversely isotropic layer with a vertical symmetry axis (VTI) embedded in a homogeneous VTI background. The layer

  7. Contact mechanics of articular cartilage layers asymptotic models

    CERN Document Server

    Argatov, Ivan

    2015-01-01

    This book presents a comprehensive and unifying approach to articular contact mechanics with an emphasis on frictionless contact interaction of thin cartilage layers. The first part of the book (Chapters 1–4) reviews the results of asymptotic analysis of the deformational behavior of thin elastic and viscoelastic layers. A comprehensive review of the literature is combined with the authors’ original contributions. The compressible and incompressible cases are treated separately with a focus on exact solutions for asymptotic models of frictionless contact for thin transversely isotropic layers bonded to rigid substrates shaped like elliptic paraboloids. The second part (Chapters 5, 6, and 7) deals with the non-axisymmetric contact of thin transversely isotropic biphasic layers and presents the asymptotic modelling methodology for tibio-femoral contact. The third part of the book consists of Chapter 8, which covers contact problems for thin bonded inhomogeneous transversely isotropic elastic layers, and Cha...

  8. Compressive Failure Mechanisms in Layered Materials

    DEFF Research Database (Denmark)

    Sørensen, Kim Dalsten

    Two important failure modes in fiber reinforced composite materials in cluding layers and laminates occur under loading conditions dominated by compression in the layer direction. These two distinctly different failure modes are 1. buckling driven delamination 2. failure by strain localization...... or on cylindrical substrates modeling the delamination as an interface fracture mechanical problem. Here attention is directed towards double-curved substrates, which introduces a new non-dimensional combination of geometric parameters. It is shown for a wide range of parameters that by choosing the two....... This has some impact on the convergence rate for decreasing mesh size in the load vs. end shortening response for a rectangular block of material. Especially in the immediate post critical range the convergence rate may be slow. The capabilities of the model to deal with more complicated structural...

  9. Dynamic Negative Compressibility of Few-Layer Graphene, h-BN, and MoS2

    Science.gov (United States)

    Neves, Bernardo; Barboza, Ana Paula; Chacham, Helio; Oliveira, Camilla; Fernandes, Thales; Martins Ferreira, Erlon; Archanjo, Braulio; Batista, Ronaldo; Oliveira, Alan

    2013-03-01

    We report a novel mechanical response of few-layer graphene, h-BN, and MoS2 to the simultaneous compression and shear by an atomic force microscope (AFM) tip. The response is characterized by the vertical expansion of these two-dimensional (2D) layered materials upon compression. Such effect is proportional to the applied load, leading to vertical strain values (opposite to the applied force) of up to 150%. The effect is null in the absence of shear, increases with tip velocity, and is anisotropic. It also has similar magnitudes in these solid lubricant materials (few-layer graphene, h-BN, and MoS2), but it is absent in single-layer graphene and in few-layer mica and Bi2Se3. We propose a physical mechanism for the effect where the combined compressive and shear stresses from the tip induce dynamical wrinkling on the upper material layers, leading to the observed flake thickening. The new effect (and, therefore, the proposed wrinkling) is reversible in the three materials where it is observed.[2] Financial support from CNPq, Fapemig, Rede Nacional de Pesquisa em Nanotubos de Carbono and INCT-Nano-Carbono

  10. Fabrication of ATO/Graphene Multi-layered Transparent Conducting Thin Films

    Science.gov (United States)

    Li, Na; Chen, Fei; Shen, Qiang; Wang, Chuanbin; Zhang, Lianmeng

    2013-03-01

    A novel transparent conducting oxide based on the ATO/graphene multi-layered thin films has been developed to satisfy the application of transparent conductive electrode in solar cells. The ATO thin films are prepared by pulsed laser deposition method with high quality, namely the sheet resistance of 49.5 Ω/sq and average transmittance of 81.9 %. The prepared graphene sheet is well reduced and shows atomically thin, spotty distributed appearance on the top of the ATO thin films. The XRD and optical micrographs are used to confirm the successfully preparation of the ATO/graphene multi-layered thin films. The Hall measurements and UV-Vis spectrophotometer are conducted to evaluate the sheet resistance and optical transmittance of the innovative structure. It is found that graphene can improve the electrical properties of the ATO thin films with little influence on the optical transmittance.

  11. Fabrication of ATO/Graphene Multi-layered Transparent Conducting Thin Films

    International Nuclear Information System (INIS)

    Li Na; Chen Fei; Shen Qiang; Wang Chuanbin; Zhang Lianmeng

    2013-01-01

    A novel transparent conducting oxide based on the ATO/graphene multi-layered thin films has been developed to satisfy the application of transparent conductive electrode in solar cells. The ATO thin films are prepared by pulsed laser deposition method with high quality, namely the sheet resistance of 49.5 Ω/sq and average transmittance of 81.9 %. The prepared graphene sheet is well reduced and shows atomically thin, spotty distributed appearance on the top of the ATO thin films. The XRD and optical micrographs are used to confirm the successfully preparation of the ATO/graphene multi-layered thin films. The Hall measurements and UV-Vis spectrophotometer are conducted to evaluate the sheet resistance and optical transmittance of the innovative structure. It is found that graphene can improve the electrical properties of the ATO thin films with little influence on the optical transmittance.

  12. A general analytical equation for phase diagrams of an N-layer ferroelectric thin film with two surface layers

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Z X; Teng, B H; Rong, Y H; Lu, X H; Yang, X [School of Physical Electronics, University of Electronic Science and Technology of China, Chengdu 610054 (China)], E-mail: phytbh@163.com

    2010-03-15

    Within the framework of effective-field theory with correlations, the phase diagrams of an N-layer ferroelectric thin film with two surface layers are studied by the differential operator technique based on the spin-1/2 transverse Ising model. A general analytical equation for the phase diagram of a ferroelectric thin film with arbitrary layer number as well as exchange interactions and transverse fields is derived, and then the effects of exchange interactions and transverse fields on phase diagrams are discussed for an arbitrary layer number N. Meanwhile, the crossover features, from the ferroelectric-dominant phase diagram (FPD) to the paraelectric-dominant phase diagram (PPD), for various parameters of an N-layer ferroelectric thin film with two surface layers are investigated. As a result, an N-independent common intersection point equation is obtained, and the three-dimensional curved surfaces for the crossover values are constructed. In comparison with the usual mean-field approximation, the differential operator technique with correlations reduces to some extent the ferroelectric features of a ferroelectric thin film.

  13. Thin-layer voltammetry of soluble species on screen-printed electrodes: proof of concept.

    Science.gov (United States)

    Botasini, S; Martí, A C; Méndez, E

    2016-10-17

    Thin-layer diffusion conditions were accomplished on screen-printed electrodes by placing a controlled-weight onto the cast solution and allowing for its natural spreading. The restricted diffusive conditions were assessed by cyclic voltammetry at low voltage scan rates and electrochemical impedance spectroscopy. The relationship between the weight exerted over the drop and the thin-layer thickness achieved was determined, in such a way that the simple experimental set-up designed for this work could be developed into a commercial device with variable control of the thin-layer conditions. The experimental results obtained resemble those reported for the voltammetric features of electroactive soluble species employing electrodes modified with carbon nanotubes or graphene layers, suggesting that the attainment of the benefits reported for these nanomaterials could be done simply by forcing the solution to spread over the screen-printed electrodic system to form a thin layer solution. The advantages of thin-layer voltammetry in the kinetic characterization of quasi-reversible and irreversible processes are highlighted.

  14. Studies of oxide-based thin-layered heterostructures by X-ray scattering methods

    Energy Technology Data Exchange (ETDEWEB)

    Durand, O. [Thales Research and Technology France, Route Departementale 128, F-91767 Palaiseau Cedex (France)]. E-mail: olivier.durand@thalesgroup.com; Rogers, D. [Nanovation SARL, 103 bis rue de Versailles 91400 Orsay (France); Universite de Technologie de Troyes, 10-12 rue Marie Curie, 10010 (France); Teherani, F. Hosseini [Nanovation SARL, 103 bis rue de Versailles 91400 Orsay (France); Andrieux, M. [LEMHE, ICMMOCNRS-UMR 8182, Universite d' Orsay, Batiment 410, 91410 Orsay (France); Modreanu, M. [Tyndall National Institute, Lee Maltings, Prospect Row, Cork (Ireland)

    2007-06-04

    Some X-ray scattering methods (X-ray reflectometry and Diffractometry) dedicated to the study of thin-layered heterostructures are presented with a particular focus, for practical purposes, on the description of fast, accurate and robust techniques. The use of X-ray scattering metrology as a routinely working non-destructive testing method, particularly by using procedures simplifying the data-evaluation, is emphasized. The model-independent Fourier-inversion method applied to a reflectivity curve allows a fast determination of the individual layer thicknesses. We demonstrate the capability of this method by reporting X-ray reflectometry study on multilayered oxide structures, even when the number of the layers constitutive of the stack is not known a-priori. Fast Fourier transform-based procedure has also been employed successfully on high resolution X-ray diffraction profiles. A study of the reliability of the integral-breadth methods in diffraction line-broadening analysis applied to thin layers, in order to determine coherent domain sizes, is also reported. Examples from studies of oxides-based thin-layers heterostructures will illustrate these methods. In particular, X-ray scattering studies performed on high-k HfO{sub 2} and SrZrO{sub 3} thin-layers, a (GaAs/AlOx) waveguide, and a ZnO thin-layer are reported.

  15. Analysis of turbulent boundary layers

    CERN Document Server

    Cebeci, Tuncer

    1974-01-01

    Analysis of Turbulent Boundary Layers focuses on turbulent flows meeting the requirements for the boundary-layer or thin-shear-layer approximations. Its approach is devising relatively fundamental, and often subtle, empirical engineering correlations, which are then introduced into various forms of describing equations for final solution. After introducing the topic on turbulence, the book examines the conservation equations for compressible turbulent flows, boundary-layer equations, and general behavior of turbulent boundary layers. The latter chapters describe the CS method for calculati

  16. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  17. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  18. Compressing and focusing a short laser pulse by a thin plasma lens

    International Nuclear Information System (INIS)

    Ren, C.; Duda, B. J.; Hemker, R. G.; Mori, W. B.; Katsouleas, T.; Antonsen, T. M.; Mora, P.

    2001-01-01

    We consider the possibility of using a thin plasma slab as an optical element to both focus and compress an intense laser pulse. By thin we mean that the focal length is larger than the lens thickness. We derive analytic formulas for the spot size and pulse length evolution of a short laser pulse propagating through a thin uniform plasma lens. The formulas are compared to simulation results from two types of particle-in-cell code. The simulations give a greater final spot size and a shorter focal length than the analytic formulas. The difference arises from spherical aberrations in the lens which lead to the generation of higher-order vacuum Gaussian modes. The simulations also show that Raman side scattering can develop. A thin lens experiment could provide unequivocal evidence of relativistic self-focusing

  19. Microstructure and mechanical behavior of a shape memory Ni-Ti bi-layer thin film

    Energy Technology Data Exchange (ETDEWEB)

    Mohri, Maryam [School of Metallurgy and Materials Engineering, College of Engineering, University of Tehran, Tehran (Iran, Islamic Republic of); Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany); Nili-Ahmadabadi, Mahmoud, E-mail: nili@ut.ac.ir [School of Metallurgy and Materials Engineering, College of Engineering, University of Tehran, Tehran (Iran, Islamic Republic of); Center of Excellence for High Performance Materials, University of Tehran, Tehran (Iran, Islamic Republic of); Ivanisenko, Julia [Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany); Schwaiger, Ruth [Karlsruhe Institute of Technology, Institute for Applied Materials, 76021 Karlsruhe (Germany); Hahn, Horst; Chakravadhanula, Venkata Sai Kiran [Karlsruhe Institute of Technology, Institute of Nanotechnology, 76021 Karlsruhe (Germany)

    2015-05-29

    Two different single-layers and a bi-layer Ni-Ti thin films with chemical compositions of Ni{sub 45}Ti{sub 50}Cu{sub 5}, Ni{sub 50.8}Ti{sub 49.2} and Ni{sub 50.8}Ti{sub 49.2}/Ni{sub 45}Ti{sub 50}Cu{sub 5} (numbers indicate at.%) determined by energy dispersive X-ray spectroscopy were deposited on Si (111) substrates using DC magnetron sputtering. The structures, surface morphology and transformation temperatures of annealed thin films at 500 °C for 15 min and 1 h were studied using grazing incidence X-ray diffraction, transmission electron microscopy (TEM), atomic force microscopy and differential scanning calorimetry (DSC), respectively. Nanoindentation was used to characterize the mechanical properties. The DSC and X-ray diffraction results indicated the austenitic structure of the Ni{sub 50.8}Ti{sub 49.2} and martensitic structure of the Ni{sub 45}Ti{sub 50}Cu{sub 5} thin films while the bi-layer was composed of austenitic and martensitic thin films. TEM study revealed that copper encourages crystallization in the bi-layer such that crystal structure containing nano-precipitates in the Ni{sub 45}Ti{sub 50}Cu{sub 5} layer was detected after 15 min annealing while the Ni{sub 50.8}Ti{sub 49.2} layer crystallized after 60 min at 500 °C. Furthermore, after annealing at 500 °C for 15 min, a precipitate free zone and thin layer amorphous were observed closely to the interface in the top layer. The bi-layer was completely crystallized at 500 °C for 1 h and the orientation of the Ni-rich precipitates indicated a stress gradient in the bi-layer. The bi-layer thin film showed different transformation temperatures and mechanical behavior from the single-layers. The developed bi-layer has different phase transformation temperatures, the higher temperatures of shape memory effect and lower temperature of pseudo-elastic behavior compared to the single-layers. Also, the bi-layer thin film exhibited a combined pseudo-elastic behavior and shape memory effect with a reduced

  20. Thin layer activation techniques in research and industry

    International Nuclear Information System (INIS)

    Conlon, T.W.

    1993-01-01

    The following key application of thin layer activation technique (TLA) are discussed: ion-erosion in fusion tokamaks, bio-engineering technology, automobile industry. Future developments of the techniques, such as fission fragment TLA, multi-layer TLA and recoil implantation are discussed as well. 7 refs, 6 figs, 1 tab

  1. Photoluminescence-based quality control for thin film absorber layers of photovoltaic devices

    Science.gov (United States)

    Repins, Ingrid L.; Kuciauskas, Darius

    2015-07-07

    A time-resolved photoluminescence-based system providing quality control during manufacture of thin film absorber layers for photovoltaic devices. The system includes a laser generating excitation beams and an optical fiber with an end used both for directing each excitation beam onto a thin film absorber layer and for collecting photoluminescence from the absorber layer. The system includes a processor determining a quality control parameter such as minority carrier lifetime of the thin film absorber layer based on the collected photoluminescence. In some implementations, the laser is a low power, pulsed diode laser having photon energy at least great enough to excite electron hole pairs in the thin film absorber layer. The scattered light may be filterable from the collected photoluminescence, and the system may include a dichroic beam splitter and a filter that transmit the photoluminescence and remove scattered laser light prior to delivery to a photodetector and a digital oscilloscope.

  2. Compressive pre-stress effects on magnetostrictive behaviors of highly textured Galfenol and Alfenol thin sheets

    Directory of Open Access Journals (Sweden)

    Julia R. Downing

    2017-05-01

    Full Text Available Fe-Ga (Galfenol and Fe-Al (Alfenol are rare-earth-free magnetostrictive alloys with mechanical robustness and strong magnetoelastic coupling. Since highly textured Galfenol and Alfenol thin sheets along orientations have been developed with magnetostrictive performances of ∼270 ppm and ∼160 ppm, respectively, they have been of great interest in sensor and energy harvesting applications. In this work, we investigate stress-dependent magnetostrictive behaviors in highly textured rolled sheets of NbC-added Fe80Al20 and Fe81Ga19 alloys with a single (011 grain coverage of ∼90%. A compact fixture was designed and used to introduce a uniform compressive pre-stress to those thin sheet samples along a [100] direction. As compressive pre-stress was increased to above 100 MPa, the maximum observed magnetostriction increased 42% in parallel magnetostriction along the stress direction, λ//, in highly textured (011 Fe81Ga19 thin sheets for a compressive pre-stress of 60 MPa. The same phenomena were observed for (011 Fe80Al20 (maximum increase of 88% with a 49 MPa compressive stress. This trend is shown to be consistent with published results on the effect of pre-stress on magnetostriction in rods of single crystal and textured polycrystalline Fe-Ga alloy of similar compositions, and single crystal data gathered using our experimental set up. Interestingly, the saturating field (Hs does not vary with pre-stresses, while the saturating field in rod-shaped samples of Fe-Ga increases with an increase of pre-stress. This suggests that for a range of compressive pre-stresses, thin sheet samples have larger values of d33 transduction coefficients and susceptibility than rod-shaped samples of similar alloy compositions, and hence they should provide performance benefits when used in sensor and actuator device applications. Thus, we discuss potential reasons for the unexpected trends in Hs with pre-stress, and present preliminary results from tests conducted

  3. Numerical Modeling of Compressible Flow and Its Control

    Science.gov (United States)

    2014-03-01

    such that the plate centerline corresponded to z = 0. The medium grid was then constructed from the coarse grid using a cubic spline to divide each...implicit terms were linearized in the standard thin layer manner. The implicit terms were evaluated with second- order spatial accuracy, yielding a...compression ramp. The configuration included a flat- plate region to develop an equilibrium turbulent boundary layer at Mach 2.25, which was validated

  4. Mathematical modeling of thin layer drying of pistachio by using solar energy

    Energy Technology Data Exchange (ETDEWEB)

    Midilli, A [University of Nigde (Turkey). Dept. of Mechanical Engineering; Kucuk, H [Karadeniz Technical Univ., Trabzon (Turkey). Dept. of Mechanical Engineering

    2003-05-01

    This paper presents a mathematical modeling of thin layer forced and natural solar drying of shelled and unshelled pistachio samples. In order to estimate and select the suitable form of solar drying curves, eight different mathematical models, which are semi-theoretical and/or empirical, were applied to the experimental data and compared according to their coefficients of determination (r,{chi}{sup 2}), which were predicted by non-linear regression analysis using the Statistical Computer Program. It was deduced that the logarithmic model could sufficiently describe thin layer forced solar drying of shelled and unshelled pistachio, while the two term model could define thin layer natural solar drying of these products in evaluation by considering the coefficients of determination, r{sub sfsd}=0.9983, {chi}{sup 2}{sub sfsd}=2.697x10{sup -5}; r{sub ufsd}=0.9990, {chi}{sup 2}{sub ufsd}=1.639x10{sup -5} for thin layer forced solar drying and r{sub snsd}=0.9990, {chi}{sup 2}{sub snsd}=3.212x10{sup -6}; r{sub unsd}=0.9970, {chi}{sup 2}{sub unsd}=4.590x10{sup -5} for thin layer natural solar drying. (Author)

  5. Critical Transitions in Thin Layer Turbulence

    Science.gov (United States)

    Benavides, Santiago; Alexakis, Alexandros

    2017-11-01

    We investigate a model of thin layer turbulence that follows the evolution of the two-dimensional motions u2 D (x , y) along the horizontal directions (x , y) coupled to a single Fourier mode along the vertical direction (z) of the form uq (x , y , z) = [vx (x , y) sin (qz) ,vy (x , y) sin (qz) ,vz (x , y) cos (qz) ] , reducing thus the system to two coupled, two-dimensional equations. Its reduced dimensionality allows a thorough investigation of the transition from a forward to an inverse cascade of energy as the thickness of the layer H = π / q is varied.Starting from a thick layer and reducing its thickness it is shown that two critical heights are met (i) one for which the forward unidirectional cascade (similar to three-dimensional turbulence) transitions to a bidirectional cascade transferring energy to both small and large scales and (ii) one for which the bidirectional cascade transitions to a unidirectional inverse cascade when the layer becomes very thin (similar to two-dimensional turbulence). The two critical heights are shown to have different properties close to criticality that we are able to analyze with numerical simulations for a wide range of Reynolds numbers and aspect ratios. This work was Granted access to the HPC resources of MesoPSL financed by the Region Ile de France and the project Equip@Meso (reference ANR-10-EQPX-29-01).

  6. Equilibrium properties of simple metal thin films in the self-compressed stabilized jellium model.

    Science.gov (United States)

    Mahmoodi, T; Payami, M

    2009-07-01

    In this work, we have applied the self-compressed stabilized jellium model to predict the equilibrium properties of isolated thin Al, Na and Cs slabs. To make a direct correspondence to atomic slabs, we have considered only those L values that correspond to n-layered atomic slabs with 2≤n≤20, for surface indices (100), (110), and (111). The calculations are based on the density functional theory and self-consistent solution of the Kohn-Sham equations in the local density approximation. Our results show that firstly, the quantum size effects are significant for slabs with sizes smaller than or near to the Fermi wavelength of the valence electrons λ(F), and secondly, some slabs expand while others contract with respect to the bulk spacings. Based on the results, we propose a criterion for realization of significant quantum size effects that lead to expansion of some thin slabs. For more justification of the criterion, we have tested it on Li slabs for 2≤n≤6. We have compared our Al results with those obtained from using all-electron or pseudo-potential first-principles calculations. This comparison shows excellent agreements for Al(100) work functions, and qualitatively good agreements for the other work functions and surface energies. These agreements justify the way we have used the self-compressed stabilized jellium model for the correct description of the properties of simple metal slab systems. On the other hand, our results for the work functions and surface energies of large- n slabs are in good agreement with those obtained from applying the stabilized jellium model for semi-infinite systems. In addition, we have performed the slab calculations in the presence of surface corrugation for selected Al slabs and have shown that the results are worsened.

  7. Ultra-thin Metal and Dielectric Layers for Nanophotonic Applications

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy; Leandro, Lorenzo; Malureanu, Radu

    2015-01-01

    In our talk we first give an overview of the various thin films used in the field of nanophotonics. Then we describe our own activity in fabrication and characterization of ultra-thin films of high quality. We particularly focus on uniform gold layers having thicknesses down to 6 nm fabricated by......-beam deposition on dielectric substrates and Al-oxides/Ti-oxides multilayers prepared by atomic layer deposition in high aspect ratio trenches. In the latter case we show more than 1:20 aspect ratio structures can be achieved....

  8. Ion exchange of alkaline metals on the thin-layer zinc ferrocyanide

    International Nuclear Information System (INIS)

    Betenekov, N.D.; Buklanov, G.V.; Ipatova, E.G.; Korotkin, Yu.S.

    1991-01-01

    Basic regularities of interphase distribution in the system of thin-layer sorbent on the basis of mixed zinc ferrocyanide (FZ)-alkaline metal solution (Na, K, Rb, Cs, Fr) in the column chromatography made are studied. It is established that interphase distribution of microgram amounts of alkaline metals in the systems thin-layer FZ-NH 4 NO 3 electrolyte solutions is of ion-exchange character and subjected to of law effective mass. It is shown that FZ thin-layer material is applicable for effective chromatographic separation of alkaline metal trace amounts. An approach to the choice of a conditions of separate elution of Na, K, Rb, Cs, Fr in the column chromatography mode

  9. Characterization of the porosity of silicon nitride thin layers by Electrochemical Impedance Spectroscopy

    International Nuclear Information System (INIS)

    Barrès, T.; Tribollet, B.; Stephan, O.; Montigaud, H.; Boinet, M.; Cohin, Y.

    2017-01-01

    Silicon nitride thin films are widely used as diffusion barriers within stacks in the glass industry but turn out to be porous at the nanometric scale. EIS measurements were conducted on SiNx thin layers deposited on a gold layer. An electrochemical model was established to fit the EIS measurements making use of data from other complementary techniques. In particular, Transmission Electron Microscopy was performed on these thin layers to determine the diameter and the qualitative morphology of the pores. A quantitative determination of the through-porosity of the layer was deduced from the EIS model and was in good agreement with TEM measurements. Moreover, combining EIS with local observations enabled inhomogeneities in the layer to be probed by highlighting a specific region in the layer.

  10. Studies of void growth in a thin ductile layer between ceramics

    DEFF Research Database (Denmark)

    Tvergaard, Viggo

    1997-01-01

    The growth of voids in a thin ductile layer between ceramics is analysed numerically, using an axisymmetric cell model to represent an array of uniformly distributed spherical voids at the central plane of the layer. The purpose is to determine the full traction-separation law relevant to crack...... growth by a ductile mechanism along the thin layer. Plastic flow in the layer is highly constrained by the ceramics, so that a high. level of triaxial tension develops, leading in some cases to cavitation instabilities. The computations are continued to a state near the occurrence of void coalescence....

  11. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  12. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  13. Polymer thin film as coating layer to prevent corrosion of metal/metal oxide film

    Science.gov (United States)

    Sarkar, Suman; Kundu, Sarathi

    2018-04-01

    Thin film of polymer is used as coating layer and the corrosion of metal/metal oxide layer is studied with the variation of the thickness of the coating layer. The thin layer of polystyrene is fabricated using spin coating method on copper oxide (CuO) film which is deposited on glass substrate using DC magnetron sputtering technique. Thickness of the polystyrene and the CuO layers are determined using X-ray reflectivity (XRR) technique. CuO thin films coated with the polystyrene layer are exposed to acetic acid (2.5 v/v% aqueous CH3COOH solution) environments and are subsequently analyzed using UV-Vis spectroscopy and atomic force microscopy (AFM). Surface morphology of the film before and after interaction with the acidic environment is determined using AFM. Results obtained from the XRR and UV-Vis spectroscopy confirm that the thin film of polystyrene acts as an anticorrosion coating layer and the strength of the coating depends upon the polymer layer thickness at a constant acid concentration.

  14. Physicochemical, thin layer and gas-liquid chromatographic ...

    African Journals Online (AJOL)

    DELL

    2012-05-22

    May 22, 2012 ... PEG (3 m × 3 mm I. D.) was used for gas chromatography. Physicochemical analysis ... subjected to thin layer chromatography on plates (20 × 20 cm) having 0.25 mm thick silica gel ..... Headspace solid- phase microextraction ...

  15. Amplitude various angles (AVA) phenomena in thin layer reservoir: Case study of various reservoirs

    Energy Technology Data Exchange (ETDEWEB)

    Nurhandoko, Bagus Endar B., E-mail: bagusnur@bdg.centrin.net.id, E-mail: bagusnur@rock-fluid.com [Wave Inversion and Subsurface Fluid Imaging Research Laboratory (WISFIR), Basic Science Center A 4" t" hfloor, Physics Dept., FMIPA, Institut Teknologi Bandung (Indonesia); Rock Fluid Imaging Lab., Bandung (Indonesia); Susilowati, E-mail: bagusnur@bdg.centrin.net.id, E-mail: bagusnur@rock-fluid.com [Rock Fluid Imaging Lab., Bandung (Indonesia)

    2015-04-16

    Amplitude various offset is widely used in petroleum exploration as well as in petroleum development field. Generally, phenomenon of amplitude in various angles assumes reservoir’s layer is quite thick. It also means that the wave is assumed as a very high frequency. But, in natural condition, the seismic wave is band limited and has quite low frequency. Therefore, topic about amplitude various angles in thin layer reservoir as well as low frequency assumption is important to be considered. Thin layer reservoir means the thickness of reservoir is about or less than quarter of wavelength. In this paper, I studied about the reflection phenomena in elastic wave which considering interference from thin layer reservoir and transmission wave. I applied Zoeppritz equation for modeling reflected wave of top reservoir, reflected wave of bottom reservoir, and also transmission elastic wave of reservoir. Results show that the phenomena of AVA in thin layer reservoir are frequency dependent. Thin layer reservoir causes interference between reflected wave of top reservoir and reflected wave of bottom reservoir. These phenomena are frequently neglected, however, in real practices. Even though, the impact of inattention in interference phenomena caused by thin layer in AVA may cause inaccurate reservoir characterization. The relation between classes of AVA reservoir and reservoir’s character are different when effect of ones in thin reservoir and ones in thick reservoir are compared. In this paper, I present some AVA phenomena including its cross plot in various thin reservoir types based on some rock physics data of Indonesia.

  16. Amplitude various angles (AVA) phenomena in thin layer reservoir: Case study of various reservoirs

    International Nuclear Information System (INIS)

    thfloor, Physics Dept., FMIPA, Institut Teknologi Bandung (Indonesia); Rock Fluid Imaging Lab., Bandung (Indonesia))" data-affiliation=" (Wave Inversion and Subsurface Fluid Imaging Research Laboratory (WISFIR), Basic Science Center A 4thfloor, Physics Dept., FMIPA, Institut Teknologi Bandung (Indonesia); Rock Fluid Imaging Lab., Bandung (Indonesia))" >Nurhandoko, Bagus Endar B.; Susilowati

    2015-01-01

    Amplitude various offset is widely used in petroleum exploration as well as in petroleum development field. Generally, phenomenon of amplitude in various angles assumes reservoir’s layer is quite thick. It also means that the wave is assumed as a very high frequency. But, in natural condition, the seismic wave is band limited and has quite low frequency. Therefore, topic about amplitude various angles in thin layer reservoir as well as low frequency assumption is important to be considered. Thin layer reservoir means the thickness of reservoir is about or less than quarter of wavelength. In this paper, I studied about the reflection phenomena in elastic wave which considering interference from thin layer reservoir and transmission wave. I applied Zoeppritz equation for modeling reflected wave of top reservoir, reflected wave of bottom reservoir, and also transmission elastic wave of reservoir. Results show that the phenomena of AVA in thin layer reservoir are frequency dependent. Thin layer reservoir causes interference between reflected wave of top reservoir and reflected wave of bottom reservoir. These phenomena are frequently neglected, however, in real practices. Even though, the impact of inattention in interference phenomena caused by thin layer in AVA may cause inaccurate reservoir characterization. The relation between classes of AVA reservoir and reservoir’s character are different when effect of ones in thin reservoir and ones in thick reservoir are compared. In this paper, I present some AVA phenomena including its cross plot in various thin reservoir types based on some rock physics data of Indonesia

  17. Improved ITO thin films for photovoltaic applications with a thin ZnO layer by sputtering

    International Nuclear Information System (INIS)

    Herrero, J.; Guillen, C.

    2004-01-01

    The improvement of the optical and electrical characteristics of indium tin oxide (ITO) layers is pursued to achieve a higher efficiency in its application as frontal electrical contacts in thin film photovoltaic devices. In order to take advantage of the polycrystalline structure of ZnO films as growth support, the properties of ITO layers prepared at room temperature by sputtering onto bare and ZnO-coated substrates have been analyzed using X-ray diffraction, optical and electrical measurements. It has been found that by inserting a thin ZnO layer, the ITO film resistivity can be reduced as compared to that of a single ITO film with similar optical transmittance. The electrical quality improvement is related to ITO grain growth enhancement onto the polycrystalline ZnO underlayer

  18. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    Science.gov (United States)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  19. Sorption Behavior of Compressed CO2 and CH4 on Ultrathin Hybrid Poly(POSS-imide) Layers.

    Science.gov (United States)

    Raaijmakers, Michiel J T; Ogieglo, Wojciech; Wiese, Martin; Wessling, Matthias; Nijmeijer, Arian; Benes, Nieck E

    2015-12-09

    Sorption of compressed gases into thin polymeric films is essential for applications including gas sensors and membrane based gas separation. For glassy polymers, the sorption behavior is dependent on the nonequilibrium status of the polymer. The uptake of molecules by a polymer is generally accompanied by dilation, or swelling, of the polymer material. In turn, this dilation can result in penetrant induced plasticization and physical aging that affect the nonequilibrium status of the polymer. Here, we investigate the dilation and sorption behavior of ultrathin membrane layers of a hybrid inorganic-organic network material that consists of alternating polyhedral oligomeric silsesquioxane and imide groups, upon exposure to compressed carbon dioxide and methane. The imide precursor contains fluoroalkene groups that provide affinity toward carbon dioxide, while the octa-functionalized silsesquioxane provides a high degree of cross-linking. This combination allows for extremely high sorption capacities, while structural rearrangements of the network are hindered. We study the simultaneous uptake of gases and dilation of the thin films at high pressures using spectroscopic ellipsometry measurements. Ellipsometry provides the changes in both the refractive index and the film thickness, and allows for accurate quantification of sorption and swelling. In contrast, gravimetric and volumetric measurements only provide a single parameter; this does not allow an accurate correction for, for instance, the changes in buoyancy because of the extensive geometrical changes of highly swelling films. The sorption behavior of the ultrathin hybrid layers depends on the fluoroalkene group content. At low pressure, the apparent molar volume of the gases is low compared to the liquid molar volume of carbon dioxide and methane, respectively. At high gas concentrations in the polymer film, the apparent molar volume of carbon dioxide and methane exceeds that of the liquid molar volume, and

  20. Diblock Copolymer/Layered Silicate Nanocomposite Thin Film Stability

    Science.gov (United States)

    Limary, Ratchana; Green, Peter

    2000-03-01

    The stability of thin film symmetric diblock copolymers blended with layered silicate nanocomposites were examined using a combination of optical microscopy, atomic force microscopy (AFM), and X-ray diffraction (XRD). Two cases were examined PS-b-PMMA (polystyrene-b-polymethylacrylate) blended with montmorillonite stoichiometrically loaded with alkyl ammonium ions, OLS(S), and PS-b-PMMA blended with montmorillonite loaded with excess alkyl ammonium ions, OLS(E). XRD spectra show an increase in the gallery spacing of the OLSs, indicating that the copolymer chains have intercalated the layered silicates. AFM images reveal a distinct difference between the two nanocomposite thin films: regions in the vicinity of OLS(S) aggregates were depleted of material, while in the vicinity of OLS(E) aggregates, dewetting of the substrate occurred. We show that the stability of the copolymer/OLS nanocomposite films is determined by the enthalpic driving force associated with intercalation of the copolymer chains into the galleries of the modified OLS layers and by the substrate/organic modifier interactions.

  1. Nitro Stretch Probing of a Single Molecular Layer to Monitor Shock Compression with Picosecond Time-Resolution

    Science.gov (United States)

    Berg, Christopher; Lagutchev, Alexei; Fu, Yuanxi; Dlott, Dana

    2011-06-01

    To obtain maximum possible temporal resolution, laser-driven shock compression of a molecular monolayer was studied using vibrational spectroscopy. The stretching transitions of nitro groups bound to aromatic rings was monitored using a nonlinear coherent infrared spectroscopy termed sum-frequency generation, which produced high-quality signals from this very thin layer. To overcome the shock opacity problem, a novel polymer overcoat method allowed us to make the observation window (witness plate) a few micrometers thick. The high signal-to-noise ratios (>100:1) obtained via this spectroscopy allowed us to study detailed behavior of the shocked molecules. To help interpret these vibrational spectra, additional spectra were obtained under conditions of static pressures up to 10 GPa and static temperatures up to 1000 C. Consequently, this experiment represents a significant step in resolving molecular dynamics during shock compression and unloading with both high spatial and temporal resolution. Supported by the Stewardship Sciences Academic Alliance Program from the Carnegie-DOE Alliance Center under grant number DOE CIW 4-3253-13 and the US Air Force Office of Scientific Research under award number FAA9550-09-1-0163.

  2. Thin-layer electrochemistry of ferrocenylbenzene derivatives: Intramolecular electronic communication

    International Nuclear Information System (INIS)

    Wang, Michael C.P.; Li Yunchao; Merbouh, Nabyl; Yu, Hua-Zhong

    2008-01-01

    Three arylferrocene derivatives, ferrocenylbenzene (MFcB), 1,3-diferrocenylbenzene (DFcB), and 1,3,5-triferrocenylbenzene (TFcB), were prepared and their redox properties systematically explored by thin-layer cyclic voltammetry (CV) and differential-pulse voltammetry (DPV). In contrast to conventional CV measurements that produced only a single pair of redox waves for all three compounds, the thin-layer technique discriminated between the multistep electron-transfer processes of DFcB and TFcB. In particular, two and three pairs of symmetric peaks were observed, respectively, when CV curves were recorded at a graphite electrode coated with a DFcB-containing and a TFcB-containing thin film of nitrobenzene and immersed in aqueous sodium perchlorate solution. These results demonstrate that the ferrocenyl moieties attached to the meta-positions of a benzene ring communicate electronically with each other, as a result of their distinct face-to-face orientations

  3. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  4. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  5. Compressible stability of growing boundary layers using parabolized stability equations

    Science.gov (United States)

    Chang, Chau-Lyan; Malik, Mujeeb R.; Erlebacher, Gordon; Hussaini, M. Y.

    1991-01-01

    The parabolized stability equation (PSE) approach is employed to study linear and nonlinear compressible stability with an eye to providing a capability for boundary-layer transition prediction in both 'quiet' and 'disturbed' environments. The governing compressible stability equations are solved by a rational parabolizing approximation in the streamwise direction. Nonparallel flow effects are studied for both the first- and second-mode disturbances. For oblique waves of the first-mode type, the departure from the parallel results is more pronounced as compared to that for the two-dimensional waves. Results for the Mach 4.5 case show that flow nonparallelism has more influence on the first mode than on the second. The disturbance growth rate is shown to be a strong function of the wall-normal distance due to either flow nonparallelism or nonlinear interactions. The subharmonic and fundamental types of breakdown are found to be similar to the ones in incompressible boundary layers.

  6. Outdoor open thin-layer microalgal photobioreactor: potential productivity

    Czech Academy of Sciences Publication Activity Database

    Doucha, Jiří; Lívanský, Karel

    2009-01-01

    Roč. 21, č. 1 (2009), s. 111-117 ISSN 0921-8971 Institutional research plan: CEZ:AV0Z50200510 Keywords : productivity * photobioreactor * thin layer Subject RIV: EE - Microbiology, Virology Impact factor: 1.018, year: 2009

  7. Evolution of normal stress and surface roughness in buckled thin films

    NARCIS (Netherlands)

    Palasantzas, G; De Hosson, JTM

    2003-01-01

    In this work we investigate buckling of compressed elastic thin films, which are bonded onto a viscous layer of finite thickness. It is found that the normal stress exerted by the viscous layer on the elastic film evolves with time showing a minimum at early buckling stages, while it increases at

  8. Fabrication of Crack-Free Barium Titanate Thin Film with High Dielectric Constant Using Sub-Micrometric Scale Layer-by-Layer E-Jet Deposition

    Directory of Open Access Journals (Sweden)

    Junsheng Liang

    2016-01-01

    Full Text Available Dense and crack-free barium titanate (BaTiO3, BTO thin films with a thickness of less than 4 μm were prepared by using sub-micrometric scale, layer-by-layer electrohydrodynamic jet (E-jet deposition of the suspension ink which is composed of BTO nanopowder and BTO sol. Impacts of the jet height and line-to-line pitch of the deposition on the micro-structure of BTO thin films were investigated. Results show that crack-free BTO thin films can be prepared with 4 mm jet height and 300 μm line-to-line pitch in this work. Dielectric constant of the prepared BTO thin film was recorded as high as 2940 at 1 kHz at room temperature. Meanwhile, low dissipation factor of the BTO thin film of about 8.6% at 1 kHz was also obtained. The layer-by-layer E-jet deposition technique developed in this work has been proved to be a cost-effective, flexible and easy to control approach for the preparation of high-quality solid thin film.

  9. Layer-by-layer thinning of MoSe_2 by soft and reactive plasma etching

    International Nuclear Information System (INIS)

    Sha, Yunfei; Xiao, Shaoqing; Zhang, Xiumei; Qin, Fang; Gu, Xiaofeng

    2017-01-01

    Highlights: • Soft plasma etching technique using SF_6 + N_2 as precursors for layer-by-layer thinning of MoSe_2 was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe_2 were also demonstrated. • Equal numbers of MoSe_2 layers can be removed uniformly without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe_2) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe_2 can be changed from the indirect band gap to the direct band gap when MoSe_2 changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe_2 layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe_2 nanaosheets down to monolayer by using SF_6 + N_2 plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe_2 layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. By adjusting the etching rates we can achieve complete MoSe_2 removal and any disired number of MoSe_2 layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  10. Thin hybrid pixel assembly fabrication development with backside compensation layer

    Energy Technology Data Exchange (ETDEWEB)

    Bates, R., E-mail: richard.bates@glasgow.ac.uk [Experimental Particle Physics Group, SUPA School of Physics and Astronomy, The University of Glasgow, Glasgow G12 8QQ (United Kingdom); Buttar, C.; McMullen, T.; Cunningham, L.; Ashby, J.; Doherty, F. [Experimental Particle Physics Group, SUPA School of Physics and Astronomy, The University of Glasgow, Glasgow G12 8QQ (United Kingdom); Pares, G.; Vignoud, L.; Kholti, B. [CEA Leti, MINATEC, 17 rue des Martyrs, F38054, Grenoble (France); Vahanen, S. [Advacam Oy, Tietotie 3, 02150 Espoo (Finland)

    2017-02-11

    The ATLAS and CMS experiments will both replace their entire tracking systems for operation at the HL-LHC in 2026. This will include a significantly larger pixel systems, for example, for ATLAS approximately 15 m{sup 2}. To keep the tracker material budget low it is crucial to minimize the mass of the pixel modules via thinning both the sensor and readout chip to about 150 μm each. The bump yield of thin module assemblies using solder based bump bonding can be problematic due to wafer bowing during solder reflow at high temperature. A new bump-bonding process using backside compensation on the readout chip to address the issue of low yield will be presented. The objective is to compensate dynamically the stress of the front side stack by adding a compensating layer to the backside of the wafer. A SiN and Al:Si stack has been chosen for the backside layer. The bow reducing effect of applying a backside compensation layer will be demonstrated using the FE-I4 wafer. The world's first results from assemblies produced from readout wafers thinned to 100 μm with a stress compensation layer are presented with bond yields close to 100% measured using the FE-I4 readout chip.

  11. Evaluation of Two Compressed Air Foam Systems for Culling Caged Layer Hens

    Science.gov (United States)

    Weiher, Jaclyn A.; Alphin, Robert L.; Hougentogler, Daniel P.

    2018-01-01

    Simple Summary Control of avian influenza and similar diseases in commercial poultry operations is challenging; the six major steps are surveillance, biosecurity, quarantine, depopulation, disposal, and cleaning and disinfection. Depopulation is used to cull animals that are terminally ill and to reduce the number of animals that can spread an untreatable disease. Water-based foam depopulation was used effectively during the 2014–2015 highly pathogenic avian influenza outbreak in the United States. Water-based foam, however, cannot be used effectively in caged poultry operations. Compressed air foam systems were initially developed for structural fire-fighting and, with modifications, can provide the conditions required to effectively penetrate a poultry cage and provide sufficient residence time for depopulation. In this experiment, compressed air foam was used to depopulate caged layer hens. Compressed air foam resulted in faster unconsciousness than carbon dioxide gassing. The experiment demonstrated that compressed air foam systems have promise for depopulating birds raised in cages. Abstract Outbreaks of avian influenza (AI) and other highly contagious poultry diseases continue to be a concern for those involved in the poultry industry. In the situation of an outbreak, emergency depopulation of the birds involved is necessary. In this project, two compressed air foam systems (CAFS) were evaluated for mass emergency depopulation of layer hens in a manure belt equipped cage system. In both experiments, a randomized block design was used with multiple commercial layer hens treated with one of three randomly selected depopulation methods: CAFS, CAFS with CO2 gas, and CO2 gas. In Experiment 1, a Rowe manufactured CAFS was used, a selection of birds were instrumented, and the time to unconsciousness, brain death, altered terminal cardiac activity and motion cessation were recorded. CAFS with and without CO2 was faster to unconsciousness, however, the other

  12. Propagation of edge waves in a thinly layered laminated medium with stress couples under initial stresses

    Directory of Open Access Journals (Sweden)

    Pijush Pal Roy

    1987-01-01

    Full Text Available The propagation of edge waves in a thinly layered laminated medium with stress couples under initial stresses is examined. Based upon an approximate representation of a laminated medium by an equivalent anisotropic continuum with average initial and couple stresses, an explicit form of frequency equation is obtained to derive the phase velocity of edge waves. Edge waves exist under certain conditions. The inclusion of couple stresses increases the velocity of wave propagation. For a specific compression, the presence of couple stresses increases the velocity of wave propagation with the increase of wave number, whereas the reverse is the case when there is no couple stress. Numerical computation is performed with graphical representations. Several special cases are also examined.

  13. Thin-layer chromatography can resolve phosphotyrosine, phosphoserine, and phosphothreonine in a protein hydrolyzate

    International Nuclear Information System (INIS)

    Neufeld, E.; Goren, H.J.; Boland, D.

    1989-01-01

    A solution of propionic acid, 1 M ammonium hydroxide, and isopropyl alcohol (45/17.5/17.5, v/v) was the ascending solvent in the separation of phosphotyrosine, phosphothreonine, and phosphoserine by thin-layer chromatography. The immobile phase was cellulose. The relative migrations were 0.44, 0.38, and 0.2, respectively. A previously described thin-layer system consisting of isobutyric acid and 0.5 M ammonium hydroxide (50/30, v/v) gave very similar relative migrations. To determine the usefulness of thin-layer chromatography in phosphoamino acid analysis, the propionic acid/ammonium hydroxide/isopropyl alcohol solution was used to characterize phosphorylated residues in a plasma membrane protein which is a substrate for the insulin receptor kinase, in insulin receptor phosphorylated histone H2B, and in an in vivo phosphorylated 90000-Da protein from IM9 cells. 32 P-labeled proteins were separated by dodecyl sulfate-gel electrophoresis, digested with trypsin, and then hydrolyzed with 6 N HCl, 2 h, 110 degrees C. Following thin-layer chromatography of the hydrolyzates and autoradiography, phosphotyrosine was detected in insulin receptor substrates, and phosphoserine and phosphothreonine were found in the in vivo-phosphorylated protein. This study supports previous reports about the practicality of thin-layer chromatography in phosphoamino acid analysis and it demonstrates that a propionic acid, ammonium hydroxide, isoprophyl alcohol solution may be a useful ascending solvent mixture for this purpose

  14. On compressible and piezo-viscous flow in thin porous media.

    Science.gov (United States)

    Pérez-Ràfols, F; Wall, P; Almqvist, A

    2018-01-01

    In this paper, we study flow through thin porous media as in, e.g. seals or fractures. It is often useful to know the permeability of such systems. In the context of incompressible and iso-viscous fluids, the permeability is the constant of proportionality relating the total flow through the media to the pressure drop. In this work, we show that it is also relevant to define a constant permeability when compressible and/or piezo-viscous fluids are considered. More precisely, we show that the corresponding nonlinear equation describing the flow of any compressible and piezo-viscous fluid can be transformed into a single linear equation. Indeed, this linear equation is the same as the one describing the flow of an incompressible and iso-viscous fluid. By this transformation, the total flow can be expressed as the product of the permeability and a nonlinear function of pressure, which represents a generalized pressure drop.

  15. (AJST) THIN- LAYER DRYING OF DICED CASSAVA ROOTS

    African Journals Online (AJOL)

    opiyo

    effect of drying temperature on thin-layer drying was high, followed by initial moisture .... The moisture content was converted to moisture ratio (MR) using the non-exponential part .... The Potential of Cassava As a Cash. Crop For Small Holder ...

  16. Thin layer activation and ultra thin layer activation: two complementary techniques for wear and corrosion studies in various fields

    International Nuclear Information System (INIS)

    Sauvage, T.; Vincent, L.; Blondiaux, G.

    2002-01-01

    Thin layer activation (TLA) is widely used since more than 25 years to study surface wear or corrosion. This well known technique uses most of the time charged particles activation, which gives sensitivity in the range of the micrometer, except when the fluid mode of detection is utilized. In this case application of the method is limited to phenomena where we have transport of radioactive fragments to detection point. The main disadvantage of this procedure is the error due to trapping phenomena between the wear or corrosion point and detection setup. So the ultra thin layer activation (UTLA) has been developed to get nanometric sensitivity without using any fluid for radioactivity transportation, which is the main source of error of the TLA technique. In this paper we shall briefly describe the TLA technique and the most important fields of application. Then we shall emphasise on UTLA with a presentation of the principle of the method and actual running of application. The main problem concerning UTLA is calibration which requires the use of thin films (usually 10 to 100 nanometers) deposited on substrate. This process is time consuming and we shall demonstrate how running software developed in the lab can solve it. We shall finish the presentation by giving some potential application of the technique in various fields. (authors)

  17. The influence of compressibility on nonlinear spectral energy transfer - Part 2: Effect on hypersonic boundary layer transition

    Science.gov (United States)

    Mittal, Ankita; Girimaji, Sharath

    2017-11-01

    We examine the effect of compressible spectral energy transfer in the nonlinear regime of transition to turbulence of hypersonic boundary layers. The nature of spectral energy transfer between perturbation modes is profoundly influenced by two compressibility mechanisms. First and foremost, the emergence of nonlinear pressure-dilatation mechanism leads to kinetic-internal energy exchange within the perturbation field. Such interchange is absent in incompressible flow as pressure merely reorients the perturbation amplitude vector while conserving kinetic energy. Secondly, the nature of triadic interactions also changes due to variability in density. In this work, we demonstrate that the efficiency of nonlinear spectral energy transfer is diminished in compressible boundary layers. Emergence of new perturbation modes or `broad-banding' of the perturbation field is significantly delayed in comparison to incompressible boundary layer undergoing transition. A significant amount of perturbation energy is transformed to internal energy and thus unavailable for `tripping' the flow into turbulent state. These factors profoundly change the nature of the nonlinear stage of transition in compressible boundary layer leading to delayed onset of full-fledged turbulence.

  18. The effect of Cr buffer layer thickness on voltage generation of thin-film thermoelectric modules

    International Nuclear Information System (INIS)

    Mizoshiri, Mizue; Mikami, Masashi; Ozaki, Kimihiro

    2013-01-01

    The effect of Cr buffer layer thickness on the open-circuit voltage generated by thin-film thermoelectric modules of Bi 0.5 Sb 1.5 Te 3 (p-type) and Bi 2 Te 2.7 Se 0.3 (n-type) materials was investigated. A Cr buffer layer, whose thickness generally needs to be optimized to improve adhesion depending on the substrate surface condition, such as roughness, was deposited between thermoelectric thin films and glass substrates. When the Cr buffer layer was 1 nm thick, the Seebeck coefficients and electrical conductivity of 1 µm thermoelectric thin films with the buffer layers were approximately equal to those of the thermoelectric films without the buffer layers. When the thickness of the Cr buffer layer was 1 µm, the same as the thermoelectric films, the Seebeck coefficients of the bilayer films were reduced by an electrical current flowing inside the Cr buffer layer and the generation of Cr 2 Te 3 . The open-circuit voltage of the thin-film thermoelectric modules decreased with an increase in the thickness of the Cr buffer layer, which was primarily induced by the electrical current flow. The reduction caused by the Cr 2 Te 3 generation was less than 10% of the total voltage generation of the modules without the Cr buffer layers. The voltage generation of thin-film thermoelectric modules could be controlled by the Cr buffer layer thickness. (paper)

  19. Optical coherence tomography detection of characteristic retinal nerve fiber layer thinning in nasal hypoplasia of the optic disc.

    Science.gov (United States)

    Haruta, M; Kodama, R; Yamakawa, R

    2017-12-01

    PurposeTo determine the clinical usefulness of optical coherence tomography (OCT) for detecting thinning of the retinal nerve fiber layer (RNFL) in eyes with nasal hypoplasia of the optic discs (NHOD).Patients and methodsThe medical records of five patients (eight eyes) with NHOD were reviewed. The ratio of the disc-macula distance to the disc diameter (DM/DD) and the disc ovality ratio of the minimal to maximal DD were assessed using fundus photographs. The RNFL thicknesses of the temporal, superior, nasal, and inferior quadrants were evaluated using OCT quadrant maps.ResultsAll eight eyes had temporal visual field defects that respected the vertical meridians that needed to be differentiated from those related to chiasmal compression. The mean DM/DD ratio was 3.1 and the mean disc ovality ratio was 0.81. The mean RNFL thicknesses of the temporal, superior, nasal, and inferior quadrants were 90.3, 103.1, 34.8, and 112.8 microns, respectively.ConclusionSmall optic discs and tilted discs might be associated with NHOD. Measurement of the RNFL thickness around the optic disc using OCT scans clearly visualized the characteristic RNFL thinning of the nasal quadrants corresponding to the temporal sector visual field defects in eyes with NHOD. OCT confirmed the presence of NHOD and might differentiate eyes with NHOD from those with chiasmal compression.

  20. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  1. A simplified computing method of pile group to seismic loads using thin layer element

    International Nuclear Information System (INIS)

    Masao, T.; Hama, I.

    1995-01-01

    In the calculation of pile group, it is said that the results of response by thin layer method give the correct solution with the isotropic and homogeneous soil material in each layer, on the other hand this procedure spends huge computing time. Dynamic stiffness matrix of thin layer method is obtained from inversion of flexibility matrix between pile-i and pile-j. This flexibility matrix is full matrix and its size increase in proportion to the number of piles and thin layers. The greater part of run time is taken into the inversion of flexibility matrix against point loading. We propose the method of decreasing the run time for computing by reducing to banded matrix of flexibility matrix. (author)

  2. Stability characteristics of compressible boundary layers over thermo-mechanically compliant walls

    Science.gov (United States)

    Dettenrieder, Fabian; Bodony, Daniel

    2017-11-01

    Transition prediction at hypersonic flight conditions continues to be a challenge and results in conservative safety factors that increase vehicle weight. The weight and thus cost reduction of the outer skin panels promises significant impact; however, fluid-structure interaction due to unsteady perturbations in the laminar boundary layer regime has not been systematically studied at conditions relevant for reusable, hypersonic flight. In this talk, we develop and apply convective and global stability analyses for compressible boundary layers over thermo-mechanically compliant panels. This compliance is shown to change the convective stability of the boundary layer modes, with both stabilization and destabilization observed. Finite panel lengths are shown to affect the global stability properties of the boundary layer.

  3. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  4. Mathematical modelling of thin layer drying of pear

    Directory of Open Access Journals (Sweden)

    Lutovska Monika

    2016-01-01

    Full Text Available In this study, a thin - layer drying of pear slices as a function of drying conditions were examined. The experimental data set of thin - layer drying kinetics at five drying air temperatures 30, 40, 50, 60 and 70°C, and three drying air velocities 1, 1.5 and 2 m s-1 were obtained on the experimental setup, designed to imitate industrial convective dryer. Five well known thin - layer drying models from scientific literature were used to approximate the experimental data in terms of moisture ratio. In order to find which model gives the best results, numerical experiments were made. For each model and data set, the statistical performance index, (φ, and chi-squared, (χ2, value were calculated and models were ranked afterwards. The performed statistical analysis shows that the model of Midilli gives the best statistical results. Because the effect of drying air temperature and drying air velocity on the empirical parameters was not included in the base Midilli model, in this study the generalized form of this model was developed. With this model, the drying kinetic data of pear slices can be approximated with high accuracy. The effective moisture diffusivity was determined by using Fick’s second laws. The obtained values of the effective moisture diffusivity, (Deff, during drying ranged between 6.49 x 10-9 and 3.29 x 10-8 m2 s-1, while the values of activation energy (E0 varied between 28.15 to 30.51 kJ mol-1.

  5. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  6. Highway pavement performance test for colored thin anti-skidding layers

    Science.gov (United States)

    Gao, Wei; Cui, Wei; Xu, Ming

    2018-03-01

    Based on the actual service condition of highway pavement colored thin anti-skidding layers, with materials of color quartz sand and two-component acrylic resin as basis, we designed such tests as the bond strength, shearing strength, tear strength, fatigue performance and aggregate polished value, and included the freeze-thaw cycle and de-icing salt and other factors in the experiment, connecting with the climate characteristics of circumpolar latitude and low altitude in Heilongjiang province. Through the pavement performance test, it is confirmed that the colored thin anti-skidding layers can adapt to cold and humid climate conditions, and its physical mechanical properties are good.

  7. Sorption and movement of pesticides on thin layer plates of Brazilain soils

    International Nuclear Information System (INIS)

    Lord, K.A.; Helene, C.G.; Andrea, M.M. de; Ruegg, E.F.

    1979-01-01

    The sorption from aqueous solution, and movement in water on thin layers plates of 7 soils of 3 organochlorine, 2 organophosphorus and 1 carbamate insecticide was determined in the laboratory. Generally, all substances were sorbed most and moved least on soils richest in organic matter. However, sorption was not a function of organic matter content alone. Aldrin and DDT were most strongly sorbed and did not move from the point of application on the thin layer plates of any soil. On all 7 soils, carbaryl was the least strongly sorbed insecticide. On 5 soils, lindane, parathion and malathion were increasingly strongly sorbed, but on the other 2 soils lindane was mostly strongly sorbed. The apparent greater mobility of 14 C-labelled malathion on thin layers of soils repeatedly leached could be explained by the formation of more polar substances. (author) [pt

  8. Thin-layer chromatography of radioactively labelled cholesterol and precursors from biological material

    International Nuclear Information System (INIS)

    Pill, J.; Aufenanger, J.; Stegmeier, K.; Schmidt, F.H.; Mueller, D.; Boehringer Mannheim G.m.b.H.

    1987-01-01

    The investigation methods of the action of xenobiotics on sterol biosynthesis from 14 C-acetate in rat hepatocyte cultures can be developed, with regard to extraction using Extrelut and the separation of the sterol pattern by thin-layer chromatography, in such a way that they are suitable for wider application, e.g., screening. Good visualisation and recognition of changes in the sterol pattern are possible using autoradiography of the thin-layer chromatogram. (orig.)

  9. Studies of a new multi-layer compression bandage for the treatment of venous ulceration.

    Science.gov (United States)

    Scriven, J M; Bello, M; Taylor, L E; Wood, A J; London, N J

    2000-03-01

    This study aimed to develop an alternative graduated compression bandage for the treatment of venous leg ulcers. Alternative bandage components were identified and assessed for optimal performance as a graduated multi-layer compression bandage. Subsequently the physical characteristics and clinical efficacy of the optimal bandage combination was prospectively examined. Ten healthy limbs were used to develop the optimal combination and 20 limbs with venous ulceration to compare the physical properties of the two bandage types. Subsequently 42 consecutive ulcerated limbs were prospectively treated to examine the efficacy of the new bandage combination. The new combination produced graduated median (range) sub-bandage pressures (mmHg) as follows: ankle 59 (42-100), calf 36 (27-67) and knee 35 (16-67). Over a seven-day period this combination maintained a comparable level of compression with the Charing Cross system, and achieved an overall healing rate at one year of 88%. The described combination should be brought to the attention of healthcare professionals treating venous ulcers as a possible alternative to other forms of multi-layer graduated compression bandages pending prospective, randomised clinical trials.

  10. Electroresistance Effect in Gold Thin Film Induced by Ionic-Liquid-Gated Electric Double Layer

    NARCIS (Netherlands)

    Nakayama, Hiroyasu; Ye, Jianting; Ohtani, Takashi; Fujikawa, Yasunori; Ando, Kazuya; Iwasa, Yoshihiro; Saitoh, Eiji

    Electroresistance effect was detected in a metallic thin film using ionic-liquid-gated electric-double-layer transistors (EDLTs). We observed reversible modulation of the electric resistance of a Au thin film. In this system, we found that an electric double layer works as a nanogap capacitor with

  11. Nonequilibrium temperatures and second-sound propagation along nanowires and thin layers

    International Nuclear Information System (INIS)

    Jou, D.; Cimmelli, V.A.; Sellitto, A.

    2009-01-01

    It is shown that the dispersion relation of heat waves along nanowires or thin layers could allow to compare two different definitions of nonequilibrium temperature, since thermal waves are predicted to propagate with different phase speed depending on the definition of nonequilibrium temperature being used. The difference is small, but it could be in principle measurable in nanosystems, as for instance nanowires and thin layers, in a given frequency range. Such an experiment could provide a deeper view on the problem of the definition of temperature in nonequilibrium situations.

  12. Evaluation of a thin-layer chromatographic technique for ...

    African Journals Online (AJOL)

    Methanol extracts of both fistula and bush samples were prepared and analysed by thin-layer chromatography. Chromatoplates, when visualised under ultraviolet light, revealed a number of fluorescent compounds, some of which were common in both the fistula and bush sample extracts. By comparing the presence of ...

  13. Thin Cell Layer technology in ornamental plant micropropagation ...

    African Journals Online (AJOL)

    Thin cell layer (TCL) technology originated almost 30 years ago with the controlled development of flowers, roots, shoots and somatic embryos on tobacco pedicel longitudinal TCLs. Since then TCLs have been successfully used in the micropropagation of many ornamental plant species whose previous in vitro ...

  14. Compressive flow behavior of Cu thin films and Cu/Nb multilayers containing nanometer-scale helium bubbles

    International Nuclear Information System (INIS)

    Li, N.; Mara, N.A.; Wang, Y.Q.; Nastasi, M.; Misra, A.

    2011-01-01

    Research highlights: → Firstly micro-pillar compression technique has been used to measure the implanted metal films. → The magnitude of radiation hardening decreased with decreasing layer thickness. → When thickness decreases to 2.5 nm, no hardening and no loss in deformability after implantation. -- Focused-ion-beam machined compression specimens were used to investigate the effect of nanometer-scale helium bubbles on the strength and deformability of sputter-deposited Cu and Cu/Nb multilayers with different layer thickness. The flow strength of Cu films increased by more than a factor of 2 due to helium bubbles but in multilayers, the magnitude of radiation hardening decreased with decreasing layer thickness. When the layer thickness decreases to 2.5 nm, insignificant hardening and no measurable loss in deformability is observed after implantation.

  15. Search for Rayleigh-Taylor instability in laser irradiated layered thin foil targets

    International Nuclear Information System (INIS)

    Kilkenny, J.D.; Hares, J.D.; Rumsby, P.T.

    1980-01-01

    An experiment to measure the Rayleigh-Taylor instability at the vacuum-ablation surface of laser irradiated layered targets by time resolved x-ray spectroscopy is described. The time taken to burn through a layer of material is measured to be the same for massive targets as for thin foil accelerating targets. It is inferred that the thin foil targets might be Rayleigh-Taylor stable despite the values of γtauapproximately equal to15 calculated from classical theory. (author)

  16. On the wall-normal velocity of the compressible boundary-layer equations

    Science.gov (United States)

    Pruett, C. David

    1991-01-01

    Numerical methods for the compressible boundary-layer equations are facilitated by transformation from the physical (x,y) plane to a computational (xi,eta) plane in which the evolution of the flow is 'slow' in the time-like xi direction. The commonly used Levy-Lees transformation results in a computationally well-behaved problem for a wide class of non-similar boundary-layer flows, but it complicates interpretation of the solution in physical space. Specifically, the transformation is inherently nonlinear, and the physical wall-normal velocity is transformed out of the problem and is not readily recovered. In light of recent research which shows mean-flow non-parallelism to significantly influence the stability of high-speed compressible flows, the contribution of the wall-normal velocity in the analysis of stability should not be routinely neglected. Conventional methods extract the wall-normal velocity in physical space from the continuity equation, using finite-difference techniques and interpolation procedures. The present spectrally-accurate method extracts the wall-normal velocity directly from the transformation itself, without interpolation, leaving the continuity equation free as a check on the quality of the solution. The present method for recovering wall-normal velocity, when used in conjunction with a highly-accurate spectral collocation method for solving the compressible boundary-layer equations, results in a discrete solution which is extraordinarily smooth and accurate, and which satisfies the continuity equation nearly to machine precision. These qualities make the method well suited to the computation of the non-parallel mean flows needed by spatial direct numerical simulations (DNS) and parabolized stability equation (PSE) approaches to the analysis of stability.

  17. An experimental study of the effects of bodyside compression on forward swept sidewall compression inlets ingesting a turbulent boundary layer

    Science.gov (United States)

    Rodi, Patrick E.

    1993-01-01

    Forward swept sidewall compression inlets have been tested in the Mach 4 Blowdown Facility at the NASA Langley Research Center to study the effects of bodyside compression surfaces on inlet performance in the presence of an incoming turbulent boundary layer. The measurements include mass flow capture and mean surface pressure distributions obtained during simulated combustion pressure increases downstream of the inlet. The kerosene-lampblack surface tracer technique has been used to obtain patterns of the local wall shear stress direction. Inlet performance is evaluated using starting and unstarting characteristics, mass capture, mean surface pressure distributions and permissible back pressure limits. The results indicate that inlet performance can be improved with selected bodyside compression surfaces placed between the inlet sidewalls.

  18. Whole field strain measurement in critical thin adhesive layer of single- and double-sided repaired CFRP panel using DIC

    Science.gov (United States)

    Kashfuddoja, Mohammad; Ramji, M.

    2015-03-01

    In the present work, the behavior of thin adhesively layer in patch repaired carbon fiber reinforced polymer (CFRP) panel under tensile load is investigated experimentally using digital image correlation (DIC) technique. The panel is made of Carbon/epoxy composite laminate and the stacking sequence in the panel is [0º]4. A circular hole of 10 mm diameter (d) is drilled at the center of the panel to mimic the case of low velocity impact damage removal. The panel with open hole is repaired with double sided (symmetrical) and single sided (unsymmetrical) rectangular patch made of same panel material having stacking sequence of [0º]3. Araldite 2011 is used for bonding the patch onto the panel over the damaged area. The global behavior of thin adhesive layer is examined by analyzing whole field strain distribution using DIC. Longitudinal, peel and shear strain field in both double and single sided repair configuration is studied and a compression is made between them. An estimate of shear transfer length which is an essential parameter in arriving at an appropriate overlap length in patch design is proposed from DIC and FEA. Damage development, failure mechanism and load displacement behavior is also investigated. The experimental results are compared with the numerical predictions.

  19. Ultra-thin, single-layer polarization rotator

    Directory of Open Access Journals (Sweden)

    T. V. Son

    2016-08-01

    Full Text Available We demonstrate light polarization control over a broad spectral range by a uniform layer of vanadium dioxide as it undergoes a phase transition from insulator to metal. Changes in refractive indices create unequal phase shifts on s- and p-polarization components of incident light, and rotation of linear polarization shows intensity modulation by a factor of 103 when transmitted through polarizers. This makes possible polarization rotation devices as thin as 50 nm that would be activated thermally, optically or electrically.

  20. Thin Layer Drying Kinetics of Pineapple: Effect of Blanching ...

    African Journals Online (AJOL)

    Four thin-layer drying models were fitted to the experimental drying data. The .... MATLAB software package (version 6.5). The correlation ... to evaluate the goodness of fit of the simulation ... during the oven-drying process of pineapple slices.

  1. Transparent thin-film transistor exploratory development via sequential layer deposition and thermal annealing

    International Nuclear Information System (INIS)

    Hong, David; Chiang, Hai Q.; Presley, Rick E.; Dehuff, Nicole L.; Bender, Jeffrey P.; Park, Cheol-Hee; Wager, John F.; Keszler, Douglas A.

    2006-01-01

    A novel deposition methodology is employed for exploratory development of a class of high-performance transparent thin-film transistor (TTFT) channel materials involving oxides composed of heavy-metal cations with (n - 1)d 10 ns 0 (n ≥ 4) electronic configurations. The method involves sequential radio-frequency sputter deposition of thin, single cation oxide layers and subsequent post-deposition annealing in order to obtain a multi-component oxide thin film. The viability of this rapid materials development methodology is demonstrated through the realization of high-performance TTFTs with channel layers composed of zinc oxide/tin oxide, and tin oxide/indium oxide

  2. Loading Effects on Resolution in Thin Layer Chromatography and ...

    Indian Academy of Sciences (India)

    Home; Journals; Resonance – Journal of Science Education; Volume 10; Issue 11. Loading Effects on Resolution in Thin Layer Chromatography and Paper Chromatography. K Girigowda V H Mulimani. Classroom Volume 10 Issue 11 November 2005 pp 79-84 ...

  3. Wear resistant PTFE thin film enabled by a polydopamine adhesive layer

    International Nuclear Information System (INIS)

    Beckford, Samuel; Zou, Min

    2014-01-01

    The influence of a polydopamine (PDA) adhesive layer on the friction and wear resistance of polytetrafluoroethylene (PTFE) thin films coated on stainless steel was investigated. The friction and wear tests were carried out using a ball on flat configuration under a normal load of 50 g, sliding speed of 2.5 mm/s, and stroke length of 15 mm. It is found that the PDA/PTFE film is able to withstand approximately 500 times more rubbing cycles than the PTFE film alone. X-ray photoelectron spectroscopy (XPS) results show that a tenacious layer of PTFE remains adhered to the PDA layer, which enables the durability of the PDA/PTFE film. Because of the relatively low thickness of the film, PDA/PTFE shows great potential for use in applications where durable, thin films are desirable

  4. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  5. Perpendicular magnetic tunnel junction with thin CoFeB/Ta/Co/Pd/Co reference layer

    Energy Technology Data Exchange (ETDEWEB)

    Gan, Huadong, E-mail: huadong@avalanche-technology.com; Malmhall, Roger; Wang, Zihui; Yen, Bing K; Zhang, Jing; Wang, Xiaobin; Zhou, Yuchen; Hao, Xiaojie; Jung, Dongha; Satoh, Kimihiro; Huai, Yiming [Avalanche Technology, 46600 Landing Parkway, Fremont, California 94538 (United States)

    2014-11-10

    Integration of high density spin transfer torque magnetoresistance random access memory requires a thin stack (less than 15 nm) of perpendicular magnetic tunnel junction (p-MTJ). We propose an innovative approach to solve this challenging problem by reducing the thickness and/or moment of the reference layer. A thin reference layer structure of CoFeB/Ta/Co/Pd/Co has 60% magnetic moment of the conventional thick structure including [Co/Pd] multilayers. We demonstrate that the perpendicular magnetization of the CoFeB/Ta/Co/Pd/Co structure can be realized by anti-ferromagnetically coupling to a pinned layer with strong perpendicular anisotropy via Ruderman-Kittel-Kasuya-Yosida exchange interaction. The pMTJ with thin CoFeB/Ta/Co/Pd/Co reference layer has a comparable TMR ratio (near 80%) as that with thick reference layer after annealing at 280 °C. The pMTJ with thin reference layer has a total thickness less than 15 nm, thereby significantly increasing the etching margin required for integration of high density pMTJ array on wafers with form factor of 300 mm and beyond.

  6. Use of a wedge cuvette in thin layer photometry and its application to oximetry

    NARCIS (Netherlands)

    Spaan, J. A.; Garred, L. J.; van de Borne, P.

    1977-01-01

    A wedge cuvette was constructed by fixing 2 glass plates at a known angle with a spacer at one end. This resulted in a thin layer with thickness varying from 0 to 250 micrometer. By measuring the intensity of a beam of light through the thin layer as a function of distance along the wedge (and thus

  7. Compressibility effect on thermal coherent structures in spatially-developing turbulent boundary layers via DNS

    Science.gov (United States)

    Araya, Guillermo; Jansen, Kenneth

    2017-11-01

    DNS of compressible spatially-developing turbulent boundary layers is performed at a Mach number of 2.5 over an isothermal flat plate. Turbulent inflow information is generated by following the concept of the rescaling-recycling approach introduced by Lund et al. (J. Comp. Phys. 140, 233-258, 1998); although, the proposed methodology is extended to compressible flows. Furthermore, a dynamic approach is employed to connect the friction velocities at the inlet and recycle stations (i.e., there is no need of an empirical correlation as in Lund et al.). Additionally, the Morkovin's Strong Reynolds Analogy (SRA) is used in the rescaling process of the thermal fluctuations from the recycle plane. Low/high order flow statistics is compared with direct simulations of an incompressible isothermal ZPG boundary layer at similar Reynolds numbers and temperature regarded as a passive scalar. Focus is given to the effect assessment of flow compressibility on the dynamics of thermal coherent structures. AFOSR #FA9550-17-1-0051.

  8. A simple model for quantifying the degree of layer-by-layer growth in low energy ion deposition of thin films

    International Nuclear Information System (INIS)

    Huhtamaeki, T.; Jahma, M.O.; Koponen, I.T.

    2007-01-01

    Layer-by-layer growth of thin films can be promoted by using low energy ion deposition (LEID) techniques. The basic process affecting the growth are often quite diverse, but often the ion impact induced inter layer mass transfer processes due to adatom insertion to lower step edges or pile-ups to step edges above dominate. In this paper we propose a simple phenomenological model which describes the growth of thin films in LEID under these conditions. The model makes possible to distinguish the dominant growth, the detection of the transition from the 3D growth to 2D growth, and it can be used to quantify the degree of layer-by-layer growth. The model contains only two parameters, which can be phenomenologically related to the properties of the bombarding ion beam

  9. Accretion disc boundary layers - geometrically and optically thin case

    International Nuclear Information System (INIS)

    Regev, Oded; Hougerat, A.A.

    1988-01-01

    The method of matched asymptotic expansions is applied to an optically and geometrically thin boundary layer between an accretion disc and the accreting star. Analytical solutions are presented for a particular viscosity prescription in the boundary layer. For a typical example we find that the disc closely resembles standard steady-disc theory. It is identical to it everywhere save a narrow boundary layer, where the temperature increases rapidly inward (by an order of magnitude), the angular velocity achieves maximum and decreases to its surface value and other variables also undergo rapid changes. This and previous work can now be used to calculate the emission from accretion discs including the boundary layers for a wide range of parameters. (author)

  10. Preparation of Ferroelectric Thin Films of Bismuth Layer Structured Compounds

    Science.gov (United States)

    Watanabe, Hitoshi; Mihara, Takashi; Yoshimori, Hiroyuki; Araujo, Carlos

    1995-09-01

    Ferroelectric thin films of bismuth layer structured compounds, SrBi2Ta2O9, SrBi2Nb2O9, SrBi4Ti4O15 and their solid solutions, were formed onto a sputtered platinum layer on a silicon substrate using spin-on technique and metal-organic decomposition (MOD) method. X-ray diffraction (XRD) analysis and some electrical measurements were performed on the prepared thin films. XRD results of SrBi2(Ta1- x, Nb x)2O9 films (0≤x≤1) showed that niobium ions substitute for tantalum ions in an arbitrary ratio without any change of the layer structure and lattice constants. Furthermore, XRD results of SrBi2 xTa2O9 films (0≤x≤1.5) indicated that the formation of the bismuth layer structure does not always require an accurate bismuth content. The layer structure was formed above 50% of the stoichiometric bismuth content in the general formula. SrBi2(Ta1- x, Nb x)2O9 films with various Ta/Nb ratios have large enough remanent polarization for nonvolatile memory application and have shown high fatigue resistance against 1011 cycles of full switching of the remanent polarization. Mixture films of the three compounds were also investigated.

  11. Layer-by-layer thinning of MoSe{sub 2} by soft and reactive plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Sha, Yunfei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Xiao, Shaoqing, E-mail: larring0078@hotmail.com [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Zhang, Xiumei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Qin, Fang [Analysis & Testing Center, Jiangnan University, Wuxi 214122 (China); Gu, Xiaofeng, E-mail: xfgu@jiangnan.edu.cn [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China)

    2017-07-31

    Highlights: • Soft plasma etching technique using SF{sub 6} + N{sub 2} as precursors for layer-by-layer thinning of MoSe{sub 2} was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe{sub 2} were also demonstrated. • Equal numbers of MoSe{sub 2} layers can be removed uniformly without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe{sub 2}) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe{sub 2} can be changed from the indirect band gap to the direct band gap when MoSe{sub 2} changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe{sub 2} layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe{sub 2} nanaosheets down to monolayer by using SF{sub 6} + N{sub 2} plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe{sub 2} layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. By adjusting the etching rates we can achieve complete MoSe{sub 2} removal and any disired number of MoSe{sub 2} layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  12. Effect of p-layer properties on nanocrystalline absorber layer and thin film silicon solar cells

    International Nuclear Information System (INIS)

    Chowdhury, Amartya; Adhikary, Koel; Mukhopadhyay, Sumita; Ray, Swati

    2008-01-01

    The influence of the p-layer on the crystallinity of the absorber layer and nanocrystalline silicon thin film solar cells has been studied. Boron doped Si : H p-layers of different crystallinities have been prepared under different power pressure conditions using the plasma enhanced chemical vapour deposition method. The crystalline volume fraction of p-layers increases with the increase in deposition power. Optical absorption of the p-layer reduces as the crystalline volume fraction increases. Structural studies at the p/i interface have been done by Raman scattering studies. The crystalline volume fraction of the i-layer increases as that of the p-layer increases, the effect being more prominent near the p/i interface. Grain sizes of the absorber layer decrease from 9.2 to 7.2 nm and the density of crystallites increases as the crystalline volume fraction of the p-layer increases and its grain size decreases. With increasing crystalline volume fraction of the p-layer solar cell efficiency increases

  13. Time-resolved imaging of a compressible air disc under a drop impacting on a solid surface

    KAUST Repository

    Li, Erqiang

    2015-09-07

    When a drop impacts on a solid surface, its rapid deceleration is cushioned by a thin layer of air, which leads to the entrapment of a bubble under its centre. For large impact velocities the lubrication pressure in this air layer becomes large enough to compress the air. Herein we use high-speed interferometry, with 200 ns time-resolution, to directly observe the thickness evolution of the air layer during the entire bubble entrapment process. The initial disc radius and thickness shows excellent agreement with available theoretical models, based on adiabatic compression. For the largest impact velocities the air is compressed by as much as a factor of 14. Immediately following the contact, the air disc shows rapid vertical expansion. The radial speed of the surface minima just before contact, can reach 50 times the impact velocity of the drop.

  14. Method for the manufacture of a thin-layer battery stack on a three-dimensional substrate

    NARCIS (Netherlands)

    2008-01-01

    The invention relates to a method for the manufacture of a thin-layer battery stack on a three-dimensional substrate. The invention further relates to a thin-layer battery stack on a three-dimensional substrate obtainable by such a method. Moreover, the invention relates to a device comprising such

  15. Thin-Layer Solutions of the Helmholtz and Related Equations

    KAUST Repository

    Ockendon, J. R.

    2012-01-01

    This paper concerns a certain class of two-dimensional solutions to four generic partial differential equations-the Helmholtz, modified Helmholtz, and convection-diffusion equations, and the heat conduction equation in the frequency domain-and the connections between these equations for this particular class of solutions.S pecifically, we consider thin-layer solutions, valid in narrow regions across which there is rapid variation, in the singularly perturbed limit as the coefficient of the Laplacian tends to zero.F or the wellstudied Helmholtz equation, this is the high-frequency limit and the solutions in question underpin the conventional ray theory/WKB approach in that they provide descriptions valid in some of the regions where these classical techniques fail.E xamples are caustics, shadow boundaries, whispering gallery, and creeping waves and focusing and bouncing ball modes.It transpires that virtually all such thin-layer models reduce to a class of generalized parabolic wave equations, of which the heat conduction equation is a special case. Moreover, in most situations, we will find that the appropriate parabolic wave equation solutions can be derived as limits of exact solutions of the Helmholtz equation.W e also show how reasonably well-understood thin-layer phenomena associated with any one of the four generic equations may translate into less well-known effects associated with the others.In addition, our considerations also shed some light on the relationship between the methods of matched asymptotic, WKB, and multiple-scales expansions. © 2012 Society for Industrial and Applied Mathematics.

  16. 3D Polygon Mesh Compression with Multi Layer Feed Forward Neural Networks

    Directory of Open Access Journals (Sweden)

    Emmanouil Piperakis

    2003-06-01

    Full Text Available In this paper, an experiment is conducted which proves that multi layer feed forward neural networks are capable of compressing 3D polygon meshes. Our compression method not only preserves the initial accuracy of the represented object but also enhances it. The neural network employed includes the vertex coordinates, the connectivity and normal information in one compact form, converting the discrete and surface polygon representation into an analytic, solid colloquial. Furthermore, the 3D object in its compressed neural form can be directly - without decompression - used for rendering. The neural compression - representation is viable to 3D transformations without the need of any anti-aliasing techniques - transformations do not disrupt the accuracy of the geometry. Our method does not su.er any scaling problem and was tested with objects of 300 to 107 polygons - such as the David of Michelangelo - achieving in all cases an order of O(b3 less bits for the representation than any other commonly known compression method. The simplicity of our algorithm and the established mathematical background of neural networks combined with their aptness for hardware implementation can establish this method as a good solution for polygon compression and if further investigated, a novel approach for 3D collision, animation and morphing.

  17. Comparison of two detection methods in thin layer chromatographic ...

    African Journals Online (AJOL)

    o-tolidine plus potassium iodide and photosynthesis inhibition detection methods were investigated for the analysis of three triazine herbicides (atrazine, ametryne, simazine) and two urea herbicides (diuron, metobromuron) in a coastal savanna soil using thin layer chromatography to compare the suitability of the two ...

  18. Pre-staining thin layer chromatography method for amino acid ...

    African Journals Online (AJOL)

    Jane

    2010-12-13

    Dec 13, 2010 ... inexpensive and the results obtained were clean and reproducible. However, it is suitable for the high throughput screening of amino acid-producing strains. Key words: Thin layer chromatography, pre-staining, amino acid detection. INTRODUCTION. Several analytical techniques have been often used for.

  19. Thin layer activation: measuring wear and corrosion

    International Nuclear Information System (INIS)

    Delvigne, T.; Leyman, D.; Oxorn, K.

    1995-01-01

    The technique known as thin layer activation (TLA) is explained and assessed in this article. Widely used, in for example the automotive industry, TLA allows on-line monitoring of the loss of matter from a critical surface, by wear erosion and corrosion. The technique offers extremely high sensitivity thus leading to reduced test times. On-line wear phenomena can be assessed during operation of a mechanical process, even through thick engine walls. (UK)

  20. Double-layer indium doped zinc oxide for silicon thin-film solar cell prepared by ultrasonic spray pyrolysis

    International Nuclear Information System (INIS)

    Jiao Bao-Chen; Zhang Xiao-Dan; Wei Chang-Chun; Sun Jian; Ni Jian; Zhao Ying

    2011-01-01

    Indium doped zinc oxide (ZnO:In) thin films were prepared by ultrasonic spray pyrolysis on corning eagle 2000 glass substrate. 1 and 2 at.% indium doped single-layer ZnO:In thin films with different amounts of acetic acid added in the initial solution were fabricated. The 1 at.% indium doped single-layers have triangle grains. The 2 at.% indium doped single-layer with 0.18 acetic acid adding has the resistivity of 6.82×10 −3 Ω·cm and particle grains. The double-layers structure is designed to fabricate the ZnO:In thin film with low resistivity (2.58×10 −3 Ω·cm) and good surface morphology. It is found that the surface morphology of the double-layer ZnO:In film strongly depends on the substrate-layer, and the second-layer plays a large part in the resistivity of the double-layer ZnO:In thin film. Both total and direct transmittances of the double-layer ZnO:In film are above 80% in the visible light region. Single junction a-Si:H solar cell based on the double-layer ZnO:In as front electrode is also investigated. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  1. Layered double hydroxides/polymer thin films grown by matrix assisted pulsed laser evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R.; Matei, A.; Mitu, B.; Ionita, M.D.; Filipescu, M.; Stokker-Cheregi, F.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest–Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Corobea, M.C. [National R. and S. Institute for Chemistry and Petrochemistry, ICECHIM, 202 Splaiul Independentei Str., CP-35-274, 060021, Bucharest (Romania)

    2013-09-30

    Due to their highly tunable properties, layered double hydroxides (LDHs) are an emerging class of the favorably layered crystals used for the preparation of multifunctional polymer/layered crystal nanocomposites. In contrast to cationic clay materials with negatively charge layers, LDHs are the only host lattices with positively charged layers (brucite-like), with interlayer exchangeable anions and intercalated water. In this work, the deposition of thin films of Mg and Al based LDH/polymers nanocomposites by laser techniques is reported. Matrix assisted pulsed laser evaporation was the method used for thin films deposition. The Mg–Al LDHs capability to act as a host for polymers and to produce hybrid LDH/polymer films has been investigated. Polyethylene glycol with different molecular mass compositions and ethylene glycol were used as polymers. The structure and surface morphology of the deposited LDH/polymers films were examined by X-ray diffraction, Fourier transform infra-red spectroscopy, atomic force microscopy and scanning electron microscopy. - Highlights: • Hybrid composites deposited by matrix assisted pulsed laser evaporation (MAPLE). • Mg–Al layered double hydroxides (LDH) and polyethylene glycol (PEG) are used. • Mixtures of PEG1450 and LDH were deposited by MAPLE. • Deposited thin films preserve the properties of the starting material. • The film wettability can be controlled by the amount of PEG.

  2. Magnetic properties of amorphous Tb-Fe thin films with an artificially layered structure

    International Nuclear Information System (INIS)

    Sato, N.

    1986-01-01

    An alternating terbium-iron (Tb-Fe) multilayer structure artificially made in amorphous Tb-Fe thin films gives rise to excellent magnetic properties of large perpendicular uniaxial anisotropy, large saturation magnetization, and large coercivity over a wide range of Tb composition in the films. The films are superior to amorphous Tb-Fe alloy thin films, especially when they are piled up with a monatomic layer of Tb and several atomic layers of Fe in an alternating fashion. Small-angle x-ray diffraction analysis confirmed the layering of monatomic layers of Tb and Fe, where the periodicity of the layers was found to be about 5.9 A. Direct evidence for an artificially layered structure was obtained by transmission electron microscopic and Auger electron spectroscopic observations. Together with magnetic measurements of hysteresis loops and torque curves, it has been concluded that the most important origin of the large magnetic uniaxial anisotropy can be attributed to the Tb-Fe pairs aligned perpendicular to the films

  3. Layer-by-layer modification of thin-film metal-semiconductor multilayers with ultrashort laser pulses

    Science.gov (United States)

    Romashevskiy, S. A.; Tsygankov, P. A.; Ashitkov, S. I.; Agranat, M. B.

    2018-05-01

    The surface modifications in a multilayer thin-film structure (50-nm alternating layers of Si and Al) induced by a single Gaussian-shaped femtosecond laser pulse (350 fs, 1028 nm) in the air are investigated by means of atomic-force microscopy (AFM), scanning electron microscopy (SEM), and optical microscopy (OM). Depending on the laser fluence, various modifications of nanometer-scale metal and semiconductor layers, including localized formation of silicon/aluminum nanofoams and layer-by-layer removal, are found. While the nanofoams with cell sizes in the range of tens to hundreds of nanometers are produced only in the two top layers, layer-by-layer removal is observed for the four top layers under single pulse irradiation. The 50-nm films of the multilayer structure are found to be separated at their interfaces, resulting in a selective removal of several top layers (up to 4) in the form of step-like (concentric) craters. The observed phenomenon is associated with a thermo-mechanical ablation mechanism that results in splitting off at film-film interface, where the adhesion force is less than the bulk strength of the used materials, revealing linear dependence of threshold fluences on the film thickness.

  4. Formation of hydrated layers in PMMA thin films in aqueous solution

    Energy Technology Data Exchange (ETDEWEB)

    Akers, Peter W. [School of Chemical Sciences, University of Auckland, Auckland (New Zealand); Nelson, Andrew R.J. [The Bragg Institute, Australian Nuclear Science and Technology Organisation, Menai, NSW (Australia); Williams, David E. [School of Chemical Sciences, University of Auckland, Auckland (New Zealand); MacDiarmid Institute of Advanced Materials and Nanotechnology, Wellington (New Zealand); McGillivray, Duncan J., E-mail: d.mcgillivray@auckland.ac.nz [School of Chemical Sciences, University of Auckland, Auckland (New Zealand); MacDiarmid Institute of Advanced Materials and Nanotechnology, Wellington (New Zealand)

    2015-10-30

    Graphical abstract: - Highlights: • Homogeneous thin PMMA films prepared on Si/SiOx substrates and measured in air and water. • Reproducible formation of highly hydrated layer containing 50% water at the PMMA/SiOx interface. • When heated the films swell at 50 °C without loss of material. • Upon re-cooling to 25 °C the surface roughens and material is lost. - Abstract: Neutron reflectometry (NR) measurements have been made on thin (70–150 Å) poly(methylmethacrylate) (PMMA) films on Si/SiOx substrates in aqueous conditions, and compared with parameters measured using ellipsometry and X-Ray reflectometry (XRR) on dry films. All techniques show that the thin films prepared using spin-coating techniques were uniform and had low roughness at both the silicon and subphase interfaces, and similar surface energetics to thicker PMMA films. In aqueous solution, NR measurements at 25 °C showed that PMMA forms a partially hydrated layer at the SiOx interface 10 Å under the film, while the bulk film remains intact and contains around 4% water. Both the PMMA film layer and the sublayer showed minimal swelling over a period of 24 h. At 50 °C, PMMA films in aqueous solution roughen and swell, without loss of PMMA material at the surface. After cooling back to 25 °C, swelling and roughening increases further, with loss of material from the PMMA layer.

  5. Formation of hydrated layers in PMMA thin films in aqueous solution

    International Nuclear Information System (INIS)

    Akers, Peter W.; Nelson, Andrew R.J.; Williams, David E.; McGillivray, Duncan J.

    2015-01-01

    Graphical abstract: - Highlights: • Homogeneous thin PMMA films prepared on Si/SiOx substrates and measured in air and water. • Reproducible formation of highly hydrated layer containing 50% water at the PMMA/SiOx interface. • When heated the films swell at 50 °C without loss of material. • Upon re-cooling to 25 °C the surface roughens and material is lost. - Abstract: Neutron reflectometry (NR) measurements have been made on thin (70–150 Å) poly(methylmethacrylate) (PMMA) films on Si/SiOx substrates in aqueous conditions, and compared with parameters measured using ellipsometry and X-Ray reflectometry (XRR) on dry films. All techniques show that the thin films prepared using spin-coating techniques were uniform and had low roughness at both the silicon and subphase interfaces, and similar surface energetics to thicker PMMA films. In aqueous solution, NR measurements at 25 °C showed that PMMA forms a partially hydrated layer at the SiOx interface 10 Å under the film, while the bulk film remains intact and contains around 4% water. Both the PMMA film layer and the sublayer showed minimal swelling over a period of 24 h. At 50 °C, PMMA films in aqueous solution roughen and swell, without loss of PMMA material at the surface. After cooling back to 25 °C, swelling and roughening increases further, with loss of material from the PMMA layer.

  6. Note: Automatic layer-by-layer spraying system for functional thin film coatings

    Science.gov (United States)

    Seo, Seongmin; Lee, Sangmin; Park, Yong Tae

    2016-03-01

    In this study, we have constructed an automatic spray machine for producing polyelectrolyte multilayer films containing various functional materials on wide substrates via the layer-by-layer (LbL) assembly technique. The proposed machine exhibits advantages in terms of automation, process speed, and versatility. Furthermore, it has several features that allow a fully automated spraying operation, such as various two-dimensional spraying paths, control of the flow rate and operating speed, air-assist fan-shaped twin-fluid nozzles, and an optical display. The robot uniformly sprays aqueous mixtures containing complementary (e.g., oppositely charged, capable of hydrogen bonding, or capable of covalent bonding) species onto a large-area substrate. Between each deposition of opposite species, samples are spray-rinsed with deionized water and blow-dried with air. The spraying, rinsing, and drying areas and times are adjustable by a computer program. Twenty-bilayer flame-retardant thin films were prepared in order to compare the performance of the spray-assisted LbL assembly with a sample produced by conventional dipping. The spray-coated film exhibited a reduction of afterglow time in vertical flame tests, indicating that the spray-LbL technique is a simple method to produce functional thin film coatings.

  7. Using thin metal layers on composite structures for shielding the electromagnetic pulse caused by nearby lightning

    NARCIS (Netherlands)

    Blaj, M.A.; Buesink, Frederik Johannes Karel; Damstra, G.C.; Leferink, Frank Bernardus Johannes

    2011-01-01

    Electronic systems in composite structures could be vulnerable to the (dominant magnetic) field caused by a lightning strike, because only thin layers of metal can be used on composite structures. Thin layers result in a very low shielding effectiveness against magnetic fields. Many experiments

  8. Coherent structures in compressible free-shear-layer flows

    Energy Technology Data Exchange (ETDEWEB)

    Aeschliman, D.P.; Baty, R.S. [Sandia National Labs., Albuquerque, NM (United States). Engineering Sciences Center; Kennedy, C.A.; Chen, J.H. [Sandia National Labs., Livermore, CA (United States). Combustion and Physical Sciences Center

    1997-08-01

    Large scale coherent structures are intrinsic fluid mechanical characteristics of all free-shear flows, from incompressible to compressible, and laminar to fully turbulent. These quasi-periodic fluid structures, eddies of size comparable to the thickness of the shear layer, dominate the mixing process at the free-shear interface. As a result, large scale coherent structures greatly influence the operation and efficiency of many important commercial and defense technologies. Large scale coherent structures have been studied here in a research program that combines a synergistic blend of experiment, direct numerical simulation, and analysis. This report summarizes the work completed for this Sandia Laboratory-Directed Research and Development (LDRD) project.

  9. Voltammetric Thin-Layer Ionophore-Based Films: Part 2. Semi-Empirical Treatment.

    Science.gov (United States)

    Yuan, Dajing; Cuartero, Maria; Crespo, Gaston A; Bakker, Eric

    2017-01-03

    This work reports on a semiempirical treatment that allows one to rationalize and predict experimental conditions for thin-layer ionophore-based films with cation-exchange capacity read out with cyclic voltammetry. The transition between diffusional mass transport and thin-layer regime is described with a parameter (α), which depends on membrane composition, diffusion coefficient, scan rate, and electrode rotating speed. Once the thin-layer regime is fulfilled (α = 1), the membrane behaves in some analogy to a potentiometric sensor with a second discrimination variable (the applied potential) that allows one to operate such electrodes in a multianalyte detection mode owing to the variable applied ion-transfer potentials. The limit of detection of this regime is defined with a second parameter (β = 2) and is chosen in analogy to the definition of the detection limit for potentiometric sensors provided by the IUPAC. The analytical equations were validated through the simulation of the respective cyclic voltammograms under the same experimental conditions. While simulations of high complexity and better accuracy satisfactorily reproduced the experimental voltammograms during the forward and backward potential sweeps (companion paper 1), the semiempirical treatment here, while less accurate, is of low complexity and allows one to quite easily predict relevant experimental conditions for this emergent methodology.

  10. Sorption Behavior of Compressed CO2 and CH4 on Ultrathin Hybrid Poly(POSS-imide) Layers

    NARCIS (Netherlands)

    Raaijmakers, Michiel; Ogieglo, Wojciech; Wiese, M.; Wessling, Matthias; Nijmeijer, Arian; Benes, Nieck Edwin

    2015-01-01

    Sorption of compressed gases into thin polymeric films is essential for applications including gas sensors and membrane based gas separation. For glassy polymers, the sorption behavior is dependent on the nonequilibrium status of the polymer. The uptake of molecules by a polymer is generally

  11. Layered Cu-based electrode for high-dielectric constant oxide thin film-based devices

    International Nuclear Information System (INIS)

    Fan, W.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    Ti-Al/Cu/Ta multilayered electrodes were fabricated on SiO 2 /Si substrates by ion beam sputtering deposition, to overcome the problems of Cu diffusion and oxidation encountered during the high dielectric constant (κ) materials integration. The Cu and Ta layers remained intact through the annealing in oxygen environment up to 600 deg. C. The thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. Complex oxide (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the layered Ti-Al/Cu/Ta electrodes using rf magnetron sputtering. The deposited BST films exhibited relatively high permittivity (150), low dielectric loss (0.007) at zero bias, and low leakage current -8 A/cm 2 at 100 kV/cm

  12. An Applied Method for Predicting the Load-Carrying Capacity in Compression of Thin-Wall Composite Structures with Impact Damage

    Science.gov (United States)

    Mitrofanov, O.; Pavelko, I.; Varickis, S.; Vagele, A.

    2018-03-01

    The necessity for considering both strength criteria and postbuckling effects in calculating the load-carrying capacity in compression of thin-wall composite structures with impact damage is substantiated. An original applied method ensuring solution of these problems with an accuracy sufficient for practical design tasks is developed. The main advantage of the method is its applicability in terms of computing resources and the set of initial data required. The results of application of the method to solution of the problem of compression of fragments of thin-wall honeycomb panel damaged by impacts of various energies are presented. After a comparison of calculation results with experimental data, a working algorithm for calculating the reduction in the load-carrying capacity of a composite object with impact damage is adopted.

  13. Experimental investigation of the strength and failure behavior of layered sandstone under uniaxial compression and Brazilian testing

    Science.gov (United States)

    Yin, Peng-Fei; Yang, Sheng-Qi

    2018-05-01

    As a typical inherently anisotropic rock, layered sandstones can differ from each other in several aspects, including grain size, type of material, type of cementation, and degree of compaction. An experimental study is essential to obtain and convictive evidence to characterize the mechanical behavior of such rock. In this paper, the mechanical behavior of a layered sandstone from Xuzhou, China, is investigated under uniaxial compression and Brazilian test conditions. The loading tests are conducted on 7 sets of bedding inclinations, which are defined as the angle between the bedding plane and horizontal direction. The uniaxial compression strength (UCS) and elastic modulus values show an undulatory variation when the bedding inclination increases. The overall trend of the UCS and elastic modulus values with bedding inclination is decreasing. The BTS value decreases with respect to the bedding inclination and the overall trend of it is approximating a linear variation. The 3D digital high-speed camera images reveal that the failure and fracture of a specimen are related to the surface deformation. Layered sandstone tested under uniaxial compression does not show a typical failure mode, although shear slip along the bedding plane occurs at high bedding inclinations. Strain gauge readings during the Brazilian tests indicate that the normal stress on the bedding plane transforms from compression to tension as the bedding inclination increases. The stress parallel to the bedding plane in a rock material transforms from tension to compression and agrees well with the fracture patterns; "central fractures" occur at bedding inclinations of 0°-75°, "layer activation" occurs at high bedding inclinations of 75°-90°, and a combination of the two occurs at 75°.

  14. Layer-controllable graphene by plasma thinning and post-annealing

    Science.gov (United States)

    Zhang, Lufang; Feng, Shaopeng; Xiao, Shaoqing; Shen, Gang; Zhang, Xiumei; Nan, Haiyan; Gu, Xiaofeng; Ostrikov, Kostya (Ken)

    2018-05-01

    The electronic structure of graphene depends crucially on its layer number and therefore engineering the number of graphene's atomic stacking layers is of great importance for the preparation of graphene-based devices. In this paper, we demonstrated a relatively less invasive, high-throughput and uniform large-area plasma thinning of graphene based on direct bombardment effect of fast-moving ionic hydrogen or argon species. Any desired number of graphene layers including trilayer, bilayer and monolayer can be obtained. Structural changes of graphene layers are studied by optical microscopy, Raman spectroscopy and atomic force microscopy. Post annealing is adopted to self-heal the lattice defects induced by the ion bombardment effect. This plasma etching technique is efficient and compatible with semiconductor manufacturing processes, and may find important applications for graphene-based device fabrication.

  15. Effect of Multi-layer Compression Bandage Systems on Leg Ulcers Associated with Chronic Venous Insufficiency

    Directory of Open Access Journals (Sweden)

    Hüseyin Kuplay

    2013-03-01

    Full Text Available Introduction: Venous leg ulcer is a major health problem in terms of high prevalence and high cost for treatment. Multi-layer compression bandage systems for venous leg ulcers are supposed to be the gold standard for the treatment of venous ulcers. The aim of the current study is to investigate the effectiveness of multi-layer compression bandage systems for the treatment of venous leg ulcers.Patients and Methods: Nineteen consecutive patients diagnosed to have leg ulcers were evaluated and four patients were excluded from the study due to the peripheral arterial disease. Fifteen patients, enrolled in the study, were classified according to CEAP classification and belonged to the same class. Betaven® multi-layer compression bandage was applied to patients. Patients were followed-up in terms of wound healing and reduction in wound diameter.Results: Twelve male and three female patients underwent multi-layer bandage system. Mean age of patients was 38.2 ± 4.2 years. Ulcer size was measured planimetrically and baseline ulcer size was 4-10 cm². The location of ulcer was on medial malloelus in seven patients, lateral malleolus in three patients, anterior surface of the leg in four patients and lateral side of the leg in one patient. Patients had chronic venous insuuficiency for a mean of 5.1 ± 2.1 years. CEAP classifications were C6, Ep, As2,3-p18, Pr2,3, 18. Each bandage was changed five days after application along with wound care. Mean duration of treatment was 6 ± 2 weeks. Complete healing of the venous ulcers occurred in all patients except for one. This patient was referred to plastic surgery clinic for reconstruction.Conclusion: Multi-layer compression bandage system is an effective method of treatment for venous leg ulcers associated with chronic venous insufficiency by reducing venous return and increasing intertitial tissue pressure. This effect occurred in the shortrun as well as with a low cost and prevented loss of labor.

  16. Enhanced electrical properties of oxide semiconductor thin-film transistors with high conductivity thin layer insertion for the channel region

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Cam Phu Thi; Raja, Jayapal; Kim, Sunbo; Jang, Kyungsoo; Le, Anh Huy Tuan; Lee, Youn-Jung; Yi, Junsin, E-mail: junsin@skku.edu

    2017-02-28

    Highlights: • The characteristics of thin film transistors using double active layers are examined. • Electrical characteristics have been improved for the double active layers devices. • The total trap density can be decreased by insert-ion of ultrathin ITO film. - Abstract: This study examined the performance and the stability of indium tin zinc oxide (ITZO) thin film transistors (TFTs) by inserting an ultra-thin indium tin oxide (ITO) layer at the active/insulator interface. The electrical properties of the double channel device (ITO thickness of 5 nm) were improved in comparison with the single channel ITZO or ITO devices. The TFT characteristics of the device with an ITO thickness of less than 5 nm were degraded due to the formation of an island-like morphology and the carriers scattering at the active/insulator interface. The 5 nm-thick ITO inserted ITZO TFTs (optimal condition) exhibited a superior field effect mobility (∼95 cm{sup 2}/V·s) compared with the ITZO-only TFTs (∼34 cm{sup 2}/V·s). The best characteristics of the TFT devices with double channel layer are due to the lowest surface roughness (0.14 nm) and contact angle (50.1°) that result in the highest hydrophicility, and the most effective adhesion at the surface. Furthermore, the threshold voltage shifts for the ITO/ITZO double layer device decreased to 0.80 and −2.39 V compared with 6.10 and −6.79 V (for the ITZO only device) under positive and negative bias stress, respectively. The falling rates of E{sub A} were 0.38 eV/V and 0.54 eV/V for the ITZO and ITO/ITZO bi-layer devices, respectively. The faster falling rate of the double channel devices suggests that the trap density, including interface trap and semiconductor bulk trap, can be decreased by the ion insertion of a very thin ITO film into the ITZO/SiO{sub 2} reference device. These results demonstrate that the double active layer TFT can potentially be applied to the flat panel display.

  17. Modeling the influence of the seeding layer on the transition behavior of a ferroelectric thin film

    International Nuclear Information System (INIS)

    Oubelkacem, A.; Essaoudi, I.; Ainane, A.; Saber, M.; Dujardin, F.

    2011-01-01

    The transition properties of a ferroelectric thin film with seeding layers were studied using the effective field theory with a probability distribution technique that accounts for the self-spin correlation functions. The effect of interaction parameters for the seeding layer on the phase diagram was also examined. We calculated the critical temperature and the polarization of the ferroelectric thin film for different seeding layer structures. We found that the seeding layer can greatly increase the Curie temperature and the polarization.

  18. Thin film photovoltaic devices with a minimally conductive buffer layer

    Science.gov (United States)

    Barnes, Teresa M.; Burst, James

    2016-11-15

    A thin film photovoltaic device (100) with a tunable, minimally conductive buffer (128) layer is provided. The photovoltaic device (100) may include a back contact (150), a transparent front contact stack (120), and an absorber (140) positioned between the front contact stack (120) and the back contact (150). The front contact stack (120) may include a low resistivity transparent conductive oxide (TCO) layer (124) and a buffer layer (128) that is proximate to the absorber layer (140). The photovoltaic device (100) may also include a window layer (130) between the buffer layer (128) and the absorber (140). In some cases, the buffer layer (128) is minimally conductive, with its resistivity being tunable, and the buffer layer (128) may be formed as an alloy from a host oxide and a high-permittivity oxide. The high-permittivity oxide may further be chosen to have a bandgap greater than the host oxide.

  19. Low Energy Scanned Electron-Beam Dose Distribution in Thin Layers

    DEFF Research Database (Denmark)

    McLaughlin, W. L.; Hjortenberg, P. E.; Pedersen, Walther Batsberg

    1975-01-01

    Thin radiochromic dye film dosimeters, calibrated by means of calorimetry, make possible the determination of absorbed-dose distributions due to low-energy scanned electron beam penetrations in moderately thin coatings and laminar media. For electrons of a few hundred keV, calibrated dosimeters...... of about 30–60 μm thickness may be used in stacks or interleaved between layers of materials of interest and supply a sufficient number of experimental data points throughout the depth of penetration of electrons to provide a depth-dose curve. Depth doses may be resolved in various polymer layers...... on different backings (wood, aluminum, and iron) for scanned electron beams (Emax = 400 keV) having a broad energy spectrum and diffuse incidence, such as those used in radiation curing of coatings, textiles, plastics, etc. Theoretical calculations of such distributions of energy depositions are relatively...

  20. Estimation of optical constants of a bio-thin layer (onion epidermis), using SPR spectroscopy

    International Nuclear Information System (INIS)

    Rehman, Saif-ur-; Hayashi, Shinji; Sekkat, Zouheir; Mumtaz, Huma; Shaukat, S F

    2014-01-01

    We estimate the optical constants of a biological thin layer (Allium cepa) by surface plasmon resonance (SPR) spectroscopy. For this study, the fresh inner thin epidermis of an onion bulb was used and stacked directly on gold (Au) and silver (Ag) film surfaces in order to identify the shift in SPR mode of each metal film at an operating wavelength of 632.8 nm. The thickness and dielectric constants of the biological thin layer were determined by matching the experimental SPR curves to theoretical ones. The thickness and roughness of bare Au and Ag thin films were also measured by atomic force microscopy (AFM); the results of which are in good agreement with those obtained through experiment. Due to the high surface roughness of the natural onion epidermis layer, AFM could not measure the exact thickness of an onion epidermis. It is estimated that the value of the real part of the dielectric constant of an onion epidermis is between the dielectric constants of water and air. (paper)

  1. Sputter Deposited TiOx Thin-Films as Electron Transport Layers in Organic Solar Cells

    DEFF Research Database (Denmark)

    Mirsafaei, Mina; Bomholt Jensen, Pia; Lakhotiya, Harish

    transparency and favorable energy-level alignment with many commonly used electron-acceptor materials. There are several methods available for fabricating compact TiOx thin-films for use in organic solar cells, including sol-gel solution processing, spray pyrolysis and atomic-layer deposition; however...... of around 7%, by incorporating sputter deposited TiOx thin-films as electron-transport and exciton-blocking layers. In the work, we report on the effect of different TiOx deposition temperatures and thicknesses on the organic-solar-cell device performance. Besides optical characterization, AFM and XRD...... analyses are performed to characterize the morphology and crystal structure of the films, and external quantum efficiency measurements are employed to shed further light on the device performance. Our study presents a novel method for implementation of TiOx thin-films as electron-transport layer in organic...

  2. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  3. Development of modifications to the material point method for the simulation of thin membranes, compressible fluids, and their interactions

    Energy Technology Data Exchange (ETDEWEB)

    York, A.R. II [Sandia National Labs., Albuquerque, NM (United States). Engineering and Process Dept.

    1997-07-01

    The material point method (MPM) is an evolution of the particle in cell method where Lagrangian particles or material points are used to discretize the volume of a material. The particles carry properties such as mass, velocity, stress, and strain and move through a Eulerian or spatial mesh. The momentum equation is solved on the Eulerian mesh. Modifications to the material point method are developed that allow the simulation of thin membranes, compressible fluids, and their dynamic interactions. A single layer of material points through the thickness is used to represent a membrane. The constitutive equation for the membrane is applied in the local coordinate system of each material point. Validation problems are presented and numerical convergence is demonstrated. Fluid simulation is achieved by implementing a constitutive equation for a compressible, viscous, Newtonian fluid and by solution of the energy equation. The fluid formulation is validated by simulating a traveling shock wave in a compressible fluid. Interactions of the fluid and membrane are handled naturally with the method. The fluid and membrane communicate through the Eulerian grid on which forces are calculated due to the fluid and membrane stress states. Validation problems include simulating a projectile impacting an inflated airbag. In some impact simulations with the MPM, bodies may tend to stick together when separating. Several algorithms are proposed and tested that allow bodies to separate from each other after impact. In addition, several methods are investigated to determine the local coordinate system of a membrane material point without relying upon connectivity data.

  4. Modified Back Contact Interface of CZTSe Thin Film Solar Cells: Elimination of Double Layer Distribution in Absorber Layer.

    Science.gov (United States)

    Zhang, Zhaojing; Yao, Liyong; Zhang, Yi; Ao, Jianping; Bi, Jinlian; Gao, Shoushuai; Gao, Qing; Jeng, Ming-Jer; Sun, Guozhong; Zhou, Zhiqiang; He, Qing; Sun, Yun

    2018-02-01

    Double layer distribution exists in Cu 2 SnZnSe 4 (CZTSe) thin films prepared by selenizing the metallic precursors, which will degrade the back contact of Mo substrate to absorber layer and thus suppressing the performance of solar cell. In this work, the double-layer distribution of CZTSe film is eliminated entirely and the formation of MoSe 2 interfacial layer is inhibited successfully. CZTSe film is prepared by selenizing the precursor deposited by electrodeposition method under Se and SnSe x mixed atmosphere. It is found that the insufficient reaction between ZnSe and Cu-Sn-Se phases in the bottom of the film is the reason why the double layer distribution of CZTSe film is formed. By increasing Sn content in the metallic precursor, thus making up the loss of Sn because of the decomposition of CZTSe and facilitate the diffusion of liquid Cu 2 Se, the double layer distribution is eliminated entirely. The crystallization of the formed thin film is dense and the grains go through the entire film without voids. And there is no obvious MoSe 2 layer formed between CZTSe and Mo. As a consequence, the series resistance of the solar cell reduces significantly to 0.14 Ω cm 2 and a CZTSe solar cell with efficiency of 7.2% is fabricated.

  5. A thin-layer liquid culture technique for the growth of Helicobacter pylori.

    Science.gov (United States)

    Joo, Jung-Soo; Park, Kyung-Chul; Song, Jae-Young; Kim, Dong-Hyun; Lee, Kyung-Ja; Kwon, Young-Cheol; Kim, Jung-Min; Kim, Kyung-Mi; Youn, Hee-Shang; Kang, Hyung-Lyun; Baik, Seung-Chul; Lee, Woo-Kon; Cho, Myung-Je; Rhee, Kwang-Ho

    2010-08-01

    Several attempts have been successful in liquid cultivation of Helicobaccter pylori. However, there is a need to improve the growth of H. pylori in liquid media in order to get affluent growth and a simple approach for examining bacterial properties. We introduce here a thin-layer liquid culture technique for the growth of H. pylori. A thin-layer liquid culture system was established by adding liquid media to a 90-mm diameter Petri dish. Optimal conditions for bacterial growth were investigated and then viability, growth curve, and released proteins were examined. Maximal growth of H. pylori was obtained by adding 3 mL of brucella broth supplemented with 10% horse to a Petri dish. H. pylori grew in both DMEM and RPMI-1640 supplemented with 10% fetal bovine serum and 0.5% yeast extract. Serum-free RPMI-1640 supported the growth of H. pylori when supplemented with dimethyl-beta-cyclodextrin (200 microg/mL) and 1% yeast extract. Under optimal growth, H. pylori grew exponentially for 28 hours, reaching a density of 3.4 OD(600) with a generation time of 3.3 hours. After 24 hours, cultures at a cell density of 1.0 OD(600) contained 1.3 +/- 0.1 x 10(9 )CFU/mL. gamma-Glutamyl transpeptidase, nuclease, superoxide dismutase, and urease were not detected in culture supernatants at 24 hours in thin-layer liquid culture, but were present at 48 hours, whereas alcohol dehydrogenase, alkylhydroperoxide reductase, catalase, and vacuolating cytotoxin were detected at 24 hours. Thin-layer liquid culture technique is feasible, and can serve as a versatile liquid culture technique for investigating bacterial properties of H. pylori.

  6. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  7. Evidence for moving of threading dislocations during the VPE growth in GaN thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Kuwano, Noriyuki [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Miyake, Hideto; Hiramatsu, Kazumasa [Department of Electrical and Electronic Engineering, Mie University, Tsu, Mie 514-8507 (Japan); Amano, Hiroshi [Graduate School of Engineering, Akasaki Research Center, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan); Akasaki, Isamu [Faculty of Science and Technology, Meijo University, 1-501 Shiogamaguchi, Tempaku, Nagoya 468-8502 (Japan)

    2011-05-15

    Cross-sectional transmission electron microscope (TEM) observation was performed in detail to analyze the morphology of threading dislocations (TDs) in GaN thin layers with various thicknesses. The GaN layers were overgrown on an Al{sub 0.28}Ga{sub 0.72}N layer by the metal-organic vapor-phase epitaxy (MOVPE) method. In a GaN layer about 50 nm in thickness, TDs running up in the AlGaN layer pass into the GaN layer and most of them reach the top surface without bending. In thicker GaN layers, on the other hand, many of TDs form a hairpin-configuration on or above the interface of GaN and AlGaN to be annihilated. This difference in morphology of TDs indicates that the TDs have moved down inside the GaN layer. Since the formation of hairpins is attributed to a stress-relief, there should be an extra half-plane between the paired TDs. Therefore, the movement of TDs should be of ''climb motion''. Another example of possible TD movement inside a GaN layer is also described. It is emphasized that the possibility of TD-movements inside the thin film crystal during the growth should be taken into account in analysis of thin-layer growth through the behavior of TDs (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Intense synchrotron radiation from a magnetically compressed relativistic electron layer

    International Nuclear Information System (INIS)

    Shearer, J.W.; Nowak, D.A.; Garelis, E.; Condit, W.C.

    1975-10-01

    Using a simple model of a relativistic electron layer rotating in an axial magnetic field, energy gain by an increasing magnetic field and energy loss by synchrotron radiation were considered. For a typical example, initial conditions were approximately 8 MeV electron in approximately 14 kG magnetic field, at a layer radius of approximately 20 mm, and final conditions were approximately 4 MG magnetic field approximately 100 MeV electron layer energy at a layer radius of approximately 1.0 mm. In the final state, the intense 1-10 keV synchrotron radiation imposes an electron energy loss time constant of approximately 100 nanoseconds. In order to achieve these conditions in practice, the magnetic field must be compressed by an imploding conducting liner; preferably two flying rings in order to allow the synchrotron radiation to escape through the midplane. The synchrotron radiation loss rate imposes a lower limit to the liner implosion velocity required to achieve a given final electron energy (approximately 1 cm/μsec in the above example). In addition, if the electron ring can be made sufficiently strong (field reversed), the synchrotron radiation would be a unique source of high intensity soft x-radiation

  9. A thin layer fiber-coupled luminescence dosimeter based on Al2O3:C

    DEFF Research Database (Denmark)

    Klein, F.A.; Greilich, Steffen; Andersen, Claus Erik

    2011-01-01

    In this paper we present a fiber-coupled luminescent Al2O3:C dosimeter probe with high spatial resolution (0.1 mm). It is based on thin layers of Al2O3:C crystal powder and a UV-cured acrylate monomer composition. The fabrication of the thin layers is described in detail. No influence of the intr......In this paper we present a fiber-coupled luminescent Al2O3:C dosimeter probe with high spatial resolution (0.1 mm). It is based on thin layers of Al2O3:C crystal powder and a UV-cured acrylate monomer composition. The fabrication of the thin layers is described in detail. No influence...... of the introduced polymer host matrix on the dosimetric properties was observed. Depth-dose measurements with the new detectors in a 142.66 MeV proton and 270.55 MeV/u carbon ion beam are presented as example applications. We used an RL protocol with saturated crystals allowing for time-effective measurements...... without sensitivity corrections. For protons, a relative luminescence efficiency hHCP of 0.715 0.014 was found in the Bragg peak. For carbon ions, a value of 0.498 0.001 was found in the entrance channel, 0.205 0.015 in the Bragg peak, and a mean of 0.413 0.050 in the tail region. The mean range...

  10. Thin-layer approximation and algebraic model for separated turbulent flows

    Science.gov (United States)

    Baldwin, B.; Lomax, H.

    1978-01-01

    An algebraic turbulence model for two- and three-dimensional separated flows is specified that avoids the necessity for finding the edge of the boundary layer. Properties of the model are determined and comparisons made with experiment for an incident shock on a flat plate, separated flow over a compression corner, and transonic flow over an airfoil. Separation and reattachment points from numerical Navier-Stokes solutions agree with experiment within one boundary-layer thickness. Use of law-of-the-wall boundary conditions does not alter the predictions significantly. Applications of the model to other cases are contained in companion papers.

  11. Thin and thick layers of resin-based sealer cement bonded to root dentine compared: Adhesive behaviour.

    Science.gov (United States)

    Pane, Epita S; Palamara, Joseph E A; Messer, Harold H

    2015-12-01

    This study aims to evaluate tensile and shear bond strengths of one epoxy (AH) and two methacrylate resin-based sealers (EZ and RS) in thin and thick layers bonded to root dentine. An alignment device was prepared for accurate positioning of 20 root dentine cylinders in a predefined gap of 0.1 or 1 mm. Sealer was placed in the interface. Bond strength tests were conducted. Mode of failures and representative surfaces were evaluated. Data were analysed using anova and post-hoc tests, with P thick layer of sealer produced higher bond strength, except for the shear bond strength of EZ. Significant differences between thin and thick layers were found only in tensile bond strengths of AH and RS. Mixed type of failure was constantly found with all sealers. Bond strengths of thick layers of resin-based sealers to root dentine tended to be higher than with thin layers. © 2015 Australian Society of Endodontology.

  12. Thin layer fibres are a knotty problem

    International Nuclear Information System (INIS)

    Anon.

    1996-01-01

    Concern that emergency core cooling system (ECCS) strainers can be blocked by insulation debris has been generated by an incident at the Swedish Barsebaeck-2 BWR in 1992 and two subsequent incidents at the Perry and Limerick BWR plants in the USA. Recent studies are reported which show that blockage of the small, passive suction type strainers common to these and many other BWRs can occur when only very small quantities of fibrous material present in the suppression pool combine with particulates such as corrosion products to form thin layers on the strainer surface. Layers only a few millimetres thick lead to extremely high head losses on the strainer surface and can cause cavitation in the ECCS pumps. It is concluded that the most practical reliable and cost effective solution is to replace the small strainers with larger ones. (UK)

  13. Investigation of thin polymer layers for biosensor applications

    Energy Technology Data Exchange (ETDEWEB)

    Saftics, András; Agócs, Emil [Institute for Technical Physics and Materials Science, Research Centre for Natural Sciences–H-1121 Budapest (Hungary); Fodor, Bálint [Institute for Technical Physics and Materials Science, Research Centre for Natural Sciences–H-1121 Budapest (Hungary); Doctoral School of Physics, Faculty of Science, University of Pécs, 7624 Pécs, Ifjúság útja 6 (Hungary); Patkó, Dániel; Petrik, Péter [Institute for Technical Physics and Materials Science, Research Centre for Natural Sciences–H-1121 Budapest (Hungary); Doctoral School of Molecular- and Nanotechnologies, Faculty of Information Technology, University of Pannonia, H-8200 Egyetem u.10, Veszprém (Hungary); Kolari, Kai; Aalto, Timo [VTT Technical Research Centre of Finland, PL 1000, Tietotie 3, 02044 Espoo (Finland); Fürjes, Péter [Institute for Technical Physics and Materials Science, Research Centre for Natural Sciences–H-1121 Budapest (Hungary); Horvath, Robert [Institute for Technical Physics and Materials Science, Research Centre for Natural Sciences–H-1121 Budapest (Hungary); Doctoral School of Molecular- and Nanotechnologies, Faculty of Information Technology, University of Pannonia, H-8200 Egyetem u.10, Veszprém (Hungary); Kurunczi, Sándor, E-mail: kurunczi.sandor@ttk.mta.hu [Institute for Technical Physics and Materials Science, Research Centre for Natural Sciences–H-1121 Budapest (Hungary); Doctoral School of Molecular- and Nanotechnologies, Faculty of Information Technology, University of Pannonia, H-8200 Egyetem u.10, Veszprém (Hungary)

    2013-09-15

    Novel biosensors made of polymers may offer advantages over conventional technology such as possibility of mass production and tunability of the material properties. With the ongoing work on the polymer photonic chip fabrication in our project, simple model samples were tested parallel for future immobilization and accessing conditions for applications in typical aqueous buffers. The model samples consist of a thin, high refractive index polyimide film on top of TEOS on Si wafer. These model samples were measured by in situ spectroscopic ellipsometry using different aqueous buffers. The experiments revealed a high drift in aqueous solutions; the drift in the ellipsometric parameters (delta, psi) can be evaluated and presented as changes in thickness and refractive index of the polyimide layer. The first molecular layer of immobilization is based on polyethyleneimine (PEI). The signal for the PEI adsorption was detected on a stable baseline, only after a long conditioning. The stability of polyimide films in aqueous buffer solutions should be improved toward the real biosensor application. Preliminary results are shown on the possibilities to protect the polyimide. Optical Waveguide Lightmode Spectroscopy (OWLS) has been used to demonstrate the shielding effect of the thin TiO{sub 2} adlayer in biosensor applications.

  14. Interfacial passivation of CdS layer to CdSe quantum dots-sensitized electrodeposited ZnO nanowire thin films

    International Nuclear Information System (INIS)

    Zhang, Jingbo; Sun, Chuanzhen; Bai, Shouli; Luo, Ruixian; Chen, Aifan; Sun, Lina; Lin, Yuan

    2013-01-01

    ZnO porous thin films with nanowire structure were deposited by the one-step electrochemical deposition method. And a CdS layer was coated on the as-deposited ZnO nanowire thin films by successive ionic layer adsorption and reaction (SILAR) method to passivate surface states. Then the films were further sensitized by CdSe quantum dots (QDs) to serve as a photoanode for fabricating quantum dots-sensitized solar cells (QDSSCs). The effect of the CdS interfacial passivation layer on the performance of the QDSSCs was systematically investigated by varying the SILAR cycle number and heating the passivation layer. The amorphous CdS layer with an optimized thickness can effectively suppress the recombination of the injected electrons with holes on QDs and the redox electrolyte. The newly formed CdS layer on the surface of the ZnO nanowire thin film obviously prolongs the electron lifetime in the passivated ZnO nanoporous thin film because of the lower surface trap density in the ZnO nanowires after CdS deposition, which is favorable to the higher short-circuit photocurrent density (J sc ). For the CdSe QDs-sensitized ZnO nanoporous thin film with the interfacial passivation layer, the J sc and conversion efficiency can reach a maximum of 8.36 mA cm −2 and 2.36%, respectively. The conversion efficiency was improved by 83.47% compared with that of the cell based on the CdSe QDs-sensitized ZnO nanoporous thin film without CdS interfacial passivation (0.39%)

  15. The thin layer technique and its application to electron microscopy

    International Nuclear Information System (INIS)

    Ranc, G.

    1957-10-01

    This work deals with the technique of thin layers obtained by evaporation under vacuum, in the thickness range extending from a few monoatomic layers to several hundred angstroms. The great theoretical and practical interest of these layers has, it is well known, given rise to many investigations from Faraday onwards. Within the necessarily restricted limits of this study, we shall approach the problem more particularly from the point of view of: - their production; - their use in electron microscopy. A critical appraisal is made, in the light of present-day knowledge, based on our personal experience and on an extensive bibliography which we have collected on the subject. (author) [fr

  16. Compressibility effects on the non-linear receptivity of boundary layers to dielectric barrier discharges

    Science.gov (United States)

    Denison, Marie F. C.

    The reduction of drag and aerodynamic heating caused by boundary layer transition is of central interest for the development of hypersonic vehicles. Receptivity to flow perturbation in the form of Tollmien-Schlichting (TS) wave growth often determines the first stage of the transition process, which can be delayed by depositing specific excitations into the boundary layer. Weakly ionized Dielectric Barrier Discharge (DBD) actuators are being investigated as possible sources of such excitations, but little is known today about their interaction with high-speed flows. In this framework, the first part of the thesis is dedicated to a receptivity study of laminar compressible boundary layers over a flat plate by linear stability analysis following an adjoint operator formulation, under DBD representative excitations assumed independent of flow conditions. The second part of the work concentrates on the development of a coupled plasma-Navier and Stokes solver targeted at the study of supersonic flow and compressibility effects on DBD forcing and non-parallel receptivity. The linear receptivity study of quasi-parallel compressible flows reveals several interesting features such as a significant shift of the region of maximum receptivity deeper into the flow at high Mach number and strong wave amplitude reduction compared to incompressible flows. The response to DBD relevant excitation distributions and to variations of the base flow conditions and system length scales follows these trends. Observed absolute amplitude changes and relative sensitivity modifications between source types are related to the evolution of the offset between forcing peak profile and relevant adjoint mode maximum. The analysis highlights the crucial importance of designing and placing the actuator in a way that matches its force field to the position of maximum boundary layer receptivity for the specific flow conditions of interest. In order to address the broad time and length scale spectrum

  17. Growth of α-sexithiophene nanostructures on C60 thin film layers

    DEFF Research Database (Denmark)

    Radziwon, Michal Jędrzej; Madsen, Morten; Balzer, Frank

    2014-01-01

    Organic molecular beam grown -sexithiophene (-6T) forms nanostructured thin films on buckminsterfullerene (C60) thin film layers. At substrate temperatures of 300K during growth a rough continuous film is observed, which develop to larger elongated islands and dendritic- as well as needle like ...... fluorescence polarimetry measurements the in-plane orientation of the crystalline sites within the needle like structures is determined. The polarimetry investigations strongly indicate that the needle like structures consist of lying molecules....

  18. Determination of ferulic acid and related compounds by thin layer ...

    African Journals Online (AJOL)

    The analysis of certain phenolic compounds from plants, and their chemical transformation with microorganisms or isolated enzymes, has application in the food and pharmaceutical industry. The rapid quantitative estimation of ferulic acid by thin layer chromatography is described by measurement of the area of the ...

  19. High-performance a-IGZO thin-film transistor with conductive indium-tin-oxide buried layer

    Science.gov (United States)

    Ahn, Min-Ju; Cho, Won-Ju

    2017-10-01

    In this study, we fabricated top-contact top-gate (TCTG) structure of amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs) with a thin buried conductive indium-tin oxide (ITO) layer. The electrical performance of a-IGZO TFTs was improved by inserting an ITO buried layer under the IGZO channel. Also, the effect of the buried layer's length on the electrical characteristics of a-IGZO TFTs was investigated. The electrical performance of the transistors improved with increasing the buried layer's length: a large on/off current ratio of 1.1×107, a high field-effect mobility of 35.6 cm2/Vs, a small subthreshold slope of 116.1 mV/dec, and a low interface trap density of 4.2×1011 cm-2eV-1 were obtained. The buried layer a-IGZO TFTs exhibited enhanced transistor performance and excellent stability against the gate bias stress.

  20. Characterization and obtainment of thin films based on N,N,N-trimethyl chitosan and heparin through the technical layer-by-layer

    International Nuclear Information System (INIS)

    Martins, Alessandro F.; Follmann, Heveline D.M.; Rubira, Adley F.; Muniz, Edvani C.

    2011-01-01

    Thin films of Heparin (HP) and N,N,N-trimethyl chitosan (TMC) with a high degree of quaternization (DQ) were obtained at pH 7.4 through the layer-by-layer (LbL) technique. Polystyrene (PS) was oxidized with aqueous solution of sodium persulfate and subsequently employed as substrate. The characterization of TMC and the respective determination of DQ were performed through 1 H NMR spectroscopy. The thin films de TMC/HP were characterized by FTIR-ATR and AFM. Both techniques confirmed the adsorption of TMC and HP in surface of the PS. The increasing of the bilayers provides a decrease of the projections and/or roughness, further of minimizing the depressions at the surface of the films. Studies of thin films the base of TMC/HP prepared from the LbL technique has not been reported in the literature. It is expected that the thin films of TMC/HP present anti-adhesive and antimicrobial properties. (author)

  1. Stepwise crystallization and the layered distribution in crystallization kinetics of ultra-thin poly(ethylene terephthalate) film

    Energy Technology Data Exchange (ETDEWEB)

    Zuo, Biao, E-mail: chemizuo@zstu.edu.cn, E-mail: wxinping@yahoo.com; Xu, Jianquan; Sun, Shuzheng; Liu, Yue; Yang, Juping; Zhang, Li; Wang, Xinping, E-mail: chemizuo@zstu.edu.cn, E-mail: wxinping@yahoo.com [Department of Chemistry, Key Laboratory of Advanced Textile Materials and Manufacturing Technology of the Education Ministry, Zhejiang Sci-Tech University, Hangzhou 310018 (China)

    2016-06-21

    Crystallization is an important property of polymeric materials. In conventional viewpoint, the transformation of disordered chains into crystals is usually a spatially homogeneous process (i.e., it occurs simultaneously throughout the sample), that is, the crystallization rate at each local position within the sample is almost the same. Here, we show that crystallization of ultra-thin poly(ethylene terephthalate) (PET) films can occur in the heterogeneous way, exhibiting a stepwise crystallization process. We found that the layered distribution of glass transition dynamics of thin film modifies the corresponding crystallization behavior, giving rise to the layered distribution of the crystallization kinetics of PET films, with an 11-nm-thick surface layer having faster crystallization rate and the underlying layer showing bulk-like behavior. The layered distribution in crystallization kinetics results in a particular stepwise crystallization behavior during heating the sample, with the two cold-crystallization temperatures separated by up to 20 K. Meanwhile, interfacial interaction is crucial for the occurrence of the heterogeneous crystallization, as the thin film crystallizes simultaneously if the interfacial interaction is relatively strong. We anticipate that this mechanism of stepwise crystallization of thin polymeric films will allow new insight into the chain organization in confined environments and permit independent manipulation of localized properties of nanomaterials.

  2. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  3. Epitaxially influenced boundary layer model for size effect in thin metallic films

    International Nuclear Information System (INIS)

    Bazant, Zdenek P.; Guo Zaoyang; Espinosa, Horacio D.; Zhu Yong; Peng Bei

    2005-01-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films

  4. Epitaxially influenced boundary layer model for size effect in thin metallic films

    Science.gov (United States)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  5. Electron beam dosimetry for a thin-layer absorber irradiated by 300-keV electrons

    International Nuclear Information System (INIS)

    Kijima, Toshiyuki; Nakase, Yoshiaki

    1993-01-01

    Depth-dose distributions in thin-layer absorbers were measured for 300-keV electrons from a scanning-type irradiation system, the electrons having penetrated through a Ti-window and an air gap. Irradiations of stacks of cellulose triacetate(CTA) film were carried out using either a conveyor (i.e. dynamic irradiation) or fixed (i.e. static) irradiation. The sample was irradiated using various angles of incidence of electrons, in order to examine the effect of obliqueness of electron incidence at low-energy representative of routine radiation curing of thin polymeric or resin layers. Dynamic irradiation gives broader and shallower depth-dose distributions than static irradiation. Greater obliqueness of incident electrons gives results that can be explained in terms of broader and shallower depth-dose distributions. The back-scattering of incident electrons by a metal(Sn) backing material enhances the absorbed dose in a polymeric layer and changes the overall distribution. It is suggested that any theoretical estimations of the absorbed dose in thin layers irradiated in electron beam curing must be accomplished and supported by experimental data such as that provided by this investigation. (Author)

  6. Correlation between active layer thickness and ambient gas stability in IGZO thin-film transistors

    International Nuclear Information System (INIS)

    Gao, Xu; Mao, Bao-Hua; Wang, Sui-Dong; Lin, Meng-Fang; Shimizu, Maki; Mitoma, Nobuhiko; Kizu, Takio; Ou-Yang, Wei; Tsukagoshi, Kazuhito; Nabatame, Toshihide; Liu, Zhi

    2017-01-01

    Decreasing the active layer thickness has been recently reported as an alternative way to achieve fully depleted oxide thin-film transistors for the realization of low-voltage operations. However, the correlation between the active layer thickness and device resistivity to environmental changes is still unclear, which is important for the optimized design of oxide thin-film transistors. In this work, the ambient gas stability of IGZO thin-film transistors is found to be strongly correlated to the IGZO thickness. The TFT with the thinnest IGZO layer shows the highest intrinsic electron mobility in a vacuum, which is greatly reduced after exposure to O 2 /air. The device with a thick IGZO layer shows similar electron mobility in O 2 /air, whereas the mobility variation measured in the vacuum is absent. The thickness dependent ambient gas stability is attributed to a high-mobility region in the IGZO surface vicinity with less sputtering-induced damage, which will become electron depleted in O 2 /air due to the electron transfer to adsorbed gas molecules. The O 2 adsorption and deduced IGZO surface band bending is demonstrated by the ambient-pressure x-ray photoemission spectroscopy results. (paper)

  7. Recent Advances in Gas Barrier Thin Films via Layer-by-Layer Assembly of Polymers and Platelets.

    Science.gov (United States)

    Priolo, Morgan A; Holder, Kevin M; Guin, Tyler; Grunlan, Jaime C

    2015-05-01

    Layer-by-layer (LbL) assembly has emerged as the leading non-vacuum technology for the fabrication of transparent, super gas barrier films. The super gas barrier performance of LbL deposited films has been demonstrated in numerous studies, with a variety of polyelectrolytes, to rival that of metal and metal oxide-based barrier films. This Feature Article is a mini-review of LbL-based multilayer thin films with a 'nanobrick wall' microstructure comprising polymeric mortar and nano-platelet bricks that impart high gas barrier to otherwise permeable polymer substrates. These transparent, water-based thin films exhibit oxygen transmission rates below 5 × 10(-3) cm(3) m(-2) day(-1) atm(-1) and lower permeability than any other barrier material reported. In an effort to put this technology in the proper context, incumbent technologies such as metallized plastics, metal oxides, and flake-filled polymers are briefly reviewed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Enhanced stability of thin film transistors with double-stacked amorphous IWO/IWO:N channel layer

    Science.gov (United States)

    Lin, Dong; Pi, Shubin; Yang, Jianwen; Tiwari, Nidhi; Ren, Jinhua; Zhang, Qun; Liu, Po-Tsun; Shieh, Han-Ping

    2018-06-01

    In this work, bottom-gate top-contact thin film transistors with double-stacked amorphous IWO/IWO:N channel layer were fabricated. Herein, amorphous IWO and N-doped IWO were deposited as front and back channel layers, respectively, by radio-frequency magnetron sputtering. The electrical characteristics of the bi-layer-channel thin film transistors (TFTs) were examined and compared with those of single-layer-channel (i.e., amorphous IWO or IWO:N) TFTs. It was demonstrated to exhibit a high mobility of 27.2 cm2 V‑1 s‑1 and an on/off current ratio of 107. Compared to the single peers, bi-layer a-IWO/IWO:N TFTs showed smaller hysteresis and higher stability under negative bias stress and negative bias temperature stress. The enhanced performance could be attributed to its unique double-stacked channel configuration, which successfully combined the merits of the TFTs with IWO and IWO:N channels. The underlying IWO thin film provided percolation paths for electron transport, meanwhile, the top IWO:N layer reduced the bulk trap densities. In addition, the IWO channel/gate insulator interface had reduced defects, and IWO:N back channel surface was insensitive to the ambient atmosphere. Overall, the proposed bi-layer a-IWO/IWO:N TFTs show potential for practical applications due to its possibly long-term serviceability.

  9. Tests and Analysis of the Compressive Performance of an Integrated Masonry Structure of a Brick-Stem-Insulating Layer

    Directory of Open Access Journals (Sweden)

    Suizi Jia

    2016-05-01

    Full Text Available This paper proposes, for low buildings, an integrated wall structure of a brick-stem-insulating layer, which plays a major part in both heat preservation and force bearing. The research team has tested the thermal performance of the structure, the results of which are satisfying. To further study the force-bearing performance, the paper carries out compressive tests of specimens of different structural design, with two types of bricks, i.e., clay and recycled concrete bricks; three types of stems, i.e., square-shaped wood, square-shaped steel pipe and circular steel pipe; and one type of insulating layer, i.e., fly ash masonry blocks. Afterward, the force bearing performance, damage that occurred, compressive deformation and ductility of all of the specimens are compared. On the sideline, the structure is applied in the construction of a pilot residence project, yielding favorable outcomes. The results indicate that in comparison with a brick wall with an insulating layer sandwiched in between, the integrated wall structure of bricks and fly ash blocks is a more preferable choice in terms of compressive performance and ductility. The integrated wall structure of brick-stem-fly ash blocks delivers much better performance to this end. Note that regarding the stem’s contribution to compressive strength, circular steel pipe is highest, followed by square-shaped steel pipe and then square-shaped wood. The compressive performance of the sandwiched blocks surpasses that of the two brick wall pieces combined by a large margin.

  10. Approximate reflection coefficients for a thin VTI layer

    KAUST Repository

    Hao, Qi

    2017-09-18

    We present an approximate method to derive simple expressions for the reflection coefficients of P- and SV-waves for a thin transversely isotropic layer with a vertical symmetry axis (VTI) embedded in a homogeneous VTI background. The layer thickness is assumed to be much smaller than the wavelengths of P- and SV-waves inside. The exact reflection and transmission coefficients are derived by the propagator matrix method. In the case of normal incidence, the exact reflection and transmission coefficients are expressed in terms of the impedances of vertically propagating P- and S-waves. For subcritical incidence, the approximate reflection coefficients are expressed in terms of the contrast in the VTI parameters between the layer and the background. Numerical examples are designed to analyze the reflection coefficients at normal and oblique incidence, and investigate the influence of transverse isotropy on the reflection coefficients. Despite giving numerical errors, the approximate formulae are sufficiently simple to qualitatively analyze the variation of the reflection coefficients with the angle of incidence.

  11. Depopulation of Caged Layer Hens with a Compressed Air Foam System

    Science.gov (United States)

    Gurung, Shailesh; Hoffman, John; Stringfellow, Kendre; Abi-Ghanem, Daad; Zhao, Dan; Caldwell, David; Lee, Jason; Styles, Darrel; Berghman, Luc; Byrd, James; Farnell, Yuhua; Archer, Gregory

    2018-01-01

    Simple Summary Reportable diseases, such as avian influenza, spread rapidly among poultry, resulting in the death of a large number of birds. Once such a disease has been diagnosed at a farm, infected and susceptible birds are rapidly killed to prevent the spread of the disease. The methods to eliminate infected caged laying hens are limited. An experiment was conducted to study the effectiveness of foam made from compressed air, water, and soap to kill laying hens in cages. The study found that stress levels of the hens killed using compressed air foam in cages to be similar to the hens killed by carbon dioxide or the negative control. Hens exposed to carbon dioxide died earlier as compared to the foam methods. The authors conclude that application of compressed air foam in cages is an alternative to methods such as gas inhalation and ventilation shutdown to rapidly and humanely kill laying hens during epidemics. Abstract During the 2014–2015 US highly pathogenic avian influenza (HPAI) outbreak, 50.4 million commercial layers and turkeys were affected, resulting in economic losses of $3.3 billion. Rapid depopulation of infected poultry is vital to contain and eradicate reportable diseases like HPAI. The hypothesis of the experiment was that a compressed air foam (CAF) system may be used as an alternative to carbon dioxide (CO2) inhalation for depopulating caged layer hens. The objective of this study was to evaluate corticosterone (CORT) and time to cessation of movement (COM) of hens subjected to CAF, CO2 inhalation, and negative control (NEG) treatments. In Experiment 1, two independent trials were conducted using young and spent hens. Experiment 1 consisted of five treatments: NEG, CO2 added to a chamber, a CO2 pre-charged chamber, CAF in cages, and CAF in a chamber. In Experiment 2, only spent hens were randomly assigned to three treatments: CAF in cages, CO2 added to a chamber, and aspirated foam. Serum CORT levels of young hens were not significantly

  12. Sensitive Thin-Layer Chromatography Detection of Boronic Acids Using Alizarin

    NARCIS (Netherlands)

    Duval, F.L.; Beek, van T.A.; Zuilhof, H.

    2012-01-01

    A new method for the selective and sensitive detection of boronic acids on thin-layer chromatography plates is described. The plate is briefly dipped in an alizarin solution, allowed to dry in ambient air, and observed under 366 nm light. Alizarin emits a bright yellow fluorescence only in the

  13. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  14. Preparation of CulnSe2 thin films by paste coating

    Institute of Scientific and Technical Information of China (English)

    2008-01-01

    Precursor pastes were obtained by milling Cu-In alloys and Se powders.CuInSe2 thin films were successfully prepared by precursor layers,which were coated using these pastes,and were annealed in a H2 atmosphere.The pastes were tested by laser particle diameter analyzer,simultaneous thermogravimetric and differential thermal analysis instruments (TG-DTA),and X-ray diffractometry (XRD).Selenized films were characterized by XRD,scanning electron microscopy (SEM),and energy dispersive spectroscopy (EDS).The results indicate that chalcopyrite CuInSe2 is formed at 180℃ and the crystallinity of this phase is improved as the temperature rises.All the CuInSe2 thin films,which were annealed at various temperatures,exhibit the preferred orientation along the (112) plane.The compression of precursor layers before selenization step is one oftbe most essential factors for the preparation of perfect CulnSe2 thin films.

  15. Investigation of vanadium and nitride alloys thin layers deposited by PVD

    Directory of Open Access Journals (Sweden)

    Nouveau C.

    2012-06-01

    Full Text Available In this work we present the technique of magnetron vapor deposition and the effect of several deposition parameters on the structural and morphological properties of prepared thin films. It was noted that the deposition time has an effect on the crystallinity, mechanical properties such as residual stress, roughness surface and the layer composition from target products. Studies were carried out on layers of vanadium (V and the nitride vanadium (VN.

  16. Electroresistance effect in gold thin film induced by ionic-liquid-gated electric double layer

    International Nuclear Information System (INIS)

    Nakayama, Hiroyasu; Ohtani, Takashi; Fujikawa, Yasunori; Ando, Kazuya; Saitoh, Eiji; Ye, Jianting; Iwasa, Yoshihiro

    2012-01-01

    Electroresistance effect was detected in a metallic thin film using ionic-liquid-gated electric-double-layer transistors (EDLTs). We observed reversible modulation of the electric resistance of a Au thin film. In this system, we found that an electric double layer works as a nanogap capacitor with 27 (-25) MV cm -1 of electric field by applying only 1.7 V of positive (negative) gate voltage. The experimental results indicate that the ionic-liquid-gated EDLT technique can be used for controlling the surface electronic states on metallic systems. (author)

  17. Successful implementation of the stepwise layer-by-layer growth of MOF thin films on confined surfaces: Mesoporous silica foam as a first case study

    KAUST Repository

    Shekhah, Osama; Fu, Lei; Sougrat, Rachid; Belmabkhout, Youssef; Cairns, Amy; Giannelis, Emmanuel P.; Eddaoudi, Mohamed

    2012-01-01

    Here we report the successful growth of highly crystalline homogeneous MOF thin films of HKUST-1 and ZIF-8 on mesoporous silica foam, by employing a layer-by-layer (LBL) method. The ability to control and direct the growth of MOF thin films on confined surfaces, using the stepwise LBL method, paves the way for new prospective applications of such hybrid systems. © 2012 The Royal Society of Chemistry.

  18. Multi-layer thin-film electrolytes for metal supported solid oxide fuel cells

    Science.gov (United States)

    Haydn, Markus; Ortner, Kai; Franco, Thomas; Uhlenbruck, Sven; Menzler, Norbert H.; Stöver, Detlev; Bräuer, Günter; Venskutonis, Andreas; Sigl, Lorenz S.; Buchkremer, Hans-Peter; Vaßen, Robert

    2014-06-01

    A key to the development of metal-supported solid oxide fuel cells (MSCs) is the manufacturing of gas-tight thin-film electrolytes, which separate the cathode from the anode. This paper focuses the electrolyte manufacturing on the basis of 8YSZ (8 mol.-% Y2O3 stabilized ZrO2). The electrolyte layers are applied by a physical vapor deposition (PVD) gas flow sputtering (GFS) process. The gas-tightness of the electrolyte is significantly improved when sequential oxidic and metallic thin-film multi-layers are deposited, which interrupt the columnar grain structure of single-layer electrolytes. Such electrolytes with two or eight oxide/metal layers and a total thickness of about 4 μm obtain leakage rates of less than 3 × 10-4 hPa dm3 s-1 cm-2 (Δp: 100 hPa) at room temperature and therefore fulfill the gas tightness requirements. They are also highly tolerant with respect to surface flaws and particulate impurities which can be present on the graded anode underground. MSC cell tests with double-layer and multilayer electrolytes feature high power densities more than 1.4 W cm-2 at 850 °C and underline the high potential of MSC cells.

  19. Possible artefacts in thin layer chromatography of tritium-labelled hydrocortisone

    International Nuclear Information System (INIS)

    Sofronie, E.

    1982-12-01

    Artefacts appearing in thin layer chromatography of tritium labelled hydrocortisone are reported. Evidences are presented that these artefacts cause misleading results concerning radiocheemical purity determiniation. Finally, it is reported a rapid and efficient chromatographic technique allowing the elimination of these artefacts and obtaining of an accurate value for radiochemical purity. (author)

  20. Preparation of Cu{sub 2}ZnSnS{sub 4} thin films by sulfurizing stacked precursor thin films via successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Su Zhenghua; Yan Chang; Sun Kaiwen; Han Zili [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China); Liu Fangyang, E-mail: liufangyang@csu.edu.cn [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China); Liu Jin [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China); Lai Yanqing, E-mail: laiyanqingcsu@163.com [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China); Li Jie; Liu Yexiang [School of Metallurgical Science and Engineering, Central South University, Changsha 410083 (China)

    2012-07-15

    Earth-abundant Cu{sub 2}ZnSnS{sub 4} is a promising alternative photovoltaic material which has been examined as absorber layer of thin film solar cells. In this study, Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films have been successfully fabricated by sulfurizing stacked precursor thin films via successive ionic layer adsorption and reaction (SILAR) method. The prepared CZTS thin films have been characterized by X-ray diffraction, energy dispersive spectrometer, Raman spectroscopy, UV-vis spectroscopy, Hall effect measurements and photoelectrochemical tests. Results reveal that the thin films have kesterite structured Cu{sub 2}ZnSnS{sub 4} and the p-type conductivity with a carrier concentration in the order of 10{sup 18} cm{sup -3} and an optical band gap of 1.5 eV, which are suitable for applications in thin film solar cells.

  1. Effects of surface modification on the critical behaviour in multiple-surface-layer ferroelectric thin films

    International Nuclear Information System (INIS)

    Lu, Z X

    2013-01-01

    Using the usual mean-field theory approximation, the critical behaviour (i.e. the Curie temperature T c and the critical surface transverse field Ω sc ) in a multiple-surface-layer ferroelectric thin film is studied on the basis of the spin- 1/2 transverse Ising model. The dependence of the Curie temperature T c on the surface transverse field Ω s and the surface layer number N s are discussed in detail. Meanwhile the dependence of the critical surface transverse field Ω sc on the surface layer number N s is also examined. The numerical results indicate that the critical behaviour of ferroelectric thin films is obviously affected by modifications of the surface transverse field Ω s and surface layer number N s .

  2. Transient Response of Thin Wire above a Layered Half-Space Using TDIE/FDTD Hybrid Method

    Directory of Open Access Journals (Sweden)

    Bing Wei

    2012-01-01

    Full Text Available The TDIE/FDTD hybrid method is applied to calculate the transient responses of thin wire above a lossy layered half-space. The time-domain reflection of the layered half space is computed by one-dimensional modified FDTD method. Then, transient response of thin wire induced by two excitation sources (the incident wave and reflected wave is calculated by TDIE method. Finally numerical results are given to illustrate the feasibility and high efficiency of the presented scheme.

  3. Thin-layer scanner with a dot printer recorder for radiolabelled compounds

    International Nuclear Information System (INIS)

    Kralova, M.; Kysela, F.; Hradil, Z.

    1982-01-01

    A scanner combined with a matrix printer is described for automatic evaluation of thin-layer radiochromatographs of soft beta emitters such as 3 H, 14 C, and 32 P. Details of the device including block schemes and electrical schemes are given

  4. Bias of shear wave elasticity measurements in thin layer samples and a simple correction strategy.

    Science.gov (United States)

    Mo, Jianqiang; Xu, Hao; Qiang, Bo; Giambini, Hugo; Kinnick, Randall; An, Kai-Nan; Chen, Shigao; Luo, Zongping

    2016-01-01

    Shear wave elastography (SWE) is an emerging technique for measuring biological tissue stiffness. However, the application of SWE in thin layer tissues is limited by bias due to the influence of geometry on measured shear wave speed. In this study, we investigated the bias of Young's modulus measured by SWE in thin layer gelatin-agar phantoms, and compared the result with finite element method and Lamb wave model simulation. The result indicated that the Young's modulus measured by SWE decreased continuously when the sample thickness decreased, and this effect was more significant for smaller thickness. We proposed a new empirical formula which can conveniently correct the bias without the need of using complicated mathematical modeling. In summary, we confirmed the nonlinear relation between thickness and Young's modulus measured by SWE in thin layer samples, and offered a simple and practical correction strategy which is convenient for clinicians to use.

  5. Nano-crystalline thin and nano-particulate thick TiO2 layer: Cost effective sequential deposition and study on dye sensitized solar cell characteristics

    International Nuclear Information System (INIS)

    Das, P.; Sengupta, D.; Kasinadhuni, U.; Mondal, B.; Mukherjee, K.

    2015-01-01

    Highlights: • Thin TiO 2 layer is deposited on conducting substrate using sol–gel based dip coating. • TiO 2 nano-particles are synthesized using hydrothermal route. • Thick TiO 2 particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO 2 passivation layer is introduced between the mesoporous TiO 2 nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effect of passivation layer, other two DSSCs are also developed separately using TiO 2 nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO 2 compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO 2 layer in between the mesoporous TiO 2 nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons

  6. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  7. Resistivity scaling due to electron surface scattering in thin metal layers

    Science.gov (United States)

    Zhou, Tianji; Gall, Daniel

    2018-04-01

    The effect of electron surface scattering on the thickness-dependent electrical resistivity ρ of thin metal layers is investigated using nonequilibrium Green's function density functional transport simulations. Cu(001) thin films with thickness d =1 -2 nm are used as a model system, employing a random one-monolayer-high surface roughness and frozen phonons to cause surface and bulk scattering, respectively. The zero-temperature resistivity increases from 9.7 ±1.0 μ Ω cm at d =1.99 nm to 18.7 ±2.6 μ Ω cm at d =0.9 0 nm, contradicting the asymptotic T =0 prediction from the classical Fuchs-Sondheimer model. At T =9 00 K, ρ =5.8 ±0.1 μ Ω cm for bulk Cu and ρ =13.4 ±1.1 and 22.5 ±2.4 μ Ω cm for layers with d =1.99 and 0.90 nm, respectively, indicating an approximately additive phonon contribution which, however, is smaller than for bulk Cu or atomically smooth layers. The overall data indicate that the resistivity contribution from surface scattering is temperature-independent and proportional to 1 /d , suggesting that it can be described using a surface-scattering mean-free path λs for 2D transport which is channel-independent and proportional to d . Data fitting indicates λs=4 ×d for the particular simulated Cu(001) surfaces with a one-monolayer-high surface roughness. The 1 /d dependence deviates considerably from previous 1 /d2 predictions from quantum models, indicating that the small-roughness approximation in these models is not applicable to very thin (<2 nm) layers, where the surface roughness is a considerable fraction of d .

  8. Electromagnetic shielding effectiveness of a thin silver layer deposited onto PET film via atmospheric pressure plasma reduction

    Science.gov (United States)

    Oh, Hyo-Jun; Dao, Van-Duong; Choi, Ho-Suk

    2018-03-01

    This study presents the first use of a plasma reduction reaction under atmospheric pressure to fabricate a thin silver layer on polyethylene terephthalate (PET) film without the use of toxic chemicals, high voltages, or an expensive vacuum apparatus. The developed film is applied to electromagnetic interference (EMI) shielding. After repeatedly depositing a silver layer through a plasma reduction reaction on PET, we can successfully fabricate a uniformly deposited thin silver layer. It was found that both the particle size and film thickness of thin silver layers fabricated at different AgNO3 concentrations increase with an increase in the concentration of AgNO3. However, the roughness of the thin silver layer decreases when increasing the concentration of AgNO3 from 100 to 500 mM, and the roughness increases with a further increase in the concentration of AgNO3. The EMI shielding effectiveness (SE) of the film is measured in the frequency range of 0.045 to 1 GHz. As a result of optimizing the electrical conductivity by measuring sheet resistance of the thin silver layer, the film fabricated from 500 mM AgNO3 exhibits the highest EMI SE among all fabricated films. The maximum values of the EMI SE are 60.490 dB at 0.1 GHz and 54.721 dB at 1.0 GHz with minimum sheet resistance of 0.244 Ω/□. Given that the proposed strategy is simple and effective, it is promising for fabricating various low-cost metal films with high EMI SE.

  9. Transparent conducting oxide layers for thin film silicon solar cells

    NARCIS (Netherlands)

    Rath, J.K.; Liu, Y.; de Jong, M.M.; de Wild, J.; Schuttauf, J.A.; Brinza, M.; Schropp, R.E.I.

    2009-01-01

    Texture etching of ZnO:1%Al layers using diluted HCl solution provides excellent TCOs with crater type surface features for the front contact of superstrate type of thin film silicon solar cells. The texture etched ZnO:Al definitely gives superior performance than Asahi SnO2:F TCO in case of

  10. Low-Dimensional Nanomaterials as Active Layer Components in Thin-Film Photovoltaics

    Science.gov (United States)

    Shastry, Tejas Attreya

    Thin-film photovoltaics offer the promise of cost-effective and scalable solar energy conversion, particularly for applications of semi-transparent solar cells where the poor absorption of commercially-available silicon is inadequate. Applications ranging from roof coatings that capture solar energy to semi-transparent windows that harvest the immense amount of incident sunlight on buildings could be realized with efficient and stable thin-film solar cells. However, the lifetime and efficiency of thin-film solar cells continue to trail their inorganic silicon counterparts. Low-dimensional nanomaterials, such as carbon nanotubes and two-dimensional metal dichalcogenides, have recently been explored as materials in thin-film solar cells due to their exceptional optoelectronic properties, solution-processability, and chemical inertness. Thus far, issues with the processing of these materials has held back their implementation in efficient photovoltaics. This dissertation reports processing advances that enable demonstrations of low-dimensional nanomaterials in thin-film solar cells. These low-dimensional photovoltaics show enhanced photovoltaic efficiency and environmental stability in comparison to previous devices, with a focus on semiconducting single-walled carbon nanotubes as an active layer component. The introduction summarizes recent advances in the processing of carbon nanotubes and their implementation through the thin-film photovoltaic architecture, as well as the use of two-dimensional metal dichalcogenides in photovoltaic applications and potential future directions for all-nanomaterial solar cells. The following chapter reports a study of the interaction between carbon nanotubes and surfactants that enables them to be sorted by electronic type via density gradient ultracentrifugation. These insights are utilized to construct of a broad distribution of carbon nanotubes that absorb throughout the solar spectrum. This polychiral distribution is then shown

  11. Copper(II) Schiff base complexes and their mixed thin layers with ...

    Indian Academy of Sciences (India)

    Thin layer; ZnO nanoparticles; copper complexes; AFM; SEM; fluorescence. 1. Introduction ... ZnO nanopowders29,30 and ZnO nanoparticles doped by different metal ...... Roy S, Choubey S, Bhar K, Khan S, Mitra P and Ghosh. B K 2013 J. Mol ...

  12. Organo-layered double hydroxides composite thin films deposited by laser techniques

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Matei, A.; Dumitru, M.; Stokker-Cheregi, F.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest-Magurele (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest 030018 (Romania); Raditoiu, V.; Corobea, M.C. [National R.& D. Institute for Chemistry and Petrochemistry, ICECHIM, 202 Splaiul Independentei Str., CP-35-274, 060021 Bucharest (Romania)

    2016-06-30

    Highlights: • PLD and MAPLE was successfully used to produce organo-layered double hydroxides. • The organic anions (dodecyl sulfate-DS) were intercalated in co-precipitation step. • Zn2.5Al-LDH (Zn/Al = 2.5) and Zn2.5Al-DS thin films obtained in this work could be suitable for further applications as hydrophobic surfaces. - Abstract: We used laser techniques to create hydrophobic thin films of layered double hydroxides (LDHs) and organo-modified LDHs. A LDH based on Zn-Al with Zn{sup 2+}/Al{sup 3+} ratio of 2.5 was used as host material, while dodecyl sulfate (DS), which is an organic surfactant, acted as guest material. Pulsed laser deposition (PLD) and matrix assisted pulsed laser evaporation (MAPLE) were employed for the growth of the films. The organic anions were intercalated in co-precipitation step. The powders were subsequently used either as materials for MAPLE, or they were pressed and used as targets for PLD. The surface topography of the thin films was investigated by atomic force microscopy (AFM), the crystallographic structure of the powders and films was checked by X-ray diffraction. FTIR spectroscopy was used to evidence DS interlayer intercalation, both for powders and the derived films. Contact angle measurements were performed in order to establish the wettability properties of the as-prepared thin films, in view of functionalization applications as hydrophobic surfaces, owing to the effect of DS intercalation.

  13. Thin-layer chromatography and colorimetric analysis of multi-component explosive mixtures

    Science.gov (United States)

    Pagoria, Philip F.; Mitchell, Alexander R.; Whipple, Richard E.; Carman, M. Leslie

    2014-08-26

    A thin-layer chromatography method for detection and identification of common military and peroxide explosives in samples includes the steps of provide a reverse-phase thin-layer chromatography plate; prepare the plate by marking spots on which to deposit the samples by touching the plate with a marker; spot one micro liter of a first standard onto one of the spots, spot one micro liter of a second standard onto another of the spots, and spot samples onto other of spots producing a spotted plate; add eluent to a developing chamber; add the spotted plate to the developing chamber; remove the spotted plate from the developing chamber producing a developed plate; place the developed plate in an ultraviolet light box; add a visualization agent to a dip tank; dip the developed plate in the dip tank and remove the developed plate quickly; and detect explosives by viewing said developed plate.

  14. Determination of the thickness of chemically removed thin layers on GaAs VPE structures

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-01-01

    Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).

  15. Modeling of thin layer drying of tarragon (Artemisia dracunculus L.)

    NARCIS (Netherlands)

    ArabHosseini, A.; Huisman, W.; Boxtel, van A.J.B.; Mueller, J.

    2009-01-01

    The drying behavior of tarragon leaves as well as chopped plants were evaluated at air temperatures ranging from 40 to 90 °C, at various air relative humidities and a constant air velocity of 0.6 m/s. The experimental data was fitted to a number of thin layer drying equations. The equations were

  16. Inhomogeneous Relaxation of a Molecular Layer on an Insulator due to Compressive Stress

    Science.gov (United States)

    Bocquet, F.; Nony, L.; Mannsfeld, S. C. B.; Oison, V.; Pawlak, R.; Porte, L.; Loppacher, Ch.

    2012-05-01

    We discuss the inhomogeneous stress relaxation of a monolayer of hexahydroxytriphenylene (HHTP) which adopts the rare line-on-line (LOL) coincidence on KCl(001) and forms moiré patterns. The fact that the hexagonal HHTP layer is uniaxially compressed along the LOL makes this system an ideal candidate to discuss the influence of inhomogeneous stress relaxation. Our work is a combination of noncontact atomic force microscopy experiments, density functional theory and potential energy calculations, and a thorough interpretation by means of the Frenkel-Kontorova model. We show that the assumption of a homogeneous molecular layer is not valid for this organic-inorganic heteroepitaxial system since the best calculated energy configuration correlates with the experimental data only if inhomogeneous relaxations of the layer are taken into account.

  17. Automatic identification of single- and/or few-layer thin-film material

    DEFF Research Database (Denmark)

    2014-01-01

    One or more digital representations of single- (101) and/or few-layer (102) thin- film material are automatically identified robustly and reliably in a digital image (100), the digital image (100) having a predetermined number of colour components, by - determining (304) a background colour...... component of the digital image (100) for each colour component, and - determining or estimating (306) a colour component of thin-film material to be identified in the digital image (100) for each colour component by obtaining a pre-determined contrast value (C R; C G; C B) for each colour component...

  18. Effect of native oxide layers on copper thin-film tensile properties: A reactive molecular dynamics study

    Energy Technology Data Exchange (ETDEWEB)

    Skarlinski, Michael D., E-mail: michael.skarlinski@rochester.edu [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Quesnel, David J. [Materials Science Program, University of Rochester, Rochester, New York 14627 (United States); Department of Mechanical Engineering, University of Rochester, Rochester, New York 14627 (United States)

    2015-12-21

    Metal-oxide layers are likely to be present on metallic nano-structures due to either environmental exposure during use, or high temperature processing techniques such as annealing. It is well known that nano-structured metals have vastly different mechanical properties from bulk metals; however, difficulties in modeling the transition between metallic and ionic bonding have prevented the computational investigation of the effects of oxide surface layers. Newly developed charge-optimized many body [Liang et al., Mater. Sci. Eng., R 74, 255 (2013)] potentials are used to perform fully reactive molecular dynamics simulations which elucidate the effects that metal-oxide layers have on the mechanical properties of a copper thin-film. Simulated tensile tests are performed on thin-films while using different strain-rates, temperatures, and oxide thicknesses to evaluate changes in yield stress, modulus, and failure mechanisms. Findings indicate that copper-thin film mechanical properties are strongly affected by native oxide layers. The formed oxide layers have an amorphous structure with lower Cu-O bond-densities than bulk CuO, and a mixture of Cu{sub 2}O and CuO charge character. It is found that oxidation will cause modifications to the strain response of the elastic modulii, producing a stiffened modulii at low temperatures (<75 K) and low strain values (<5%), and a softened modulii at higher temperatures. While under strain, structural reorganization within the oxide layers facilitates brittle yielding through nucleation of defects across the oxide/metal interface. The oxide-free copper thin-film yielding mechanism is found to be a tensile-axis reorientation and grain creation. The oxide layers change the observed yielding mechanism, allowing for the inner copper thin-film to sustain an FCC-to-BCC transition during yielding. The mechanical properties are fit to a thermodynamic model based on classical nucleation theory. The fit implies that the oxidation of the

  19. Mesoscopic layered structure in conducting polymer thin film fabricated by potential-programmed electropolymerization

    Energy Technology Data Exchange (ETDEWEB)

    Fujitsuka, Mamoru (Div. of Molecular Engineering, Kyoto Univ. (Japan)); Nakahara, Reiko (Div. of Molecular Engineering, Kyoto Univ. (Japan)); Iyoda, Tomokazu (Div. of Molecular Engineering, Kyoto Univ. (Japan)); Shimidzu, Takeo (Div. of Molecular Engineering, Kyoto Univ. (Japan)); Tomita, Shigehisa (Toray Research Center Co., Ltd., Shiga (Japan)); Hatano, Yayoi (Toray Research Center Co., Ltd., Shiga (Japan)); Soeda, Fusami (Toray Research Center Co., Ltd., Shiga (Japan)); Ishitani, Akira (Toray Research Center Co., Ltd., Shiga (Japan)); Tsuchiya, Hajime (Nitto Technical Information Center Co., Ltd., Shimohozumi Ibaraki, Osaka (Japan)); Ohtani, Akira (Central Research Lab., Nitto Denko Co., Ltd., Shimohozumi Ibaraki, Osaka (Japan))

    1992-11-01

    Mesoscopic layered structures in conducting polymer thin films are fabricated by the potential-programmed electropolymerization method. High lateral quality in the layered structure is realized by the improvement of polymerization conditions, i.e., a mixture of pyrrole and bithiophene as monomers, a silicon single-crystal wafer as a working electrode and propylene carbonate as a solvent. SIMS depth profiling of the resulting layered films indicates a significant linear correlation between the electric charge passed and the thickness of the individual layers on a 100 A scale. (orig.)

  20. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  1. Continuum-Scale Modeling of Liquid Redistribution in a Stack of Thin Hydrophilic Fibrous Layers

    NARCIS (Netherlands)

    Tavangarrad, A.H.; Mohebbi, Behzad; Hassanizadeh, S.M.|info:eu-repo/dai/nl/074974424; Rosati, Rodrigo; Claussen, Jan; Blümich, Bernhard

    Macroscale three-dimensional modeling of fluid flow in a thin porous layer under unsaturated conditions is a challenging task. One major issue is that such layers do not satisfy the representative elementary volume length-scale requirement. Recently, a new approach, called reduced continua model

  2. Light scattering of thin azobenzene side-chain polyester layers

    DEFF Research Database (Denmark)

    Kerekes, Á.; Lörincz, E.; Ramanujam, P.S.

    2002-01-01

    Light scattering properties of liquid crystalline and amorphous azobenzene side-chain polyester layers used for optical data storage were examined by means of transmissive scatterometry. Comparative experiments show that the amorphous polyester has significantly lower light scattering...... characteristics than the liquid crystalline polyester. The amorphous samples have negligible polarization part orthogonal to the incident beam. the liquid crystalline samples have relative high orthogonal polarization part in light scattering, The light scattering results can be used to give a lower limit...... for the domain size in thin liquid crystalline polyester layers being responsible for the dominant light scattering. The characteristic domain Sizes obtained from the Fourier transformation of polarization microscopic Pictures confirm these values....

  3. Targets with thin ferromagnetic layers for transient field experiments

    International Nuclear Information System (INIS)

    Gallant, J.L.; Dmytrenko, P.

    1982-01-01

    Multilayer targets containing a central layer sufficiently thin so that all recoil nuclei can traverse it and subsequently stop in a suitable cubic environment have been prepared. Such targets are required in experiments making use of a magnetic field acting on an ion moving through a ferromagnetic material. The preparation and annealing of the ferromagnetic foils (iron and gadolinium) and the fabrication of the multilayer targets are described. (orig.)

  4. Crystalline Molybdenum Oxide Thin-Films for Application as Interfacial Layers in Optoelectronic Devices

    DEFF Research Database (Denmark)

    Fernandes Cauduro, André Luis; dos Reis, Roberto; Chen, Gong

    2017-01-01

    The ability to control the interfacial properties in metal-oxide thin films through surface defect engineering is vital to fine-tune their optoelectronic properties and thus their integration in novel optoelectronic devices. This is exemplified in photovoltaic devices based on organic, inorganic...... or hybrid technologies, where precise control of the charge transport properties through the interfacial layer is highly important for improving device performance. In this work, we study the effects of in situ annealing in nearly stoichiometric MoOx (x ∼ 3.0) thin-films deposited by reactive sputtering. We...... with structural characterizations, this work addresses a novel method for tuning, and correlating, the optoelectronic properties and microstructure of device-relevant MoOx layers....

  5. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  6. On-line and precise measurement of iron wear using thin layer activation reactions by proton beam

    International Nuclear Information System (INIS)

    Kosako, Toshiso; Nishimura, Kazuo.

    1990-01-01

    For the purpose of the on-line measurement of iron wear, thin layer activation (TLA) method or surface layer activation (SLA) method has been carried out since early 1970s. This method uses the irradiation of charged particle beam like protons from an accelerator onto a metal surface to produce a thin activated layer of several tens μm. The wear of this activated layer is measured by nondestructive on-line method with a radiation detector. There are two methods of the measurement. One is the activity loss measurement on the surface, and the other is the activity measurement of the metal debris collected in a filter. The former method is considered here. The purpose it to measure the wear of engine cam noses to help the development of good engine oil. Proton beam irradiation with a tandem van de Graaff accelerator, wear calibration using a gamma ray spectrometer, on-line wear measurement of cam noses of car engines by TLA method and so on are reported. The 7.00 MeV proton beam from a van de Graaff accelerator was used for activation, and Co-56, Co-57 and Co-58 were obtained in thin layers. (K.I.)

  7. Generalized wall function and its application to compressible turbulent boundary layer over a flat plate

    Science.gov (United States)

    Liu, J.; Wu, S. P.

    2017-04-01

    Wall function boundary conditions including the effects of compressibility and heat transfer are improved for compressible turbulent boundary flows. Generalized wall function formulation at zero-pressure gradient is proposed based on coupled velocity and temperature profiles in the entire near-wall region. The parameters in the generalized wall function are well revised. The proposed boundary conditions are integrated into Navier-Stokes computational fluid dynamics code that includes the shear stress transport turbulence model. Numerical results are presented for a compressible boundary layer over a flat plate at zero-pressure gradient. Compared with experimental data, the computational results show that the generalized wall function reduces the first grid spacing in the directed normal to the wall and proves the feasibility and effectivity of the generalized wall function method.

  8. Enhancement of absorption in vertically-oriented graphene sheets growing on a thin copper layer

    Energy Technology Data Exchange (ETDEWEB)

    Rozouvan, Tamara; Poperenko, Leonid [Taras Shevchenko National University of Kyiv, Department of Physics 4, Prospect Glushkova, Kyiv, 03187 (Ukraine); Kravets, Vasyl, E-mail: vasyl_kravets@yahoo.com [School of Physics and Astronomy, University of Manchester, Manchester, M13 9PL (United Kingdom); Shaykevich, Igor [Taras Shevchenko National University of Kyiv, Department of Physics 4, Prospect Glushkova, Kyiv, 03187 (Ukraine)

    2017-02-28

    Highlights: • The optical properties and surface structure of graphene films. • Chemical vapour deposition method. • Scanning tunneling microscopy revealed vertical crystal lattice structure of graphene layer. • We report a significant enhancement of the absorption band in the vertically-oriented graphene sheets. - Abstract: The optical properties and surface structure of graphene films grown on thin copper Cu (1 μm) layer using chemical vapour deposition method were investigated via spectroscopic ellipsometry and nanoscopic measurements. Angle variable ellipsometry measurements were performed to analyze the features of dispersion of the complex refractive index and optical conductivity. It was observed significant enhancement of the absorption band in the vertically-oriented graphene sheets layer with respect to the bulk graphite due to interaction between excited localized surface plasmon at surface of thin Cu layer and graphene’s electrons. Scanning tunneling microscopy measurements with atomic spatial resolution revealed vertical crystal lattice structure of the deposited graphene layer. The obtained results provide direct evidence of the strong influence of the growing condition and morphology of nanostructure on electronic and optical behaviours of graphene film.

  9. Normal and Reversed-Phase Thin Layer Chromatography of Green Leaf Extracts

    Science.gov (United States)

    Sjursnes, Birte Johanne; Kvittingen, Lise; Schmid, Rudolf

    2015-01-01

    Introductory experiments of chromatography are often conducted by separating colored samples, such as inks, dyes, and plant extracts, using filter paper, chalk, or thin layer chromatography (TLC) plates with various solvent systems. Many simple experiments have been reported. The relationship between normal chromatography and reversed-phase…

  10. Homogenization and dimension reduction of filtration combustion in heterogeneous thin layers

    NARCIS (Netherlands)

    Fatima, T.; Ijioma, E.R.; Ogawa, T.; Muntean, A.

    2014-01-01

    We study the homogenization of a reaction-diffusion-convection system posed in an e-periodic d-thin layer made of a two-component (solid-air) composite material. The microscopic system includes heat flow, diffusion and convection coupled with a nonlinear surface chemical reaction. We treat two

  11. Natively textured surface hydrogenated gallium-doped zinc oxide transparent conductive thin films with buffer layers for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xin-liang, E-mail: cxlruzhou@163.com; Wang, Fei; Geng, Xin-hua; Huang, Qian; Zhao, Ying; Zhang, Xiao-dan

    2013-09-02

    Natively textured surface hydrogenated gallium-doped zinc oxide (HGZO) thin films have been deposited via magnetron sputtering on glass substrates. These natively textured HGZO thin films exhibit rough pyramid-like textured surface, high optical transmittances in the visible and near infrared region and excellent electrical properties. The experiment results indicate that tungsten-doped indium oxide (In{sub 2}O{sub 3}:W, IWO) buffer layers can effectively improve the surface roughness and enhance the light scattering ability of HGZO thin films. The root-mean-square roughness of HGZO, IWO (10 nm)/HGZO and IWO (30 nm)/HGZO thin films are 28, 44 and 47 nm, respectively. The haze values at the wavelength of 550 nm increase from 7.0% of HGZO thin film without buffer layer to 18.37% of IWO (10 nm)/HGZO thin film. The optimized IWO (10 nm)/HGZO exhibits a high optical transmittance of 82.18% in the visible and near infrared region (λ ∼ 400–1100 nm) and excellent electrical properties with a relatively low sheet resistance of 3.6 Ω/□ and the resistivity of 6.21 × 10{sup −4} Ωcm. - Highlights: • Textured hydrogenated gallium-doped zinc oxide (HGZO) films were developed. • Tungsten-doped indium oxide (IWO) buffer layers were applied for the HGZO films. • Light-scattering ability of the HGZO films can be improved through buffer layers. • Low sheet resistance and high haze were obtained for the IWO(10 nm)/HGZO film. • The IWO/HGZO films are promising transparent conductive layers for solar cells.

  12. Measurement of the oxygen binding properties of haemocyanin with the aid of a thin-layer optical cell

    Directory of Open Access Journals (Sweden)

    W. J. van Aardt

    1992-07-01

    Full Text Available The merits of the thin-layer method of Dolman and Gill to study the oxygen binding of haemocyanin were experimentally tested with haemocyanin obtained from arthropods and molluscs. The results show that, spectrophotometrically, oxyhaemocyanin from both arthropods and molluscs has a prominent absorption peak between 335 and 345 nm. Haemocyanin is more stable in the thin- layer preparation when compared with haemoglobin. At 35 °C a decrease in the initial absorbance value of less than 2% was found after three hours. For haemoglobin the initial value decreases nearly 10% during the same period. For a high-affinity haemocyanin such as Marisa cornuarietis (P⁵⁰ = 1,07 mmHg the thin-layer method of Dolman and Gill (1978 shows better PO₂ resolution than other methods. The reason for this is that the dilution valve, which is absent in other thin-layer methods, intrinsically measures the resultant PO₂ values at very low tensions more accurately. In this study a step-by-step explanation of the technique is given. The calculations have been explained using real figures and examples. It is hoped that this detailed description will make this technique more readily available for use by respiratory physiologists.

  13. Temperature dependence of magnetically dead layers in ferromagnetic thin-films

    Directory of Open Access Journals (Sweden)

    M. Tokaç

    2017-11-01

    Full Text Available Polarized neutron reflectometry has been used to study interface magnetism and magnetic dead layers in model amorphous CoFeB:Ta alloy thin-film multilayers with Curie temperatures tuned to be below room-temperature. This allows temperature dependent variations in the effective magnetic thickness of the film to be determined at temperatures that are a significant fraction of the Curie temperature, which cannot be achieved in the material systems used for spintronic devices. In addition to variation in the effective magnetic thickness due to compositional grading at the interface with the tantalum capping layer, the key finding is that at the interface between ferromagnetic film and GaAs(001 substrate local interfacial alloying creates an additional magnetic dead-layer. The thickness of this magnetic dead-layer is temperature dependent, which may have significant implications for elevated-temperature operation of hybrid ferromagnetic metal-semiconductor spintronic devices.

  14. Application of Thin ZnO ALD Layers in Fiber-Optic Fabry-Pérot Sensing Interferometers

    Directory of Open Access Journals (Sweden)

    Daria Majchrowicz

    2016-03-01

    Full Text Available In this paper we investigated the response of a fiber-optic Fabry-Pérot sensing interferometer with thin ZnO layers deposited on the end faces of the optical fibers forming the cavity. Standard telecommunication single-mode optical fiber (SMF-28 segments were used with the thin ZnO layers deposited by Atomic Layer Deposition (ALD. Measurements were performed with the interferometer illuminated by two broadband sources operating at 1300 nm and 1550 nm. Reflected interference signal was acquired by an optical spectrum analyzer while the length of the air cavity was varied. Thickness of the ZnO layers used in the experiments was 50 nm, 100 nm, and 200 nm. Uncoated SMF-28 fiber was also used as a reference. Based on the results of measurements, the thickness of the ZnO layers and the length of the cavity were selected in order to achieve good visibility. Following, the interferometer was used to determine the refractive index of selected liquids.

  15. Flow influence on a mode of flow choking in the airfoil cascade of the thin plates

    Directory of Open Access Journals (Sweden)

    Л.Г. Волянська

    2005-01-01

    Full Text Available  Flow of viscous compressible gas is considered in the airfoil cascade of the thin plates with great negative angle of attack. Influence of wall boundary layer upon a mode of  flow choking in the airfoil cascade is estimated in the article.

  16. Visible light dynamical diffraction in a 1-D photonic crystal-based interferometer with an extremely thin spacer layer

    International Nuclear Information System (INIS)

    Prudnikov, I.R.

    2016-01-01

    Properties of light diffraction in a Fabry–Pérot-like interferometer composed of two 1-D photonic crystals and a nanometer-thick spacer layer are analytically investigated. It is shown that the resonant enhancement of light wave intensity in such a layer is possible because of light dynamical diffraction from the photonic crystals of the interferometer. Numerical simulations of (i) light reflectivity and transmittance curves of the interferometer having an ultra-thin spacer layer (its thickness changes from less than 1 nm to about 10 nm) and (ii) the resonant distribution of the light wave intensity in the vicinity of the layer are performed. Based on the numerical simulations, potentialities for the determination of the structural parameters (e.g., thicknesses and refraction indexes) of ultra-thin spacer films are discussed. A difference is found to appear in resonant intensity enhancements inside the ultra-thin spacer layers between s- and p-polarized light waves.

  17. Prediction of transmittance spectra for transparent composite electrodes with ultra-thin metal layers

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Zhao; Alford, T. L., E-mail: TA@asu.edu [School for Engineering of Matter, Transport, and Energy, Arizona State University, Tempe, Arizona 85287 (United States); Khorasani, Arash Elhami [ON Semiconductor Corp., Phoenix, Arizona 85005 (United States); Theodore, N. D. [CHD-Fab, Freescale Semiconductor Inc., Tempe, Arizona 85224 (United States); Dhar, A. [Intel Corp., 2501 NW 229th Ave, Hillsboro, Oregon 97124 (United States)

    2015-11-28

    Recent interest in indium-free transparent composite-electrodes (TCEs) has motivated theoretical and experimental efforts to better understand and enhance their electrical and optical properties. Various tools have been developed to calculate the optical transmittance of multilayer thin-film structures based on the transfer-matrix method. However, the factors that affect the accuracy of these calculations have not been investigated very much. In this study, two sets of TCEs, TiO{sub 2}/Au/TiO{sub 2} and TiO{sub 2}/Ag/TiO{sub 2}, were fabricated to study the factors that affect the accuracy of transmittance predictions. We found that the predicted transmittance can deviate significantly from measured transmittance for TCEs that have ultra-thin plasmonic metal layers. The ultrathin metal layer in the TCE is typically discontinuous. When light interacts with the metallic islands in this discontinuous layer, localized surface plasmons are generated. This causes extra light absorption, which then leads to the actual transmittance being lower than the predicted transmittance.

  18. Nano-crystalline thin and nano-particulate thick TiO{sub 2} layer: Cost effective sequential deposition and study on dye sensitized solar cell characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Das, P.; Sengupta, D. [Centre for Advanced Materials Processing, CSIR-Central Mechanical Engineering Research Institute, Durgapur, 713209 West Bengal (India); CSIR-Central Mechanical Engineering Research Institute, Academy of Scientific and Innovative Research (AcSIR), Durgapur, 713209 West Bengal (India); Kasinadhuni, U. [Department of Engineering Physics, Bengal College of Engineering and Technology, Durgapur, West Bengal (India); Mondal, B. [Centre for Advanced Materials Processing, CSIR-Central Mechanical Engineering Research Institute, Durgapur, 713209 West Bengal (India); Mukherjee, K., E-mail: kalisadhanm@yahoo.com [Centre for Advanced Materials Processing, CSIR-Central Mechanical Engineering Research Institute, Durgapur, 713209 West Bengal (India)

    2015-06-15

    Highlights: • Thin TiO{sub 2} layer is deposited on conducting substrate using sol–gel based dip coating. • TiO{sub 2} nano-particles are synthesized using hydrothermal route. • Thick TiO{sub 2} particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO{sub 2} passivation layer is introduced between the mesoporous TiO{sub 2} nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effect of passivation layer, other two DSSCs are also developed separately using TiO{sub 2} nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO{sub 2} compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO{sub 2} layer in between the mesoporous TiO{sub 2} nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons.

  19. Superhydrophobic Thin Films Fabricated by Reactive Layer-by-Layer Assembly of Azlactone-Functionalized Polymers.

    Science.gov (United States)

    Buck, Maren E; Schwartz, Sarina C; Lynn, David M

    2010-09-11

    We report an approach to the fabrication of superhydrophobic thin films that is based on the 'reactive' layer-by-layer assembly of azlactone-containing polymer multilayers. We demonstrate that films fabricated from alternating layers of the azlactone functionalized polymer poly(2-vinyl-4,4-dimethylazlactone) (PVDMA) and poly(ethyleneimine) (PEI) exhibit micro- and nanoscale surface features that result in water contact angles in excess of 150º. Our results reveal that the formation of these surface features is (i) dependent upon film thickness (i.e., the number of layers of PEI and PVDMA deposited) and (ii) that it is influenced strongly by the presence (or absence) of cyclic azlactone-functionalized oligomers that can form upon storage of the 2-vinyl-4,4-dimethylazlactone (VDMA) used to synthesize PVDMA. For example, films fabricated using polymers synthesized in the presence of these oligomers exhibited rough, textured surfaces and superhydrophobic behavior (i.e., advancing contact angles in excess of 150º). In contrast, films fabricated from PVDMA polymerized in the absence of this oligomer (e.g., using freshly distilled monomer) were smooth and only moderately hydrophobic (i.e., advancing contact angles of ~75º). The addition of authentic, independently synthesized oligomer to samples of distilled VDMA at specified and controlled concentrations permitted reproducible fabrication of superhydrophobic thin films on the surfaces of a variety of different substrates. The surfaces of these films were demonstrated to be superhydrophobic immediately after fabrication, but they became hydrophilic after exposure to water for six days. Additional experiments demonstrated that it was possible to stabilize and prolong the superhydrophobic properties of these films (e.g., advancing contact angles in excess of 150° even after complete submersion in water for at least six weeks) by exploiting the reactivity of residual azlactones to functionalize the surfaces of the films

  20. Magnetic properties of Cobalt thin films deposited on soft organic layers

    Energy Technology Data Exchange (ETDEWEB)

    Bergenti, I. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy)]. E-mail: i.bergenti@bo.ismn.cnr.it; Riminucci, A. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy); Arisi, E. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy); Murgia, M. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy); Cavallini, M. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy); Solzi, M. [Dipartimento di Fisica dell' Universita di Parma and CNISM, Parco Area delle Scienze 7/A, Parma 43100 (Italy); Casoli, F. [IMEM-CNR Parco Area delle Scienze 37/A, Parma 43100 (Italy); Dediu, V. [ISMN-CNR via P. Gobetti 101, Bologna 40129 (Italy)

    2007-09-15

    Magnetic and morphological properties of Cobalt thin films grown by RF sputtering on organic Alq3 layers were investigated by magneto-optical Kerr effect (MOKE) technique and atomic force microscopy (AFM). The AFM images indicate a template growth of Co layers on top of Alq3, the magnetic film 'decorates' the surface of organic material. This peculiar morphology induces a strong uniaxial magnetic anisotropy in the Co films, as detected by MOKE measurements. Results are important for the operation of a new class of devices-vertical organic spin valves.

  1. Reversed-phase thin-layer chromatography of the rare earth elements

    International Nuclear Information System (INIS)

    Kuroda, R.; Adachi, M.; Oguma, K.

    1988-01-01

    Partition chromatographic behaviour of the rare earth elements on C 18 bonded silica reversed-phase material has been investigated by thin-layer chromatography in methanol - lactate media. The rare earth lactato complexes are distributed and fractionated on bonded silica layers without ion-interaction reagents. The concentration and pH of lactate solution, methanol concentration and temperature have effects on the migration and resolution of the rare earth elements. The partition system is particularly suited to separate adjacent rare earths of middle atomic weight groups, allowing the separation of gadolinium, terbium, dysprosium, holmium, erbium and thulium to be achieved by development to 18 cm distance. (orig.)

  2. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    International Nuclear Information System (INIS)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H.; Kinge, Sachin

    2015-01-01

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO 2 layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10 −2  A W −1 and a shot-derived specific detectivity of 3 × 10 9  Jones at 1530 nm wavelength

  3. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  4. Performance of a Polymer Flood with Shear-Thinning Fluid in Heterogeneous Layered Systems with Crossflow

    Directory of Open Access Journals (Sweden)

    Kun Sang Lee

    2011-08-01

    Full Text Available Assessment of the potential of a polymer flood for mobility control requires an accurate model on the viscosities of displacement fluids involved in the process. Because most polymers used in EOR exhibit shear-thinning behavior, the effective viscosity of a polymer solution is a highly nonlinear function of shear rate. A reservoir simulator including the model for the shear-rate dependence of viscosity was used to investigate shear-thinning effects of polymer solution on the performance of the layered reservoir in a five-spot pattern operating under polymer flood followed by waterflood. The model can be used as a quantitative tool to evaluate the comparative studies of different polymer flooding scenarios with respect to shear-rate dependence of fluids’ viscosities. Results of cumulative oil recovery and water-oil ratio are presented for parameters of shear-rate dependencies, permeability heterogeneity, and crossflow. The results of this work have proven the importance of taking non-Newtonian behavior of polymer solution into account for the successful evaluation of polymer flood processes. Horizontal and vertical permeabilities of each layer are shown to impact the predicted performance substantially. In reservoirs with a severe permeability contrast between horizontal layers, decrease in oil recovery and sudden increase in WOR are obtained by the low sweep efficiency and early water breakthrough through highly permeable layer, especially for shear-thinning fluids. An increase in the degree of crossflow resulting from sufficient vertical permeability is responsible for the enhanced sweep of the low permeability layers, which results in increased oil recovery. It was observed that a thinning fluid coefficient would increase injectivity significantly from simulations with various injection rates. A thorough understanding of polymer rheology in the reservoir and accurate numerical modeling are of fundamental importance for the exact estimation

  5. [Thin layer agar represents a cost-effective alternative for the rapid diagnosis of multi-drug resistant tuberculosis].

    Science.gov (United States)

    Hernández-Sarmiento, José M; Martínez-Negrete, Milton A; Castrillón-Velilla, Diana M; Mejía-Espinosa, Sergio A; Mejía-Mesa, Gloria I; Zapata-Fernández, Elsa M; Rojas-Jiménez, Sara; Marín-Castro, Andrés E; Robledo-Restrepo, Jaime A

    2014-01-01

    Using cost-benefit analysis for comparing the thin-layer agar culture method to the standard multiple proportion method used in diagnosing multidrug-resistant tuberculosis (MDR TB). A cost-benefit evaluation of two diagnostic tests was made at the Corporación para Investigaciones Biológicas (CIB) in Medellín, Colombia. 100 patients were evaluated; 10.8% rifampicin resistance and 14.3% isoniazid resistance were found. A computer-based decision tree model was used for cost-effectiveness analysis (Treeage Pro); the thin-layer agar culture method was most cost-effective, having 100% sensitivity, specificity and predictive values for detecting rifampicin and isoniazid resistance. The multiple proportion method value was calculated as being US$ 71 having an average 49 day report time compared to US$ 18 and 14 days for the thin-layer agar culture method. New technologies have been developed for diagnosing tuberculosis which are apparently faster and more effective; their operating characteristics must be evaluated as must their effectiveness in terms of cost-benefit. The present study established that using thin-layer agar culture was cheaper, equally effective and could provide results more quickly than the traditional method. This implies that a patient could receive MDR TB treatment more quickly.

  6. Purification of 3H-dihydroalprenolol by two dimensional thin layer chromatography

    International Nuclear Information System (INIS)

    Smisterova, J.; Soltes, L.; Kallay, Z.

    1989-01-01

    A two dimensional thin-layer chromatographic method was developed for the purification and analysis of (-)-[ 3 H]dihydroalprenolol by using an acidic mobile phase (butanol/water/acetic acid 25:10:4, v/v) in one direction and a basic eluent (chloroform/acetone/triethylamine 50:40:10, v/v) in another direction. (author)

  7. Uncertainty Assessments of 2D and Axisymmetric Hypersonic Shock Wave - Turbulent Boundary Layer Interaction Simulations at Compression Corners

    Science.gov (United States)

    Gnoffo, Peter A.; Berry, Scott A.; VanNorman, John W.

    2011-01-01

    This paper is one of a series of five papers in a special session organized by the NASA Fundamental Aeronautics Program that addresses uncertainty assessments for CFD simulations in hypersonic flow. Simulations of a shock emanating from a compression corner and interacting with a fully developed turbulent boundary layer are evaluated herein. Mission relevant conditions at Mach 7 and Mach 14 are defined for a pre-compression ramp of a scramjet powered vehicle. Three compression angles are defined, the smallest to avoid separation losses and the largest to force a separated flow engaging more complicated flow physics. The Baldwin-Lomax and the Cebeci-Smith algebraic models, the one-equation Spalart-Allmaras model with the Catrix-Aupoix compressibility modification and two-equation models including Menter SST, Wilcox k-omega 98, and Wilcox k-omega 06 turbulence models are evaluated. Each model is fully defined herein to preclude any ambiguity regarding model implementation. Comparisons are made to existing experimental data and Van Driest theory to provide preliminary assessment of model form uncertainty. A set of coarse grained uncertainty metrics are defined to capture essential differences among turbulence models. Except for the inability of algebraic models to converge for some separated flows there is no clearly superior model as judged by these metrics. A preliminary metric for the numerical component of uncertainty in shock-turbulent-boundary-layer interactions at compression corners sufficiently steep to cause separation is defined as 55%. This value is a median of differences with experimental data averaged for peak pressure and heating and for extent of separation captured in new, grid-converged solutions presented here. This value is consistent with existing results in a literature review of hypersonic shock-turbulent-boundary-layer interactions by Roy and Blottner and with more recent computations of MacLean.

  8. Effect of multi-layered bottom electrodes on the orientation of strontium-doped lead zirconate titanate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bhaskaran, M. [Microelectronics and Materials Technology Centre, School of Electrical and Computer Engineering, RMIT University, GPO Box 2476V, Melbourne, Victoria 3001 (Australia)], E-mail: madhu.bhaskaran@gmail.com; Sriram, S. [Microelectronics and Materials Technology Centre, School of Electrical and Computer Engineering, RMIT University, GPO Box 2476V, Melbourne, Victoria 3001 (Australia); Mitchell, D.R.G.; Short, K.T. [Institute of Materials Engineering, Australian Nuclear Science and Technology Organisation (ANSTO), PMB 1, Menai, New South Wales 2234 (Australia); Holland, A.S. [Microelectronics and Materials Technology Centre, School of Electrical and Computer Engineering, RMIT University, GPO Box 2476V, Melbourne, Victoria 3001 (Australia)

    2008-09-30

    This article discusses the results from X-ray diffraction (XRD) analysis of piezoelectric strontium-doped lead zirconate titanate (PSZT) thin films deposited on multi-layer coatings on silicon. The films were deposited by RF magnetron sputtering on a metal coated substrate. The aim was to exploit the pronounced piezoelectric effect that is theoretically expected normal to the substrate. This work highlighted the influence that the bottom electrode architecture exerts on the final crystalline orientation of the deposited thin films. A number of bottom electrode architectures were used, with the uppermost metal layer on which PSZT was deposited being gold or platinum. The XRD analysis revealed that the unit cell of the PSZT thin films deposited on gold and on platinum were deformed, relative to expected unit cell dimensions. Experimental results have been used to estimate the unit cell parameters. The XRD results were then indexed based on these unit cell parameters. The choice and the thickness of the intermediate adhesion layers influenced the relative intensity, and in some cases, the presence of perovskite peaks. In some cases, undesirable reactions between the bottom electrode layers were observed, and layer architectures to overcome these reactions are also discussed.

  9. Thin-layer effects in glaciological seismic amplitude-versus-angle (AVA analysis: implications for characterising a subglacial till unit, Russell Glacier, West Greenland

    Directory of Open Access Journals (Sweden)

    A. D. Booth

    2012-08-01

    Full Text Available Seismic amplitude-versus-angle (AVA methods are a powerful means of quantifying the physical properties of subglacial material, but serious interpretative errors can arise when AVA is measured over a thinly-layered substrate. A substrate layer with a thickness less than 1/4 of the seismic wavelength, λ, is considered "thin", and reflections from its bounding interfaces superpose and appear in seismic data as a single reflection event. AVA interpretation of subglacial till can be vulnerable to such thin-layer effects, since a lodged (non-deforming till can be overlain by a thin (metre-scale cap of dilatant (deforming till. We assess the potential for misinterpretation by simulating seismic data for a stratified subglacial till unit, with an upper dilatant layer between 0.1–5.0 m thick (λ / 120 to > λ / 4, with λ = 12 m. For dilatant layers less than λ / 6 thick, conventional AVA analysis yields acoustic impedance and Poisson's ratio that indicate contradictory water saturation. A thin-layer interpretation strategy is proposed, that accurately characterises the model properties of the till unit. The method is applied to example seismic AVA data from Russell Glacier, West Greenland, in which characteristics of thin-layer responses are evident. A subglacial till deposit is interpreted, having lodged till (acoustic impedance = 4.26±0.59 × 106 kg m−2 s−1 underlying a water-saturated dilatant till layer (thickness < 2 m, Poisson's ratio ~ 0.5. Since thin-layer considerations offer a greater degree of complexity in an AVA interpretation, and potentially avoid misinterpretations, they are a valuable aspect of quantitative seismic analysis, particularly for characterising till units.

  10. Drag crisis moderation by thin air layers sustained on superhydrophobic spheres falling in water

    KAUST Repository

    Jetly, Aditya

    2018-01-22

    We investigate the effect of thin air layers naturally sustained on superhydrophobic surfaces on the terminal velocity and drag force of metallic spheres free falling in water. The surface of 20 mm to 60 mm steel or tungsten-carbide spheres is rendered superhydrophobic by a simple coating process that uses commercially available hydrophobic agent. By comparing the free fall of unmodified spheres and superhydrophobic spheres in a 2.5 meters tall water tank, It is demonstrated that even a very thin air layer (~ 1 – 2 μm) that covers the freshly dipped superhydrophobic sphere, can reduce the drag force on the spheres by up to 80 %, at Reynolds numbers 105 - 3×105 , owing to an early drag crisis transition. This study complements prior investigations on the drag reduction efficiency of model gas layers sustained on heated metal spheres falling in liquid by the Leidenfrost effect. The drag reduction effects are expected to have significant implication for the development of sustainable air-layer-based energy saving technologies.

  11. High performance sandwich structured Si thin film anodes with LiPON coating

    Science.gov (United States)

    Luo, Xinyi; Lang, Jialiang; Lv, Shasha; Li, Zhengcao

    2018-04-01

    The sandwich structured silicon thin film anodes with lithium phosphorus oxynitride (LiPON) coating are synthesized via the radio frequency magnetron sputtering method, whereas the thicknesses of both layers are in the nanometer range, i.e. between 50 and 200 nm. In this sandwich structure, the separator simultaneously functions as a flexible substrate, while the LiPON layer is regarded as a protective layer. This sandwich structure combines the advantages of flexible substrate, which can help silicon release the compressive stress, and the LiPON coating, which can provide a stable artificial solidelectrolyte interphase (SEI) film on the electrode. As a result, the silicon anodes are protected well, and the cells exhibit high reversible capacity, excellent cycling stability and good rate capability. All the results demonstrate that this sandwich structure can be a promising option for high performance Si thin film lithium ion batteries.

  12. Effect of a hard coat layer on buckle delamination of thin ITO layers on a compliant elasto-plastic substrate: an experimental–numerical approach

    NARCIS (Netherlands)

    Sluis, van der O.; Abdallah, Amir; Bouten, P.C.P.; Timmermans, P.H.M.; Toonder, den J.M.J.; With, de G.

    2011-01-01

    Layer buckling and delamination is a common interfacial failure phenomenon in thin film multi-layer structures that are used in flexible display applications. Typically, the substrate is coated on both sides with a hybrid coating, calleda hard coat (HC), which acts as a gas barrier and also

  13. Use of the water-soluble fluor sodium salicylate for fluorographic detection of tritium in thin-layer chromatograms and nitrocellulose blots

    International Nuclear Information System (INIS)

    Lucher, L.A.; Lego, T.

    1989-01-01

    We have determined that sodium salicylate, a water-soluble fluor which we use routinely for fluorography with polyacrylamide gels, is also useful for fluorography with thin-layer media. Detection of 3 H-labeled material applied to thin-layer chromatography plates, or nitrocellulose membranes, can be enhanced up to 150-fold after treatment with an aqueous solution of 2 M sodium salicylate, while detection of 35 S-labeled material is enhanced only about 2-fold. We demonstrate the utility of sodium salicylate fluorography in detecting 3H-labeled palmitic acid following thin-layer chromatography and 3 H-labeled proteins following blotting to nitrocellulose

  14. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  15. Effect of atmospheric-pressure plasma treatment on the adhesion properties of a thin adhesive layer in a selective transfer process

    Science.gov (United States)

    Yoon, Min-Ah; Kim, Chan; Hur, Min; Kang, Woo Seok; Kim, Jaegu; Kim, Jae-Hyun; Lee, Hak-Joo; Kim, Kwang-Seop

    2018-01-01

    The adhesion between a stamp and thin film devices is crucial for their transfer on a flexible substrate. In this paper, a thin adhesive silicone layer on the stamp was treated by atmospheric pressure plasma to locally control the adhesion strength for the selective transfer. The adhesion strength of the silicone layer was significantly reduced after the plasma treatment, while its surface energy was increased. To understand the inconsistency between the adhesion strength and surface energy changes, the surface properties of the silicone layer were characterized using nanoindentation and X-ray photoelectron spectroscopy. These techniques revealed that a thin, hard, silica-like layer had formed on the surface from plasma-enhanced oxidation. This layer played an important role in decreasing the contact area and increasing the interfacial slippage, resulting in decreased adhesion. As a practical application, the transfer process was demonstrated on GaN LEDs that had been previously delaminated by a laser lift-off (LLO) process. Although the LEDs were not transferred onto the treated adhesive layer due to the reduced adhesion, the untreated adhesive layer could readily pick up the LEDs. It is expected that this simple method of controlling the adhesion of a stamp with a thin adhesive layer would enable a continuous, selective and large-scale roll-to-roll selective transfer process and thereby advance the development of flexible, stretchable and wearable electronics.

  16. Analysis of influence of buffer layers on microwave propagation through high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Ceremuga, J.; Barton, M.; Miranda, F.

    1994-01-01

    Methods of analysis of microwave propagation through superconducting thin films with buffer layers on dielectric substrates have been discussed. Expressions describing the transmission coefficient S 21 through the structure and the complex conductivity sigma of a superconductor in an analytical form have been derived. The derived equations are valid for microwave propagation in waveguides as well as in free space with relevant definition of impedances. Using the obtained solutions, the influences of buffer layers' parameters (thickness, relative permittivity and loss tangent) on the transmission coefficient has been investigated using MATLAB. Simulations have been performed for 10 GHz transmission through YBa 2 Cu 3 O 7 films on sapphire with SrTiO 3 and CeO 2 buffer layers and on silicon with CaF 2 and YSZ buffer layers. To illustrate the simulations, measurements of the transmission through YBCO film on sapphire with SrTiO 3 buffer layer have been performed. It has been shown that even lossy buffer layers have very little impact (smaller than 1% in magnitude and 0.3% in phase) on the transmission coefficient through superconducting thin films, providing their thickness is below 10 mu m. (author)

  17. Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process

    International Nuclear Information System (INIS)

    Park, Chang Seo; Cho, Byung Jin; Balasubramanian, N.; Kwong, Dim-Lee

    2004-01-01

    We evaluated the feasibility of using an ultra thin aluminum nitride (AlN) buffer layer for dual metal gates CMOS process. Since the buffer layer should not affect the thickness of gate dielectric, it should be removed or consumed during subsequent process. In this work, it was shown that a thin AlN dielectric layer would be reacted with initial gate metals and would be consumed during subsequent annealing, resulting in no increase of equivalent oxide thickness (EOT). The reaction of AlN layer with tantalum (Ta) and hafnium (Hf) during subsequent annealing, which was confirmed with X-ray photoelectron spectroscopy (XPS) analysis, shifted the flat-band voltage of AlN buffered MOS capacitors. No contribution to equivalent oxide thickness (EOT) was also an indication showing the full consumption of AIN, which was confirmed with TEM analysis. The work functions of gate metals were modulated through the reaction, suggesting that the consumption of AlN resulted in new thin metal alloys. Finally, it was found that the barrier heights of the new alloys were consistent with their work functions

  18. Relating performance of thin-film composite forward osmosis membranes to support layer formation and structure

    KAUST Repository

    Tiraferri, Alberto

    2011-02-01

    Osmotically driven membrane processes have the potential to treat impaired water sources, desalinate sea/brackish waters, and sustainably produce energy. The development of a membrane tailored for these processes is essential to advance the technology to the point that it is commercially viable. Here, a systematic investigation of the influence of thin-film composite membrane support layer structure on forward osmosis performance is conducted. The membranes consist of a selective polyamide active layer formed by interfacial polymerization on top of a polysulfone support layer fabricated by phase separation. By systematically varying the conditions used during the casting of the polysulfone layer, an array of support layers with differing structures was produced. The role that solvent quality, dope polymer concentration, fabric layer wetting, and casting blade gate height play in the support layer structure formation was investigated. Using a 1M NaCl draw solution and a deionized water feed, water fluxes ranging from 4 to 25Lm-2h-1 with consistently high salt rejection (>95.5%) were produced. The relationship between membrane structure and performance was analyzed. This study confirms the hypothesis that the optimal forward osmosis membrane consists of a mixed-structure support layer, where a thin sponge-like layer sits on top of highly porous macrovoids. Both the active layer transport properties and the support layer structural characteristics need to be optimized in order to fabricate a high performance forward osmosis membrane. © 2010 Elsevier B.V.

  19. Enhancing the performance of organic thin-film transistors using an organic-doped inorganic buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Su, Shui-Hsiang, E-mail: shsu@isu.edu.tw; Wu, Chung-Ming; Kung, Shu-Yi; Yokoyama, Meiso

    2013-06-01

    Organic thin-film transistors (OTFTs) with various buffer layers between the active layer and source/drain electrodes were investigated. The structure was polyethylene terephthalate/indium-tin oxide/poly(methyl methacrylate) (PMMA)/pentacene/buffer layer/Au (source/drain). V{sub 2}O{sub 5}, 4,4′,4″-tris{N,(3-methylpheny)-N-phenylamino}-triphenylamine (m-MTDATA) and m-MTDATA-doped V{sub 2}O{sub 5} films were utilized as buffer layers. The electrical performances of OTFTs in terms of drain current, threshold voltage, mobility and on/off current ratio have been determined. As a result, the saturation current of − 40 μA is achieved in OTFTs with a 10% m-MTDATA-doped V{sub 2}O{sub 5} buffer layer at a V{sub GS} of − 60 V. The on/off current ratio reaches 2 × 10{sup 5}, which is approximately double of the device without a buffer layer. The energy band diagrams of the electrode/buffer layer/pentacene were measured using ultra-violet photoelectron spectroscopy. The improvement in electrical characteristics of the OTFTs is attributable to the weakening of the interface dipole and the lowering of the barrier to enhance holes transportation from the source electrode to the active layer. - Highlights: • A buffer layer enhances the performance of organic thin-film transistors (OTFTs). • The buffer layer consists of organic-doped inorganic material. • Interface dipole is weakened at the active layer/electrodes interface of OTFTs.

  20. Atomic layer deposition of absorbing thin films on nanostructured electrodes for short-wavelength infrared photosensing

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Jixian; Sutherland, Brandon R.; Hoogland, Sjoerd; Fan, Fengjia; Sargent, Edward H., E-mail: ted.sargent@utoronto.ca [Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario M5S 3G4 (Canada); Kinge, Sachin [Advanced Technology, Materials and Research, Research and Development, Hoge Wei 33- Toyota Technical Centre, B-1930 Zaventem (Belgium)

    2015-10-12

    Atomic layer deposition (ALD), prized for its high-quality thin-film formation in the absence of high temperature or high vacuum, has become an industry standard for the large-area deposition of a wide array of oxide materials. Recently, it has shown promise in the formation of nanocrystalline sulfide films. Here, we demonstrate the viability of ALD lead sulfide for photodetection. Leveraging the conformal capabilities of ALD, we enhance the absorption without compromising the extraction efficiency in the absorbing layer by utilizing a ZnO nanowire electrode. The nanowires are first coated with a thin shunt-preventing TiO{sub 2} layer, followed by an infrared-active ALD PbS layer for photosensing. The ALD PbS photodetector exhibits a peak responsivity of 10{sup −2} A W{sup −1} and a shot-derived specific detectivity of 3 × 10{sup 9} Jones at 1530 nm wavelength.

  1. Ni-YSZ cermet substrate supported thin SDC and YSZ+SDC bi-layer SOFCs

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, X.; Robertson, M.; Deces-Petit, C.; Xie, Y.; Hui, R.; Yick, S.; Styles, E.; Roller, J.; Kesler, O.; Qu, W.; Jankovic, J.; Tang, Z.; Perednis, D.; Maric, R.; Ghosh, D. [National Research Council of Canada, Vancouver, BC (Canada). Inst. for Fuel Cell Innovation

    2005-07-01

    One of the disadvantages of a ceria-based electrolyte is that it becomes a mixed conductor at anode conditions, which causes cell voltage loss and fuel efficiency loss due to internal shorting. Chemical and mechanical stability is another concern for long-term service. To lower manufacturing costs, efforts have been made to bring proven semiconductor manufacturing technology to Solid Oxide Fuel Cells (SOFCs). This study employed Tape casting of cermet substrates, Screen-printing of functional layers and Co-firing of cell components (TSC) to fabricate nickel (Ni)-cermet supported cells with mainly ceria-based thin electrolytes. Ni-Yttria-Stabilized Zirconia (YSZ) cermet supported cell with Samaria Doped Ceria (SDC) single layer electrolytes and YSZ+SDC bi-layer electrolytes were successfully developed for low-temperature performance characterization. The elemental distribution at the cell interface was mapped and the electrochemical performance of the cells was recorded. Many high-Zr-content micro-islands were found on the thin SDC surface. The influence of co-firing temperature and thin-film preparation methods on the Zr-islands' appearance was also investigated. Using in-situ sintered cathodes, high performance of the SDC cells was obtained. It was concluded that the bi-layer cells did show higher Open Circuit Voltage (OCV) values, with 1180 mW/cm{sup 2} at 650 degrees C, as well as good performance at 700-800 degrees C, with near OCV value. However, their performance was much lower than those of the SDC cells at low operating temperature. Zr-micro-islands formation on the SDC electrolyte was observed and investigated. 6 refs., 5 tabs., 7 figs.

  2. High-permeance crosslinked PTMSP thin-film composite membranes as supports for CO2 selective layer formation

    Directory of Open Access Journals (Sweden)

    Stepan D. Bazhenov

    2016-10-01

    Full Text Available In the development of the composite gas separation membranes for post-combustion CO2 capture, little attention is focused on the optimization of the membrane supports, which satisfy the conditions of this technology. The primary requirements to the membrane supports are concerned with their high CO2 permeance. In this work, the membrane supports with desired characteristics were developed as high-permeance gas separation thin film composite (TFC membranes with the thin defect-free layer from the crosslinked highly permeable polymer, poly[1-(trimethylsilyl-1-propyne] (PTMSP. This layer is insoluble in chloroform and can be used as a gutter layer for the further deposition of the СО2-selective materials from the organic solvents. Crosslinking of PTMSP was performed using polyethyleneimine (PEI and poly (ethyleneglycol diglycidyl ether (PEGDGE as crosslinking agents. Optimal concentrations of PEI in PTMSP and PEGDGE in methanol were selected in order to diminish the undesirable effect on the final membrane gas transport characteristics. The conditions of the kiss-coating technique for the deposition of the thin defect-free PTMSP-based layer, namely, composition of the casting solution and the speed of movement of the porous commercial microfiltration-grade support, were optimized. The procedure of post-treatment with alcohols and alcohol solutions was shown to be crucial for the improvement of gas permeance of the membranes with the crosslinked PTMSP layer having thickness ranging within 1–2.5 μm. The claimed membranes showed the following characteristics: CO2 permeance is equal to 50–54 m3(STP/(m2 h bar (18,500–20,000 GPU, ideal CO2/N2 selectivity is 3.6–3.7, and their selective layers are insoluble in chloroform. Thus, the developed high-permeance TFC membranes are considered as a promising supports for further modification by enhanced CO2 selective layer formation. Keywords: Thin-film composite membrane

  3. Rosenzweig instability in a thin layer of a magnetic fluid

    Science.gov (United States)

    Korovin, V. M.

    2013-12-01

    A simple mathematical model of the initial stage of nonlinear evolution of the Rosenzweig instability in a thin layer of a nonlinearly magnetized viscous ferrofluid coating a horizontal nonmagnetizable plate is constructed on the basis of the system of equations and boundary conditions of ferrofluid dynamics. A dispersion relation is derived and analyzed using the linearized equations of this model. The critical magnetization of the initial layer with a flat free surface, the threshold wavenumber, and the characteristic time of evolution of the most rapidly growing mode are determined. The equation for the neutral stability curve, which is applicable for any physically admissible law of magnetization of a ferrofluid, is derived analytically.

  4. Interaction between cholesterol and non-ionic surfactants studied by thin-layer chromatography

    Czech Academy of Sciences Publication Activity Database

    Forgács, E.; Cserháti, T.; Farkas, O.; Eckhardt, Adam; Mikšík, Ivan; Deyl, Zdeněk

    2004-01-01

    Roč. 27, č. 13 (2004), s. 1981-1992 ISSN 1082-6076 Grant - others:CZ-HU(CZ) Cooperation program Institutional research plan: CEZ:AV0Z5011922 Keywords : cholesterol * non-ionic surfactant * thin - layer chromatography Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 0.836, year: 2004

  5. Thin-Layer Chromatography/Desorption Atmospheric Pressure Photoionization Orbitrap Mass Spectrometry of Lipids

    Czech Academy of Sciences Publication Activity Database

    Rejšek, Jan; Vrkoslav, Vladimír; Vaikkinen, A.; Haapala, M.; Kauppila, T. J.; Kostiainen, R.; Cvačka, Josef

    2016-01-01

    Roč. 88, č. 24 (2016), s. 12279-12286 ISSN 0003-2700 R&D Projects: GA ČR GAP206/12/0750 Institutional support: RVO:61388963 Keywords : desorption atmospheric pressure photoionization * thin-layer chromatography * lipids Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 6.320, year: 2016

  6. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  7. Properties of nanostructured undoped ZrO{sub 2} thin film electrolytes by plasma enhanced atomic layer deposition for thin film solid oxide fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Gu Young; Noh, Seungtak; Lee, Yoon Ho; Cha, Suk Won, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical and Aerospace Engineering, Seoul National University, 1 Gwanak-ro, Gwanak-gu, Seoul 151-744 (Korea, Republic of); Ji, Sanghoon [Graduate School of Convergence Science and Technology, Seoul National University, Iui-dong, Yeongtong-gu, Suwon 443-270 (Korea, Republic of); Hong, Soon Wook; Koo, Bongjun; Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr, E-mail: swcha@snu.ac.kr [Department of Mechanical Engineering, Hanyang University, 222 Wangsimni-ro, Seongdong-gu, Seoul 133-791 (Korea, Republic of); An, Jihwan [Manufacturing Systems and Design Engineering Programme, Seoul National University of Science and Technology, 232 Gongneung-ro, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2016-01-15

    Nanostructured ZrO{sub 2} thin films were prepared by thermal atomic layer deposition (ALD) and by plasma-enhanced atomic layer deposition (PEALD). The effects of the deposition conditions of temperature, reactant, plasma power, and duration upon the physical and chemical properties of ZrO{sub 2} films were investigated. The ZrO{sub 2} films by PEALD were polycrystalline and had low contamination, rough surfaces, and relatively large grains. Increasing the plasma power and duration led to a clear polycrystalline structure with relatively large grains due to the additional energy imparted by the plasma. After characterization, the films were incorporated as electrolytes in thin film solid oxide fuel cells, and the performance was measured at 500 °C. Despite similar structure and cathode morphology of the cells studied, the thin film solid oxide fuel cell with the ZrO{sub 2} thin film electrolyte by the thermal ALD at 250 °C exhibited the highest power density (38 mW/cm{sup 2}) because of the lowest average grain size at cathode/electrolyte interface.

  8. Thin plasma-polymerized layers of hexamethyldisiloxane for humidity sensor development

    International Nuclear Information System (INIS)

    Guermat, N.; Bellel, A.; Sahli, S.; Segui, Y.; Raynaud, P.

    2009-01-01

    The response of resistive-type sensors based on thin hexamethyldisiloxane layers to relative humidity (RH) was evaluated. Humidity sensitive layers were plasma polymerized at low frequency glow discharge using a capacitively coupled parallel plate reactor. The sensor design comprises the absorbing layer deposited on clean glass substrate with comb-shape aluminum electrodes (interdigitated structure). The change in electrical impedance of the sensing film was monitored as the device was exposed to humidity. The variation of the plasma-polymerization parameters resulted in different humidity sensing properties which could be correlated to the results of Fourier transform infrared spectroscopy (FTIR). The deposited films exhibited a detectable response to RH ranging from 30 to 95% with low hysteresis, good reproducibility and stability in long-term use. Films with a greater thickness showed a significant decrease in the humidity sensing capability. FTIR analysis revealed the presence of SiH bonding groups, which are frequently linked to the film density. The increase in the plasma discharge power induced also a significant decrease in the diffusion process of water vapor inside the sensitive layer bulk.

  9. Phytochemical analysis of ethanolic extract of Dichrostachys Cinerea W and Arn leaves by a thin layer chromatography, high performance thin layer chromatography and column chromatography

    OpenAIRE

    M Vijayalakshmi; K Periyanayagam; K Kavitha; K Akilandeshwari

    2013-01-01

    Background: The leaves of Dichrostachys cinerea are used as laxative, diuretic, painkiller. It is also used in the treatment of gonorrhoea, boils, oedema, gout, veneral diseases and nasopharyngeal affections, etc. Materials and Methods: The Phytochemical investigation of ethanolic extract of D. cinerea leaves were performed by standard chemical tests, thin layer chromatography (TLC) by using various solvent systems, and by high performance liquid chromatography (HPTLC). Two compounds were...

  10. A Simple Thin Layer Chromatography Method for Separation of Selected Natural Steroid Hormones

    International Nuclear Information System (INIS)

    Nowakowska, J.; Rudnicka-Litka, K.; Ciura, K.; Pikul, P.; Piotrowicz, J.

    2015-01-01

    Chromatographic properties of seven steroids: estrogens (β-estradiol and estrone), androgens (testosterone, methyltestosterone, trans-androsterone), progesterone and cholesterol have been studied by planar chromatography with usage of High Performance Thin Layer Chromatography (HPTLC) and Thin Layer Chromatography (TLC) plates. Normal, reversed and cyano-bonded silica stationary phases were tested with five binary mobile phases (acetonitrile-water, acetonitrile-DMSO, acetonitrile-methanol, acetone-petroleum ether, acetone-water) in which the concentration of organic modifier varied from 0 to 100 % (v/v). This study reports the optimization of steroid hormones separation. Principal Component Analysis (PCA) based on calculated molecular descriptors quantitatively differentiating solutes was performed in order to investigate the similarity and dissimilarity between tested compounds. The separation abilities of mobile and stationary phases were compared based on separation factor α. Chromatographic retention data and possible retention mechanisms also were discussed. (author)

  11. Fatigue-resistant epitaxial Pb(Zr,Ti)O3 capacitors on Pt electrode with ultra-thin SrTiO3 template layers

    International Nuclear Information System (INIS)

    Takahara, Seiichi; Morimoto, Akiharu; Kawae, Takeshi; Kumeda, Minoru; Yamada, Satoru; Ohtsubo, Shigeru; Yonezawa, Yasuto

    2008-01-01

    Lead zirconate-titanate Pb(Zr,Ti)O 3 (PZT) capacitors with Pt bottom electrodes were prepared on MgO substrates by pulsed laser deposition (PLD) technique employing SrTiO 3 (STO) template layer. Perovskite PZT thin films are prepared via stoichiometric target using the ultra-thin STO template layers while it is quite difficult to obtain the perovskite PZT on Pt electrode via stoichiometric target in PLD process. The PZT capacitor prepared with the STO template layer showed good hysteresis and leakage current characteristics, and it showed an excellent fatigue resistance. The ultra-thin STO template layers were characterized by angle-resolved X-ray photoelectron spectroscopy measurement. The effect of the STO template layer is discussed based on the viewpoint of the perovskite nucleation and diffusion of Pb and O atoms

  12. Suppression of photo-bias induced instability for amorphous indium tungsten oxide thin film transistors with bi-layer structure

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Po-Tsun, E-mail: ptliu@mail.nctu.edu.tw; Chang, Chih-Hsiang; Chang, Chih-Jui [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-27

    This study investigates the instability induced by bias temperature illumination stress (NBTIS) for an amorphous indium-tungsten-oxide thin film transistor (a-IWO TFT) with SiO{sub 2} backchannel passivation layer (BPL). It is found that this electrical degradation phenomenon can be attributed to the generation of defect states during the BPL process, which deteriorates the photo-bias stability of a-IWO TFTs. A method proposed by adding an oxygen-rich a-IWO thin film upon the a-IWO active channel layer could effectively suppress the plasma damage to channel layer during BPL deposition process. The bi-layer a-IWO TFT structure with an oxygen-rich back channel exhibits superior electrical reliability of device under NBTIS.

  13. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  14. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  15. β-distribution for Reynolds stress and turbulent heat flux in relaxation turbulent boundary layer of compression ramp

    Science.gov (United States)

    Hu, YanChao; Bi, WeiTao; Li, ShiYao; She, ZhenSu

    2017-12-01

    A challenge in the study of turbulent boundary layers (TBLs) is to understand the non-equilibrium relaxation process after sep-aration and reattachment due to shock-wave/boundary-layer interaction. The classical boundary layer theory cannot deal with the strong adverse pressure gradient, and hence, the computational modeling of this process remains inaccurate. Here, we report the direct numerical simulation results of the relaxation TBL behind a compression ramp, which reveal the presence of intense large-scale eddies, with significantly enhanced Reynolds stress and turbulent heat flux. A crucial finding is that the wall-normal profiles of the excess Reynolds stress and turbulent heat flux obey a β-distribution, which is a product of two power laws with respect to the wall-normal distances from the wall and from the boundary layer edge. In addition, the streamwise decays of the excess Reynolds stress and turbulent heat flux also exhibit power laws with respect to the streamwise distance from the corner of the compression ramp. These results suggest that the relaxation TBL obeys the dilation symmetry, which is a specific form of self-organization in this complex non-equilibrium flow. The β-distribution yields important hints for the development of a turbulence model.

  16. Deposition of thin layer (monoatomic layer) of barium on gold single crystal surfaces and studies of its oxidation employing X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ahmad, H.; Ahmad, R.; Khalid, M.; Alvi, R.A.

    2007-01-01

    Due to the high reactivity of barium with oxygen, some oxygen diffuse into the bulk to form bulk oxide and it is very difficult to differentiate the oxide over layer and the bulk oxide. To study the oxidation of barium surface layer, a thin layer (monolayer) of barium is developed over gold single crystal surface. Gold is selected as support because it is one of the least reactive metal in transition metal group and have very low probability of reaction with oxygen at room temperature (300K). Nitrous oxide (N/sub 2/O) was used as oxidant. Thin layer of barium was deposited on Au(100) surface. The barium coverage on gold surface was calculated that varied from 0.4 to 1.4 monolayer (ML). Photoelectron spectra for O(ls), N(ls), Ba (3d), and Au (4f) have been recorded on X-ray photoelectron spectrometer at different binding energy region specific for each element. The decomposition of nitrous oxide has been observed in all cases. It has found that nitrogen is evolved in the gaseous state and oxygen is adsorbed/chemisorbed on barium over layer. (author)

  17. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  18. Bibliography of paper and thin-layer chromatography 1970-1973 and survey of applications

    International Nuclear Information System (INIS)

    Macek, K.; Hais, I.M.; Kopecky, J.; Schwarz, V.; Gasparic, J.; Churacek, J.

    1976-01-01

    The present volume covers developments in both paper and thin-layer chromatography from 1970 to the middle of 1973 and is a continuation of the previous four volumes, published in 1960, 1962, 1968 and 1972. An author index is given

  19. Modification of thin-layer systems by swift heavy ions

    International Nuclear Information System (INIS)

    Bolse, W.; Schattat, B.; Feyh, A.

    2003-01-01

    The electronic energy loss of swift heavy ions (MeV/amu) within a solid results in a highly excited cylindrical zone of some nm in diameter, within which all atoms may be in motion for some tens of ps (transient local melting). After cooling down, a defect-rich or even amorphous latent track is left in many cases, especially in insulating materials. The resulting property alterations (density, micro-structure, morphology, phase composition, etc.) have been investigated for many bulk materials, while only very few experiments have been carried out with thin-film systems. In the present paper, a summary will be given of our studies on the transport of matter in thin-film packages induced by irradiation with high-energy ions. These is, on the one hand, atomic mixing at the interfaces, which is especially pronounced in ceramic systems and which seems to occur by interdiffusion in the molten ion track. On the other hand, we have discovered a self-organisation phenomenon in swift-heavy-ion-irradiated NiO layers, which at low fluences first showed periodic cracking perpendicular to the projected beam direction. After application of high fluences, the NiO layer was reorganised in 100-nm-thick and 1-μm-high NiO lamellae of the same separation distance (1-3 μm) and orientation as found for the cracks. Both effects can be attributed to transient melting of the material surrounding the ion trajectory. (orig.)

  20. Feasibility of X-ray analysis of multi-layer thin films at a single beam voltage

    International Nuclear Information System (INIS)

    Statham, P J

    2010-01-01

    Multi-layer analysis using electron beam excitation and X-ray spectrometry is a powerful tool for characterising layers down to 1 nm thickness and with typically 1 μm lateral resolution but does not always work. Most published applications have used WDS with many measurements at different beam voltages and considerable experience has been needed to choose lines and voltages particularly for complex multi-layer problems. A new objective mathematical approach is described which demonstrates whether X-ray analysis can obtain reliable results for an arbitrary multi-layer problem. A new algorithm embodied in 'ThinFilmID' software produces a single plot that shows feasibility of achieving results with a single EDS spectrum and suggests the optimal beam voltage. Synthesis of EDS spectra allows the precision in results to be estimated and acquisition conditions modified before wasting valuable instrument time. Thus, practicality of multi-layer thin film analysis at a single beam voltage can now be established without the extensive experimentation that was previously required by a microanalysis expert. Examples are shown where the algorithm discovers viable single-voltage conditions for applications that experts previously thought could only be addressed using measurements at more than one beam voltage.

  1. Non-parallel stability of compressible boundary layers

    Science.gov (United States)

    Chang, Chau-Lyan; Malik, Mujeeb R.

    1993-01-01

    Linear and nonlinear stability of compressible growing boundary layers is studied using parabolized stability equations (PSE). Linear PSE calculations are performed for Mach 1.6 and 4.5 plate-plate flow, and the results are compared with the predictions of the multiple-scales approach. In general, the nonparallel effect appears to be less significant for oblique waves near the lower neutral branch but it progressively becomes important at higher Reynolds numbers near the upper branch. In contrast, the nonparallel effect is more pronounced near the lower branch for two-dimensional first-mode waves. The PSE and multiple-scales results agree for the first mode waves, but in the first-second mode transition region, the latter approach tends to break down. Comparison with the first (oblique) and second mode growth rate data from Kendall's (1967) experiment shows good agreement; however, the peak second mode growth rate is over-predicted. Similar conclusions are drawn for the second mode experiment of Stetson et al. (1983) for Mach 8 flow past a sharp cone. We conjecture that the lower experimental growth rate is due to nonlinear saturation and provide supporting calculations.

  2. Complex boron redistribution kinetics in strongly doped polycrystalline-silicon/nitrogen-doped-silicon thin bi-layers

    Energy Technology Data Exchange (ETDEWEB)

    Abadli, S. [Department of Electrical Engineering, University Aout 1955, Skikda, 21000 (Algeria); LEMEAMED, Department of Electronics, University Mentouri, Constantine, 25000 (Algeria); Mansour, F. [LEMEAMED, Department of Electronics, University Mentouri, Constantine, 25000 (Algeria); Pereira, E. Bedel [CNRS-LAAS, 7 avenue du colonel Roche, 31077 Toulouse (France)

    2012-10-15

    We have investigated the complex behaviour of boron (B) redistribution process via silicon thin bi-layers interface. It concerns the instantaneous kinetics of B transfer, trapping, clustering and segregation during the thermal B activation annealing. The used silicon bi-layers have been obtained by low pressure chemical vapor deposition (LPCVD) method at 480 C, by using in-situ nitrogen-doped-silicon (NiDoS) layer and strongly B doped polycrystalline-silicon (P{sup +}) layer. To avoid long-range B redistributions, thermal annealing was carried out at relatively low-temperatures (600 C and 700 C) for various times ranging between 30 min and 2 h. To investigate the experimental secondary ion mass spectroscopy (SIMS) doping profiles, a redistribution model well adapted to the particular structure of two thin layers and to the effects of strong-concentrations has been established. The good adjustment of the simulated profiles with the experimental SIMS profiles allowed a fundamental understanding about the instantaneous physical phenomena giving and disturbing the complex B redistribution profiles-shoulders. The increasing kinetics of the B peak concentration near the bi-layers interface is well reproduced by the established model. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Redox process at solid-liquid interfaces: studies with thin layers of green rusts electrodeposited on inert substrates

    International Nuclear Information System (INIS)

    Peulon, S.; Taghdai, Y.; Mercier, F.; Barre, N.; Legrand, L.; Chauss, A.

    2005-01-01

    Full text of publication follows: The redox reactions which can occur between radioelements and natural phases in the environment are taken still little into account although their importance is established on natural sites; the consequences are significant since they can modify radically the behaviour of the species by increasing or decreasing their migration. The iron compounds are very implicated in these redox processes because iron is one of the most abundant element on earth; moreover, it is also present in the containers used for the storage of the nuclear waste. We exhibited in previous works that electrochemistry is a convenient way to generate the main iron oxidation compounds as thin layers on different inert substrates. The electrochemical behaviour of these deposits that are adherent, homogeneous and well crystallized [1-3], was investigated with the principle advantage that iron metal and its reactivity is eliminate. Moreover, they could be analysed directly by techniques like IRRAS, XRD, SEM, EDS and XPS without any preparation. In the present study, we develop an original way to investigate redox processes at solid-liquid interfaces based on the utilisation of these thin layers; the samples are more commonly powders and/or pieces of corroded steel in the literature. Results obtained with two different systems, chromate and uranyl ions, in interaction with thin layers of sulfated green rusts are presented. Green rusts is chosen because it is a mixed Fe(II-III) compound which could be formed in anoxic conditions like in the case of the storage of the nuclear waste. After various contact times with the solutions containing the reactive species, the thin layers are characterised by different ex-situ methods. The results show clearly the oxidation of the green rust into a Fe(III) compound and the formation of a new solid phase on the electrode due to the reduction and the precipitation of the reactive species present initially in solution. Because thin

  4. Solution-processed In2S3 buffer layer for chalcopyrite thin film solar cells

    OpenAIRE

    Wang Lan; Lin Xianzhong; Ennaoui Ahmed; Wolf Christian; Lux-Steiner Martha Ch.; Klenk Reiner

    2016-01-01

    We report a route to deposit In2S3 thin films from air-stable, low-cost molecular precursor inks for Cd-free buffer layers in chalcopyrite-based thin film solar cells. Different precursor compositions and processing conditions were studied to define a reproducible and robust process. By adjusting the ink properties, this method can be applied in different printing and coating techniques. Here we report on two techniques, namely spin-coating ...

  5. A reversed-phase compatible thin-layer chromatography autography for the detection of acetylcholinesterase inhibitors.

    Science.gov (United States)

    Ramallo, I Ayelen; García, Paula; Furlan, Ricardo L E

    2015-11-01

    A dual readout autographic assay to detect acetylcholinesterase inhibitors present in complex matrices adsorbed on reversed-phase or normal-phase thin-layer chromatography plates is described. Enzyme gel entrapment with an amphiphilic copolymer was used for assay development. The effects of substrate and enzyme concentrations, pH, incubation time, and incubation temperature on the sensitivity and the detection limit of the assay were evaluated. Experimental design and response surface methodology were used to optimize conditions with a minimum number of experiments. The assay allowed the detection of 0.01% w/w of physostigmine in both a spiked Sonchus oleraceus L. extract chromatographed on normal phase and a spiked Pimenta racemosa (Mill.) J.W. Moore leaf essential oil chromatographed on reversed phase. Finally, the reversed-phase thin-layer chromatography assay was applied to reveal the presence of an inhibitor in the Cymbopogon citratus (DC.) Stapf essential oil. The developed assay is able to detect acetylcholinesterase inhibitors present in complex matrixes that were chromatographed in normal phase or reversed-phase thin-layer chromatography. The detection limit for physostigmine on both normal and reversed phase was of 1×10(-4) μg. The results can be read by a change in color and/or a change in fluorescence. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Electronic structure evolution in doping of fullerene (C{sub 60}) by ultra-thin layer molybdenum trioxide

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chenggong; Wang, Congcong; Kauppi, John [Department of Physics and Astronomy, University of Rochester, Rochester, New York 14627 (United States); Liu, Xiaoliang [Institute for Super-microstructure and Ultrafast Process in Advanced Materials (ISUPAM), Central South University, Changsha, Hunan 410083 (China); Gao, Yongli, E-mail: ygao@pas.rochester.edu [Department of Physics and Astronomy, University of Rochester, Rochester, New York 14627 (United States); Institute for Super-microstructure and Ultrafast Process in Advanced Materials (ISUPAM), Central South University, Changsha, Hunan 410083 (China)

    2015-08-28

    Ultra-thin layer molybdenum oxide doping of fullerene has been investigated using ultraviolet photoemission spectroscopy (UPS) and X-ray photoemission spectroscopy (XPS). The highest occupied molecular orbital (HOMO) can be observed directly with UPS. It is observed that the Fermi level position in fullerene is modified by ultra-thin-layer molybdenum oxide doping, and the HOMO onset is shifted to less than 1.3 eV below the Fermi level. The XPS results indicate that charge transfer was observed from the C{sub 60} to MoO{sub x} and Mo{sup 6+} oxides is the basis as hole dopants.

  7. Thin-layer boilover in diesel-oil fires: Determining the increase of thermal hazards and safety distances

    International Nuclear Information System (INIS)

    Ferrero, Fabio; Munoz, Miguel; Arnaldos, Josep

    2007-01-01

    A study of the effects of thin-layer boilover on large hydrocarbon fires was carried out. In the experiments, diesel-oil was burned in pools with diameters ranging from 1.5 to 6 m. Previous models used to predict emissive power during the stationary state were analysed and successively modified in order to accurately predict thermal hazard during the water ebullition phase. It was discovered that the increase in emissive power during thin-layer boilover is greater when the pool diameter is smaller. Furthermore, the required increases in safety distances in the case of accidents involving this dangerous phenomenon are provided

  8. White emission from organic light-emitting diodes with a super-thin BCP layer

    International Nuclear Information System (INIS)

    Hao Jingang; Deng Zhenbo; Yang Shengyi

    2007-01-01

    We report a method to achieve white emission from organic light-emitting diodes (OLEDs) in which a super-thin (3 nm) hole blocking layer, 2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline (BCP), was inserted between electron-transport layer 8-hydroxyquinoline aluminum (Alq 3 ) and 4-(dicyanomethylene)-2-t-butyl-6(1,1,7,7-tetramethyljulolidyl-9-enyl) -4H-pyran (DCJTB) doped poly-vinlycarbazole (PVK) layer. The BCP layer can not only confine exciton in the emitting layer but also control energy transfer proportion from PVK to Alq 3 and then from Alq 3 to DCJTB through BCP layer. In this way, pure white emission with CIE coordinate of (0.32, 0.32) was obtained and it was voltage independent. The brightness reached 270 cd/m 2 at 18 V with an efficiency of 0.166 cd/A

  9. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  10. Investigation of Processes and Factors Regulating the Generation, Maintenance and Breakdown of Bioluminescent Thin Layers

    National Research Council Canada - National Science Library

    Widder, Edith

    2001-01-01

    .... Katz's submersible holographic camera mounted on the upper work platform. Thin layers were located using real-time sensor feedback from intensified video recordings of stimulated bioluminescence...

  11. Synthesis and characterization of Zn(O,OH)S and AgInS2 layers to be used in thin film solar cells

    Science.gov (United States)

    Vallejo, W.; Arredondo, C. A.; Gordillo, G.

    2010-11-01

    In this paper AgInS2 and Zn(O,OH)S thin films were synthesized and characterized. AgInS2 layers were grown by co-evaporation from metal precursors in a two-step process, and, Zn(O,OH)S thin films were deposited from chemical bath containing thiourea, zinc acetate, sodium citrate and ammonia. X-ray diffraction measurements indicated that AgInS2 thin films grown with chalcopyrite structure, and the as-grown Zn(O,OH)S thin films were polycrystalline. It was also found that the AgInS2 films presented p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and energy band-gap Eg of about 1.95 eV, Zn(O,OH),S thin films presented Eg of about 3.89 eV. Morphological analysis showed that under this synthesis conditions Zn(O,OH),S thin films coated uniformly the absorber layer. Additionally, the Zn(O,OH)S kinetic growth on AgInS2 layer was studied also. Finally, the results suggest that these layers possibly could be used in one-junction solar cells and/or as top cell in a tandem solar cell.

  12. Mathematical modelling of the thin layer solar drying of banana, mango and cassava

    Energy Technology Data Exchange (ETDEWEB)

    Koua, Kamenan Blaise; Fassinou, Wanignon Ferdinand; Toure, Siaka [Laboratoire d' Energie Solaire, Universite de Cocody- Abidjan, 22 BP 582 Abidjan 22 (Ivory Coast); Gbaha, Prosper [Laboratoire d' Energie Nouvelle et Renouvelable, Institut National Polytechnique, Felix HOUPHOUET - BOIGNY de Yamoussoukro (Ivory Coast)

    2009-10-15

    The main objectives of this paper are firstly to investigate the behaviour of the thin layer drying of plantain banana, mango and cassava experimentally in a direct solar dryer and secondly to perform mathematical modelling by using thin layer drying models encountered in literature. The variation of the moisture content of the products studied and principal drying parameters are analysed. Seven statistical models, which are empirical or semi-empirical, are tested to validate the experimental data. A non-linear regression analysis using a statistical computer program is used to evaluate the constants of the models. The Henderson and Pabis drying model is found to be the most suitable for describing the solar drying curves of plantain banana, mango and cassava. The drying data of these products have been analysed to obtain the values of the effective diffusivity during the falling drying rate phase. (author)

  13. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    Science.gov (United States)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  14. Adjustable threshold-voltage in all-inkjet-printed organic thin film transistor using double-layer dielectric structures

    International Nuclear Information System (INIS)

    Wu, Wen-Jong; Lee, Chang-Hung; Hsu, Chun-Hao; Yang, Shih-Hsien; Lin, Chih-Ting

    2013-01-01

    An all-inkjet-printed organic thin film transistor (OTFT) with a double-layer dielectric structure is proposed and implemented in this study. By using the double-layer structure with different dielectric materials (i.e., polyvinylphenol with poly(vinylidene fluoride-co-hexafluoropropylene)), the threshold-voltage of OTFT can be adjusted. The threshold-voltage shift can be controlled by changing the composition of dielectric layers. That is, an enhancement-mode OTFT can be converted to a depletion-mode OTFT by selectively printing additional dielectric layers to form a high-k/low-k double-layer structure. The printed OTFT has a carrier mobility of 5.0 × 10 −3 cm 2 /V-s. The threshold-voltages of the OTFTs ranged between − 13 V and 10 V. This study demonstrates an additional design parameter for organic electronics manufactured using inkjet printing technology. - Highlights: • A double-layer dielectric organic thin film transistor, OTFT, is implemented. • The threshold voltage of OTFT can be configured by the double dielectric structure. • The composition of the dielectric determines the threshold voltage shift. • The characteristics of OTFTs can be adjusted by double dielectric structures

  15. Multifunctional Organic-Semiconductor Interfacial Layers for Solution-Processed Oxide-Semiconductor Thin-Film Transistor.

    Science.gov (United States)

    Kwon, Guhyun; Kim, Keetae; Choi, Byung Doo; Roh, Jeongkyun; Lee, Changhee; Noh, Yong-Young; Seo, SungYong; Kim, Myung-Gil; Kim, Choongik

    2017-06-01

    The stabilization and control of the electrical properties in solution-processed amorphous-oxide semiconductors (AOSs) is crucial for the realization of cost-effective, high-performance, large-area electronics. In particular, impurity diffusion, electrical instability, and the lack of a general substitutional doping strategy for the active layer hinder the industrial implementation of copper electrodes and the fine tuning of the electrical parameters of AOS-based thin-film transistors (TFTs). In this study, the authors employ a multifunctional organic-semiconductor (OSC) interlayer as a solution-processed thin-film passivation layer and a charge-transfer dopant. As an electrically active impurity blocking layer, the OSC interlayer enhances the electrical stability of AOS TFTs by suppressing the adsorption of environmental gas species and copper-ion diffusion. Moreover, charge transfer between the organic interlayer and the AOS allows the fine tuning of the electrical properties and the passivation of the electrical defects in the AOS TFTs. The development of a multifunctional solution-processed organic interlayer enables the production of low-cost, high-performance oxide semiconductor-based circuits. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Solution processed metal oxide thin film hole transport layers for high performance organic solar cells

    Science.gov (United States)

    Steirer, K. Xerxes; Berry, Joseph J.; Chesin, Jordan P.; Lloyd, Matthew T.; Widjonarko, Nicodemus Edwin; Miedaner, Alexander; Curtis, Calvin J.; Ginley, David S.; Olson, Dana C.

    2017-01-10

    A method for the application of solution processed metal oxide hole transport layers in organic photovoltaic devices and related organic electronics devices is disclosed. The metal oxide may be derived from a metal-organic precursor enabling solution processing of an amorphous, p-type metal oxide. An organic photovoltaic device having solution processed, metal oxide, thin-film hole transport layer.

  17. Auger electron spectroscopy study on interaction between aluminum thin layers and uranium substrate

    International Nuclear Information System (INIS)

    Zhou Wei; Liu Kezhao; Yang Jiangrong; Xiao Hong; Jiang Chunli; Lu Lei

    2005-01-01

    Aluminum thin layers on uranium were prepared by sputter deposition at room temperature in ultra high vacuum analysis chamber. Interaction between U and Al, and growth mode were investigated by Auger electron spectroscopy (AES) and electron energy loss spectroscopy (EELS). It is shown that Al thin film growth follows the volmer-weber (VW) mode. At room temperature, Al and U interact with each other, resulting in interdiffusion action and formation of U-Al alloys at U/Al interface. Annealing promotes interaction and interdiffusion between U and Al, and UAl x maybe formed at interface. (authors)

  18. Interaction between depolarization effects, interface layer, and fatigue behavior in PZT thin film capacitors

    Science.gov (United States)

    Böttger, U.; Waser, R.

    2017-07-01

    The existence of non-ferroelectric regions in ferroelectric thin films evokes depolarization effects leading to a tilt of the P(E) hysteresis loop. The analysis of measured hysteresis of lead zirconate titanate (PZT) thin films is used to determine a depolarization factor which contains quantitative information about interfacial layers as well as ferroelectrically passive zones in the bulk. The derived interfacial capacitance is smaller than that estimated from conventional extrapolation techniques. In addition, the concept of depolarization is used for the investigation of fatigue behavior of PZT thin films indicating that the mechanism of seed inhibition, which is responsible for the effect, occurs in the entire film.

  19. Analysis of compressible light dynamic stall flow at transitional Reynolds numbers

    DEFF Research Database (Denmark)

    Dyken, R.D. Van; Ekaterinaris, John A.; Chandrasekhara, M.S.

    1996-01-01

    Numerical and experimental results of steady and light dynamic stall flow over an oscillating NACA 0012 airfoil at a freestream Mach number of 0.3 and Reynolds number of 0.54 x 10(6) are compared, The experimental observation that dynamic stall is induced from the bursting of a laminar separation...... point is specified suitably and a simple transition length model is incorporated to determine the extent of the laminar separation bubble. The thin-layer approximations of compressible, Reynolds-averaged, Navier-Stokes equations are used for the numerical solution, with an implicit, upwind-biased, third...

  20. Pulsed EM Field Response of a Thin, High-Contrast, Finely Layered Structure With Dielectric and Conductive Properties

    NARCIS (Netherlands)

    De Hoop, A.T.; Jiang, L.

    2009-01-01

    The response of a thin, high-contrast, finely layered structure with dielectric and conductive properties to an incident, pulsed, electromagnetic field is investigated theoretically. The fine layering causes the standard spatial discretization techniques to solve Maxwell's equations numerically to

  1. Characteristics of gravure printed InGaZnO thin films as an active channel layer in thin film transistors

    International Nuclear Information System (INIS)

    Choi, Yuri; Kim, Gun Hee; Jeong, Woong Hee; Kim, Hyun Jae; Chin, Byung Doo; Yu, Jae-Woong

    2010-01-01

    Characteristics of oxide semiconductor thin film transistor prepared by gravure printing technique were studied. This device had inverted staggered structure of glass substrate/MoW/SiNx/ printed active layer. The active layer was printed with precursor of indium gallium zinc oxide solution and then annealed at 550 o C for 2 h. Influences of printing parameters (i.e. speed and force) were studied. As the gravure printing force was increased, the thickness of printed film was decreased and the refractive index of printed active layer was increased. The best printed result in our study was obtained with printing speed of 0.4 m/s, printing force of 400 N and the thickness of printed active layer was 45 nm. According to AFM image, surface of printed active layer was quite smooth and the root-mean square roughness was approximately 0.5 nm. Gravure printed active layer had a field-effect mobility of 0.81 cm 2 /Vs and an on-off current ratio was 1.36 x 10 6 .

  2. Detailed experimental study of a highly compressible supersonic turbulent plane mixing layer and comparison with most recent DNS results: “Towards an accurate description of compressibility effects in supersonic free shear flows”

    International Nuclear Information System (INIS)

    Barre, S.; Bonnet, J.P.

    2015-01-01

    Highlights: • We performed a careful experiment on a highly compressible mixing layer. • We validated the most recent DNS with the present results. • We discuss some aspects of the thermodynamics of the turbulent flow. • We performed a comparison between a computed and a measured turbulent kinetic energy budget. - Abstract: A compressible supersonic mixing layer at convective Mach number (Mc) equal to 1 has been studied experimentally in a dual stream supersonic/subsonic wind-tunnel. Laser Doppler Velocimetry (L.D.V.) measurements were performed making possible a full estimation of the mean and turbulent 3D velocity fields in the mixing layer. The Reynolds stress tensor was described. In particular, some anisotropy coefficients were obtained. It appears that the structure of the Reynolds tensor is almost not affected by compressibility at least up to Mc = 1. The turbulent kinetic energy budget was also experimentally estimated. Reynolds analogies assumptions were used to obtain density/velocity correlations in order to build the turbulent kinetic energy budget from LDV measurements. Results have been compared to other experimental and numerical results. Compressibility effects on the turbulent kinetic energy budget have been detected and commented. A study about thermodynamics flow properties was also performed using most recent DNS results experimentally validated by the present data. A non-dimensional number is then introduced in order to quantify the real effect of pressure fluctuations on the thermodynamics quantities fluctuations

  3. A chip-type thin-layer electrochemical cell coupled with capillary electrophoresis for online separation of electrode reaction products

    Energy Technology Data Exchange (ETDEWEB)

    He, Jian-Bo, E-mail: jbhe@hfut.edu.cn; Cui, Ting; Zhang, Wen-Wen; Deng, Ning

    2013-07-05

    Graphical abstract: -- Highlights: •A new coupling of thin-layer electrolysis with capillary electrophoresis (CE). •Rapid electrolysis, direct sampling followed by online CE separation. •At least 13 products of quercetin oxidation were separated. •Thermodynamic and kinetic parameters were determined from CE peak areas. -- Abstract: A coupling technique of thin-layer electrolysis with high-performance capillary electrophoresis/UV–vis technique(EC/HPCE/UV–vis) is developed for online separation and determination of electrode reaction products. A chip-type thin-layer electrolytic (CTE) cell was designed and fabricated, which contains a capillary channel and a background electrolyte reservoir, allowing rapid electrolysis, direct sampling and online electrophoretic separation. This chip-type setup was characterized based on an electrophoresis expression of Nernst equation that was applied to the redox equilibrium of o-tolidine at different potentials. The utility of the method was demonstrated by separating and determining the electro-oxidation products of quercetin in different pH media. Two main products were always found in the studied time, potential and pH ranges. The variety of products increased not only with increasing potential but also with increasing pH value, and in total, at least 13 products were observed in the electropherograms. This work illustrates a novel example of capillary electrophoresis used online with thin-layer electrolysis to separate and detect electrode reaction products.

  4. A chip-type thin-layer electrochemical cell coupled with capillary electrophoresis for online separation of electrode reaction products

    International Nuclear Information System (INIS)

    He, Jian-Bo; Cui, Ting; Zhang, Wen-Wen; Deng, Ning

    2013-01-01

    Graphical abstract: -- Highlights: •A new coupling of thin-layer electrolysis with capillary electrophoresis (CE). •Rapid electrolysis, direct sampling followed by online CE separation. •At least 13 products of quercetin oxidation were separated. •Thermodynamic and kinetic parameters were determined from CE peak areas. -- Abstract: A coupling technique of thin-layer electrolysis with high-performance capillary electrophoresis/UV–vis technique(EC/HPCE/UV–vis) is developed for online separation and determination of electrode reaction products. A chip-type thin-layer electrolytic (CTE) cell was designed and fabricated, which contains a capillary channel and a background electrolyte reservoir, allowing rapid electrolysis, direct sampling and online electrophoretic separation. This chip-type setup was characterized based on an electrophoresis expression of Nernst equation that was applied to the redox equilibrium of o-tolidine at different potentials. The utility of the method was demonstrated by separating and determining the electro-oxidation products of quercetin in different pH media. Two main products were always found in the studied time, potential and pH ranges. The variety of products increased not only with increasing potential but also with increasing pH value, and in total, at least 13 products were observed in the electropherograms. This work illustrates a novel example of capillary electrophoresis used online with thin-layer electrolysis to separate and detect electrode reaction products

  5. White emission from organic light-emitting diodes with a super-thin BCP layer

    Energy Technology Data Exchange (ETDEWEB)

    Hao Jingang [Key Laboratory of Luminescence and Optical Information, Ministry of Education, Institute of Optoelectronic Technology, Beijing Jiaotong University, Beijing 100044 (China); Deng Zhenbo [Key Laboratory of Luminescence and Optical Information, Ministry of Education, Institute of Optoelectronic Technology, Beijing Jiaotong University, Beijing 100044 (China)]. E-mail: zbdeng@center.njtu.edu.cn; Yang Shengyi [Key Laboratory of Luminescence and Optical Information, Ministry of Education, Institute of Optoelectronic Technology, Beijing Jiaotong University, Beijing 100044 (China)

    2007-01-15

    We report a method to achieve white emission from organic light-emitting diodes (OLEDs) in which a super-thin (3 nm) hole blocking layer, 2,9-dimethyl-4,7-diphenyl-1,10-phenanthroline (BCP), was inserted between electron-transport layer 8-hydroxyquinoline aluminum (Alq{sub 3}) and 4-(dicyanomethylene)-2-t-butyl-6(1,1,7,7-tetramethyljulolidyl-9-enyl) -4H-pyran (DCJTB) doped poly-vinlycarbazole (PVK) layer. The BCP layer can not only confine exciton in the emitting layer but also control energy transfer proportion from PVK to Alq{sub 3} and then from Alq{sub 3} to DCJTB through BCP layer. In this way, pure white emission with CIE coordinate of (0.32, 0.32) was obtained and it was voltage independent. The brightness reached 270 cd/m{sup 2} at 18 V with an efficiency of 0.166 cd/A.

  6. Characterization and obtainment of thin films based on N,N,N-trimethyl chitosan and heparin through the technical layer-by-layer; Caracterizacao e obtencao de filmes finos de N,N,N-trimetil quitosana e heparina atraves da tecnica layer-by-layer

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2011-07-01

    Thin films of Heparin (HP) and N,N,N-trimethyl chitosan (TMC) with a high degree of quaternization (DQ) were obtained at pH 7.4 through the layer-by-layer (LbL) technique. Polystyrene (PS) was oxidized with aqueous solution of sodium persulfate and subsequently employed as substrate. The characterization of TMC and the respective determination of DQ were performed through {sup 1}H NMR spectroscopy. The thin films de TMC/HP were characterized by FTIR-ATR and AFM. Both techniques confirmed the adsorption of TMC and HP in surface of the PS. The increasing of the bilayers provides a decrease of the projections and/or roughness, further of minimizing the depressions at the surface of the films. Studies of thin films the base of TMC/HP prepared from the LbL technique has not been reported in the literature. It is expected that the thin films of TMC/HP present anti-adhesive and antimicrobial properties. (author)

  7. Inverted organic solar cells with solvothermal synthesized vanadium-doped TiO2 thin films as efficient electron transport layer

    Institute of Scientific and Technical Information of China (English)

    Mehdi Ahmadi; Sajjad Rashidi Dafeh; Samaneh Ghazanfarpour; Mohammad Khanzadeh

    2017-01-01

    We investigated the effects of using different thicknesses of pure and vanadium-doped thin films of TiO2 as the electron transport layer in the inverted configuration of organic photovoltaic cells based on poly (3-hexylthiophene) P3HT:[6-6] phenyl-(6) butyric acid methyl ester (PCBM).1% vanadium-doped TiO2 nanoparticles were synthesized via the solvothermal method.Crystalline structure,morphology,and optical properties of pure and vanadium-doped TiO2 thin films were studied by different techniques such as x-ray diffraction,scanning electron microscopy,transmittance electron microscopy,and UV-visible transmission spectrum.The doctor blade method which is compatible with roll-2-roll printing was used for deposition of pure and vanadium-doped TiO2 thin films with thicknesses of 30 nm and 60 nm.The final results revealed that the best thickness of TiO2 thin films for our fabricated cells was 30 nm.The cell with vanadium-doped TiO2 thin film showed slightly higher power conversion efficiency and great Jsc of 10.7 mA/cm2 compared with its pure counterpart.In the cells using 60 nm pure and vanadium-doped TiO2 layers,the cell using the doped layer showed much higher efficiency.It is remarkable that the extemal quantum efficiency of vanadium-doped TiO2 thin film was better in all wavelengths.

  8. Contribution to the understanding of the high magnetic field compression produced by the implosion of a thin metal tube

    International Nuclear Information System (INIS)

    Besancon, Jacques

    1970-12-01

    In this report we present the essential phenomena which occur during the magnetic flux compression obtained by the explosive-driven implosion of a thin conducting liner: acceleration time, dynamic evolution, heating and instability behaviour of the liner; field diffusion through the conducting wall and resulting flux losses which condition the increasing field in the cavity. Various implosion models are proposed and the one most elaborated leads to a numerical computation of the flux compression. Repeated experiments have permitted us to define and improve the flux injection techniques, the optical and electrical diagnostics and, consequently, the final compressed field. We now know how to obtain and record reproducible fields of 12 MOe in 0.8 cm diameter cavities. The final phase or the liner 'turnaround' has been specially observed. All the implosion shots are compared to the theoretical expectation. It may be concluded that the liner electrical conductivity and its variation essentially determine the final flux value. (author) [fr

  9. Incorporation of layered double nanomaterials in thin film nanocomposite nanofiltration membrane for magnesium sulphate removal

    Science.gov (United States)

    Hanis Tajuddin, Muhammad; Yusof, Norhaniza; Salleh, Wan Norharyati Wan; Fauzi Ismail, Ahmad; Hanis Hayati Hairom, Nur; Misdan, Nurasyikin

    2018-03-01

    Thin film nanocomposite (TFN) membrane with copper-aluminium layered double hydroxides (LDH) incorporated into polyamide (PA) selective layer has been prepared for magnesium sulphate salt removal. 0, 0.05, 0.1, 0.15, 0.2 wt% of LDH were dispersed in the trimesoyl chloride (TMC) in n-hexane as organic solution and embedded into PA layer during interfacial polymerization with piperazine. The fabricated membranes were further characterized to evaluate its morphological structure and membrane surface hydrophilicity. The TFN membranes performance were evaluated with divalent salt magnesium sulphate (MgSO4) removal and compared with thin film composite (TFC). The morphological structures of TFN membranes were altered and the surface hydrophilicity were enhanced with addition of LDH. Incorporation of LDH has improved the permeate water flux by 82.5% compared to that of TFC membrane with satisfactory rejection of MgSO4. This study has experimentally validated the potential of LDH to improve the divalent salt separation performance for TFN membranes.

  10. Incorporation of layered double nanomaterials in thin film nanocomposite nanofiltration membrane for magnesium sulphate removal

    Directory of Open Access Journals (Sweden)

    Tajuddin Muhammad Hanis

    2018-01-01

    Full Text Available Thin film nanocomposite (TFN membrane with copper-aluminium layered double hydroxides (LDH incorporated into polyamide (PA selective layer has been prepared for magnesium sulphate salt removal. 0, 0.05, 0.1, 0.15, 0.2 wt% of LDH were dispersed in the trimesoyl chloride (TMC in n-hexane as organic solution and embedded into PA layer during interfacial polymerization with piperazine. The fabricated membranes were further characterized to evaluate its morphological structure and membrane surface hydrophilicity. The TFN membranes performance were evaluated with divalent salt magnesium sulphate (MgSO4 removal and compared with thin film composite (TFC. The morphological structures of TFN membranes were altered and the surface hydrophilicity were enhanced with addition of LDH. Incorporation of LDH has improved the permeate water flux by 82.5% compared to that of TFC membrane with satisfactory rejection of MgSO4. This study has experimentally validated the potential of LDH to improve the divalent salt separation performance for TFN membranes.

  11. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  12. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  13. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  14. Electrochemical studies of iron/carbonates system applied to the formation of thin layers of siderite on inert substrates

    International Nuclear Information System (INIS)

    Ithurbide, A.; Peulon, S.; Mandin, Ph.; Beaucaire, C.; Chausse, A.

    2007-01-01

    In order to understand the complex mechanisms of the reactions occurring, a methodology is developed. It is based on the use of compounds electrodeposited under the form of thin layers and which are used then as electrodes to study their interactions with the toxic species. It is in this framework that is studied the electrodeposition of siderite on inert substrates. At first, have been studied iron electrochemical systems in carbonated solutions. These studies have been carried out with classical electrochemical methods (cyclic voltametry, amperometry) coupled to in-situ measurements: quartz microbalance, pH. Different compounds have been obtained under the form of homogeneous and adherent thin layers. The analyses of these depositions, by different ex-situ characterizations (XRD, IR, SEM, EDS..) have revealed particularly the presence of siderite. Then, the influence of several experimental parameters (substrate, potential, medium composition, temperature) on the characteristics of siderite thin layers has been studied. From these experimental results, models have been proposed. (O.M.)

  15. High conductivity and transparent aluminum-based multi-layer source/drain electrodes for thin film transistors

    Science.gov (United States)

    Yao, Rihui; Zhang, Hongke; Fang, Zhiqiang; Ning, Honglong; Zheng, Zeke; Li, Xiaoqing; Zhang, Xiaochen; Cai, Wei; Lu, Xubing; Peng, Junbiao

    2018-02-01

    In this study, high conductivity and transparent multi-layer (AZO/Al/AZO-/Al/AZO) source/drain (S/D) electrodes for thin film transistors were fabricated via conventional physical vapor deposition approaches, without toxic elements or further thermal annealing process. The 68 nm-thick multi-layer films with excellent optical properties (transparency: 82.64%), good electrical properties (resistivity: 6.64  ×  10-5 Ω m, work function: 3.95 eV), and superior surface roughness (R q   =  0.757 nm with scanning area of 5  ×  5 µm2) were fabricated as the S/D electrodes. Significantly, comprehensive performances of AZO films are enhanced by the insertion of ultra-thin Al layers. The optimal transparent TFT with this multi-layer S/D electrodes exhibited a decent electrical performance with a saturation mobility (µ sat) of 3.2 cm2 V-1 s-1, an I on/I off ratio of 1.59  ×  106, a subthreshold swing of 1.05 V/decade. The contact resistance of AZO/Al/AZO/Al/AZO multi-layer electrodes is as low as 0.29 MΩ. Moreover, the average visible light transmittance of the unpatterned multi-layers constituting a whole transparent TFT could reach 72.5%. The high conductivity and transparent multi-layer S/D electrodes for transparent TFTs possessed great potential for the applications of the green and transparent displays industry.

  16. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    International Nuclear Information System (INIS)

    Mouro, J.; Gualdino, A.; Chu, V.; Conde, J. P.

    2013-01-01

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n + -type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force

  17. Microstructure factor and mechanical and electronic properties of hydrogenated amorphous and nanocrystalline silicon thin-films for microelectromechanical systems applications

    Energy Technology Data Exchange (ETDEWEB)

    Mouro, J.; Gualdino, A.; Chu, V. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Conde, J. P. [Instituto de Engenharia de Sistemas e Computadores – Microsistemas e Nanotecnologias (INESC-MN) and IN – Institute of Nanoscience and Nanotechnology, 1000-029 Lisbon (Portugal); Department of Bioengineering, Instituto Superior Técnico (IST), 1049-001 Lisbon (Portugal)

    2013-11-14

    Thin-film silicon allows the fabrication of MEMS devices at low processing temperatures, compatible with monolithic integration in advanced electronic circuits, on large-area, low-cost, and flexible substrates. The most relevant thin-film properties for applications as MEMS structural layers are the deposition rate, electrical conductivity, and mechanical stress. In this work, n{sup +}-type doped hydrogenated amorphous and nanocrystalline silicon thin-films were deposited by RF-PECVD, and the influence of the hydrogen dilution in the reactive mixture, the RF-power coupled to the plasma, the substrate temperature, and the deposition pressure on the structural, electrical, and mechanical properties of the films was studied. Three different types of silicon films were identified, corresponding to three internal structures: (i) porous amorphous silicon, deposited at high rates and presenting tensile mechanical stress and low electrical conductivity, (ii) dense amorphous silicon, deposited at intermediate rates and presenting compressive mechanical stress and higher values of electrical conductivity, and (iii) nanocrystalline silicon, deposited at very low rates and presenting the highest compressive mechanical stress and electrical conductivity. These results show the combinations of electromechanical material properties available in silicon thin-films and thus allow the optimized selection of a thin silicon film for a given MEMS application. Four representative silicon thin-films were chosen to be used as structural material of electrostatically actuated MEMS microresonators fabricated by surface micromachining. The effect of the mechanical stress of the structural layer was observed to have a great impact on the device resonance frequency, quality factor, and actuation force.

  18. Some studies on successive ionic layer adsorption and reaction (SILAR) grown indium sulphide thin films

    International Nuclear Information System (INIS)

    Pathan, H.M.; Lokhande, C.D.; Kulkarni, S.S.; Amalnerkar, D.P.; Seth, T.; Han, Sung-Hwan

    2005-01-01

    Indium sulphide (In 2 S 3 ) thin films were grown on amorphous glass substrate by the successive ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, optical absorption, scanning electron microscopy (SEM) and Rutherford back scattering (RBS) were applied to study the structural, optical, surface morphological and compositional properties of the indium sulphide thin films. Utilization of triethanolamine and hydrazine hydrate complexed indium sulphate and sodium sulphide as precursors resulted in nanocrystalline In 2 S 3 thin film. The optical band gap was found to be 2.7 eV. The film appeared to be smooth and homogeneous from SEM study

  19. Use of low volatility mobile phases in electroosmotic thin-layer chromatography.

    Science.gov (United States)

    Berezkin, V G; Balushkin, A O; Tyaglov, B V; Litvin, E F

    2005-08-19

    A variant of electroosmotic thin-layer chromatography is suggested with the use of low volatility compounds as mobile phases aimed at drastically decreasing the evaporation of the mobile phase and improving the reproducibility of the method. The linear movement velocity of zones of separated compounds is experimentally shown to increase 2-12-fold in electroosmotic chromatography (compared to similar values in traditional TLC). The separation efficiency is also considerably increased.

  20. Magnetic domain observation of FeCo thin films fabricated by alternate monoatomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ohtsuki, T., E-mail: ohtsuki@spring8.or.jp; Kotsugi, M.; Ohkochi, T. [Japan Synchrotron Radiation Research Institute (JASRI), 1-1-1 Koto, Sayo-cho, Sayo-gun, Hyogo 679-5198 (Japan); Kojima, T.; Mizuguchi, M.; Takanashi, K. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2014-01-28

    FeCo thin films are fabricated by alternate monoatomic layer deposition method on a Cu{sub 3}Au buffer layer, which in-plane lattice constant is very close to the predicted value to obtain a large magnetic anisotropy constant. The variation of the in-plane lattice constant during the deposition process is investigated by reflection high-energy electron diffraction. The magnetic domain images are also observed by a photoelectron emission microscope in order to microscopically understand the magnetic structure. As a result, element-specific magnetic domain images show that Fe and Co magnetic moments align parallel. A series of images obtained with various azimuth reveal that the FeCo thin films show fourfold in-plane magnetic anisotropy along 〈110〉 direction, and that the magnetic domain structure is composed only of 90∘ wall.

  1. Multispectral surface plasmon resonance approach for ultra-thin silver layer characterization: Application to top-emitting OLED cathode

    Science.gov (United States)

    Taverne, S.; Caron, B.; Gétin, S.; Lartigue, O.; Lopez, C.; Meunier-Della-Gatta, S.; Gorge, V.; Reymermier, M.; Racine, B.; Maindron, T.; Quesnel, E.

    2018-01-01

    While dielectric/metal/dielectric (DMD) multilayer thin films have raised considerable interest as transparent and conductive electrodes in various optoelectronic devices, the knowledge of optical characteristics of thin metallic layers integrated in such structures is still rather approximate. The multispectral surface plasmon resonance characterization approach described in this work precisely aims at providing a rigorous methodology able to accurately determine the optical constants of ultra-thin metallic films. As a practical example, the refractive index and extinction dispersion curves of 8 to 25 nm-thick silver layers have been investigated. As a result, their extreme dependence on the layer thickness is highlighted, in particular in a thickness range close to the critical threshold value (˜10 nm) where the silver film becomes continuous and its electrical conductance/optical transmittance ratio particularly interesting. To check the validity of the revisited Ag layers constant dispersion curves deduced from this study, they were introduced into a commercial optical model software to simulate the behavior of various optoelectronic building blocks from the simplest ones (DMD electrodes) to much more complex structures [full organic light emitting device (OLED) stacks]. As a result, a much better prediction of the emission spectrum profile as well as the angular emission pattern of top-emitting OLEDs is obtained. On this basis, it is also shown how a redesign of the top encapsulation thin film of OLEDs is necessary to better take benefit from the advanced DMD electrode. These results should particularly interest the micro-OLED display field where bright and directive single color pixel emission is required.

  2. Relationship of Estimated SHIV Acquisition Time Points During the Menstrual Cycle and Thinning of Vaginal Epithelial Layers in Pigtail Macaques.

    Science.gov (United States)

    Kersh, Ellen N; Ritter, Jana; Butler, Katherine; Ostergaard, Sharon Dietz; Hanson, Debra; Ellis, Shanon; Zaki, Sherif; McNicholl, Janet M

    2015-12-01

    HIV acquisition in the female genital tract remains incompletely understood. Quantitative data on biological HIV risk factors, the influence of reproductive hormones, and infection risk are lacking. We evaluated vaginal epithelial thickness during the menstrual cycle in pigtail macaques (Macaca nemestrina). This model previously revealed increased susceptibility to vaginal infection during and after progesterone-dominated periods in the menstrual cycle. Nucleated and nonnucleated (superficial) epithelial layers were quantitated throughout the menstrual cycle of 16 macaques. We examined the relationship with previously estimated vaginal SHIVSF162P3 acquisition time points in the cycle of 43 different animals repeatedly exposed to low virus doses. In the luteal phase (days 17 to cycle end), the mean vaginal epithelium thinned to 66% of mean follicular thickness (days 1-16; P = 0.007, Mann-Whitney test). Analyzing 4-day segments, the epithelium was thickest on days 9 to 12 and thinned to 31% thereof on days 29 to 32, with reductions of nucleated and nonnucleated layers to 36% and 15% of their previous thickness, respectively. The proportion of animals with estimated SHIV acquisition in each cycle segment correlated with nonnucleated layer thinning (Pearson r = 0.7, P layer thinning (Pearson r = 0.6, P = 0.15). These data provide a detailed picture of dynamic cycle-related changes in the vaginal epithelium of pigtail macaques. Substantial thinning occurred in the superficial, nonnucleated layer, which maintains the vaginal microbiome. The findings support vaginal tissue architecture as susceptibility factor for infection and contribute to our understanding of innate resistance to SHIV infection.

  3. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers.

    Science.gov (United States)

    Koslowski, Sebastian; Rosenblatt, Daniel; Kabakchiev, Alexander; Kuhnke, Klaus; Kern, Klaus; Schlickum, Uta

    2017-01-01

    With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111) and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111) results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111), as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal-molecule interaction, which decreases the HOMO-LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS) and their shapes can be resolved by spectroscopic mapping.

  4. Formation of a highly doped ultra-thin amorphous carbon layer by ion bombardment of graphene

    Science.gov (United States)

    Piotr Michałowski, Paweł; Pasternak, Iwona; Ciepielewski, Paweł; Guinea, Francisco; Strupiński, Włodek

    2018-07-01

    Ion bombardment of graphene leads to the formation of defects which may be used to tune properties of the graphene based devices. In this work, however, we present that the presence of the graphene layer on a surface of a sample has a significant impact on the ion bombardment process: broken sp2 bonds react with the incoming ions and trap them close to the surface of the sample, preventing a standard ion implantation. For an ion bombardment with a low impact energy and significant dose (in the range of 1014 atoms cm‑2) an amorphization of the graphene layer is observed but at the same time, most of the incoming ions do not penetrate the sample but stop at the surface, thus forming a highly doped ultra-thin amorphous carbon layer. The effect may be used to create thin layers containing desired atoms if no other technique is available. This approach is particularly useful for secondary ion mass spectrometry where a high concentration of Cs at the surface of a sample significantly enhances the negative ionization probability, allowing it to reach better detection limits.

  5. Thin Solid Oxide Cell

    DEFF Research Database (Denmark)

    2010-01-01

    The present invention relates to a thin and in principle unsupported solid oxide cell, comprising at least a porous anode layer, an electrolyte layer and a porous cathode layer, wherein the anode layer and the cathode layer comprise an electrolyte material, at least one metal and a catalyst...... material, and wherein the overall thickness of the thin reversible cell is about 150 [mu]m or less, and to a method for producing same. The present invention also relates to a thin and in principle unsupported solid oxide cell, comprising at least a porous anode layer, an electrolyte layer and a porous...... cathode layer, wherein the anode layer and the cathode layer comprise an electrolyte material and a catalyst material, wherein the electrolyte material is doper zirconia, and wherein the overall thickness of the thin reversible cell is about 150 [mu]m or less, and to a method for producing same...

  6. Preparation of thin layer materials with macroporous microstructure for SOFC applications

    International Nuclear Information System (INIS)

    Marrero-Lopez, D.; Ruiz-Morales, J.C.; Pena-Martinez, J.; Canales-Vazquez, J.; Nunez, P.

    2008-01-01

    A facile and versatile method using polymethyl methacrylate (PMMA) microspheres as pore formers has been developed to prepare thin layer oxide materials with controlled macroporous microstructure. Several mixed oxides with fluorite and perovskite-type structures, i.e. doped zirconia, ceria, ferrites, manganites, and NiO-YSZ composites have been prepared and characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), nitrogen adsorption and mercury porosimetry. The synthesised materials are nanocrystalline and present a homogeneous pore distribution and relatively high specific surface area, which makes them interesting for SOFC and catalysis applications in the intermediate temperature range. - Graphical abstract: Thin films materials of mixed oxides with potential application in SOFC devices have been prepared with macroporous microstructure using PMMA microspheres as pore formers. Display Omitted

  7. Performance improvement of organic thin film transistors by using active layer with sandwich structure

    Science.gov (United States)

    Ni, Yao; Zhou, Jianlin; Kuang, Peng; Lin, Hui; Gan, Ping; Hu, Shengdong; Lin, Zhi

    2017-08-01

    We report organic thin film transistors (OTFTs) with pentacene/fluorinated copper phthalo-cyanine (F16CuPc)/pentacene (PFP) sandwich configuration as active layers. The sandwich devices not only show hole mobility enhancement but also present a well control about threshold voltage and off-state current. By investigating various characteristics, including current-voltage hysteresis, organic film morphology, capacitance-voltage curve and resistance variation of active layers carefully, it has been found the performance improvement is mainly attributed to the low carrier traps and the higher conductivity of the sandwich active layer due to the additional induced carriers in F16CuPc/pentacene. Therefore, using proper multiple active layer is an effective way to gain high performance OTFTs.

  8. Controlling the Performance of P-type Cu2O/SnO Bilayer Thin-Film Transistors by Adjusting the Thickness of the Copper Oxide Layer

    KAUST Repository

    Al-Jawhari, Hala A.

    2014-11-11

    The effect of copper oxide layer thickness on the performance of Cu2O/SnO bilayer thin-film transistors was investigated. By using sputtered Cu2O films produced at an oxygen partial pressure, Opp, of 10% as the upper layer and 3% Opp SnO films as the lower layer we built a matrix of bottom-gate Cu2O/SnO bilayer thin-film transistors of different thickness. We found that the thickness of the Cu2O layer is of major importance in oxidation of the SnO layer underneath. The thicker the Cu2O layer, the more the underlying SnO layer is oxidized, and, hence, the more transistor mobility is enhanced at a specific temperature. Both device performance and the annealing temperature required could be adjusted by controlling the thickness of each layer of Cu2O/SnO bilayer thin-film transistors.

  9. Growth and characterization of ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films deposited by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Birjega, R. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Vlad, A., E-mail: angela.vlad@gmail.com [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Matei, A.; Ion, V.; Luculescu, C.; Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., Magurele, 76900 Bucharest (Romania); Zavoianu, R. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania)

    2016-09-01

    Layered double hydroxides (LDHs) are a class of layered materials consisting of positively charged brucite-like layers and exchangeable interlayer anions. Layered double hydroxides containing a transition metal which undergoes a reversible redox reaction in the useful potential range have been proposed as electrode coating materials due to their properties of charge transport and redox catalysts in basic solutions. Ni–Al,(Ni,Mg)–Al and, as reference, non-electronically conductive Mg–Al double hydroxides thin films were obtained via pulsed laser deposition technique. The thin films were deposited on different substrates (Si, glass) by using a Nd:YAG laser (1064 nm) working at a repetition rate of 10 Hz. X-ray diffraction, Atomic Force Microscopy, Energy Dispersive X-ray spectroscopy, Fourier Transform Infra-Red Spectroscopy, Secondary Ions Mass Spectrometry, Impedance Analyzer and ellipsometry were the techniques used for the as deposited thin films investigation. The optical properties of Ni based LDH thin films and the effect of the Ni amount on the structural, morphological and optical response are evidenced. The optical band gap values, covering a domain between 3.84 eV and 4.38 eV, respond to the Ni overall concentration: the higher Ni amount the lower the band gap value. - Highlights: • Ternary Ni, Mg–Al and Ni–Al layered double hydroxides thin films were deposited. • The effect of the nickel is evidenced. • The possibility to tailor the materials accompanied by an optical response is shown.

  10. Effect of large compressive strain on low field electrical transport in La0.88Sr0.12MnO3 thin films

    International Nuclear Information System (INIS)

    Prasad, Ravikant; Gaur, Anurag; Siwach, P K; Varma, G D; Kaur, A; Singh, H K

    2007-01-01

    We have investigated the effect of large in-plane compressive strain on the electrical transport in La 0.88 Sr 0.12 MnO 3 in thin films. For achieving large compressive strain, films have been deposited on single crystal LaAlO 3 (LAO, a = 3.798 A) substrate from a polycrystalline bulk target having average in-plane lattice parameter a av = (a b + b b )/2 = 3.925 A. The compressive strain was further relaxed by varying the film thickness in the range ∼6-75 nm. In the film having least thickness (∼6 nm) large increase (c = 3.929 A) in the out-of-plane lattice parameter is observed which gradually decreases towards the bulk value (c bulk = 3.87 A) for ∼75 nm thick film. This shows that the film having the least thickness is under large compressive strain, which partially relaxes with increasing film thickness. The T IM of the bulk target ∼145 K goes up to ∼235 K for the ∼6 nm thin film and even for partially strain relaxed ∼75 nm thick film T IM is as high as ∼200 K. This enhancement in T IM is explained in terms of suppression of Jahn-Teller distortion of the MnO 6 octahedra by the large in-plane compressive strain. We observe a large enhancement in the low field magnetoresistance (MR) just below T IM in the films having partial strain relaxation. Thick films of 6 and 20 nm have MR ∼14% at 3 kOe that almost doubles in 35 nm film to ∼27%. Similar enhancement is also obtained in the case of the temperature coefficient of resistivity. The near doubling of low field MR is explained in terms of delocalization of weakly localized carriers around T IM by small magnetic fields

  11. Thin layer settling - a promising method for purifying industrial waste waters

    Energy Technology Data Exchange (ETDEWEB)

    Perevalov, V G; Kolokhmatova, N M; Malkina, I I; Smyslov, A I

    1979-01-01

    Proposed for removing oil and suspended substances from waste waters is a thin layer, tubular settler, whose elements are made from polyethylene pipes. The operational effectiveness of the settler on the average is 90-95%, the duration of the purification is 10-11 min, which is 1/12 of that in the most common and contemporary oil traps. The volume of the settler structure with this productivity may be reduced by 12 times.

  12. An RBS study of thin PLD and MOCVD strontium copper oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Kantor, Z. [Institute of Physics, University of Pannonia, H-8200 Veszprem (Hungary); Papadopoulou, E.L.; Aperathitis, E. [Inst. Electronic Struture and Laser, Foundation for Research and Technology - Hellas, P.O. Box 1527, Heraklion 71110 (Greece); Deschanvres, J.-L. [LMPG INP Grenoble-Minatec, BP 257, 38016 Grenoble Cedex 1 (France); Somogyi, K. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)], E-mail: karoly.somogyi@microvacuum.com; Szendro, I. [MicroVacuum Ltd., Kerekgyarto u.: 10, H-1147 Budapest (Hungary)

    2008-09-30

    Strontium copper oxide (SCO) has been studied as p-type transparent (VIS) conductive oxide material. Also theoretical studies suggested p-type conductivity of the SrCu{sub 2}O{sub 2} composition. SCO thin layers, with thicknesses of 30-2000 nm, were deposited on glass and silicon substrates both by pulsed laser deposition (PLD) and by MOCVD method. The as-grown layers showed high electrical resistance. Due to an annealing process, the resistivity significantly decreased and the layers showed p-type conductivity. Optical transparency measured on samples grown on glass substrates was found about or above 80%, including also thickness dependence. RBS measurements were applied for the determination of the chemical composition profile of the layers. A comparison revealed some specific differences between as-grown and annealed PLD samples. Due to the annealing, the ratio of oxide phases was changed and a vertical inhomogeneity in chemical composition was observed. Our measurements revealed also the influence of the deposition technique and of the substrate.

  13. Use of two-phase aqueous systems based on water-soluble polymers in thin-layer and extraction chromatography for recovery and separtion of actinides

    International Nuclear Information System (INIS)

    Molochnikova, N.P.; Shkinev, V.M.; Myasoedov, B.F.

    1995-01-01

    The feasibility has been demonstrated of using two-phase aqueous systems based on water-soluble polymers, polyethylene glycol and dextran sulfate, in thin-layer and extraction chromatography for recovery and separation of actinides. A convenient method has been proposed for continuous recovery of 239 Np from 243 Am, originating from differences in sorption of tri- and pentavalent actinides from sulfate solutions containing potassium phosphotungstate by silica gel impregnated with polyethylene glycol. New plates for thin-layer chromatography using water-soluble polymers have been developed. These plates were used to study behavior of americium in various oxidation states in thin sorbent layers

  14. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  15. Synchrotron X-ray diffraction investigations on strains in the oxide layer of an irradiated Zircaloy fuel cladding

    Energy Technology Data Exchange (ETDEWEB)

    Chollet, Mélanie, E-mail: melanie.chollet@psi.ch [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland); Valance, Stéphane; Abolhassani, Sousan; Stein, Gene [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland); Grolimund, Daniel [Paul Scherrer Institute, SLS, 5232 Villigen (Switzerland); Martin, Matthias; Bertsch, Johannes [Paul Scherrer Institute, NES, 5232 Villigen (Switzerland)

    2017-05-15

    For the first time the microstructure of the oxide layer of a Zircaloy-2 cladding after 9 cycles of irradiation in a boiling water reactor has been analyzed with synchrotron micro-X-ray diffraction. Crystallographic strains of the monoclinic and to some extent of the tetragonal ZrO{sub 2} are depicted through the thick oxide layer. Thin layers of sub-oxide at the oxide-metal interface as found for autoclave-tested samples and described in the literature, have not been observed in this material maybe resulting from irradiation damage. Shifts of selected diffraction peaks of the monoclinic oxide show that the uniform strain produced during oxidation is orientated in the lattice and displays variations along the oxide layer. Diffraction peaks and their shifts from families of diffracting planes could be translated into a virtual tensor. This virtual tensor exhibits changes through the oxide layer passing by tensile or compressive components. - Highlights: •A Zircaloy-2 cladding irradiated 9 cycles was investigated thanks to synchrotron X-ray diffraction. •Microstructure and uniform strain through the oxide layer is revealed. •The m-ZrO{sub 2} uniform strain is oriented presenting compression along the (−111) plane. •Virtual tensor is built based on reflecting planes of families of grains. •Tensor components vary from tensile to compressive along the oxide layer.

  16. Synchrotron X-ray diffraction investigations on strains in the oxide layer of an irradiated Zircaloy fuel cladding

    International Nuclear Information System (INIS)

    Chollet, Mélanie; Valance, Stéphane; Abolhassani, Sousan; Stein, Gene; Grolimund, Daniel; Martin, Matthias; Bertsch, Johannes

    2017-01-01

    For the first time the microstructure of the oxide layer of a Zircaloy-2 cladding after 9 cycles of irradiation in a boiling water reactor has been analyzed with synchrotron micro-X-ray diffraction. Crystallographic strains of the monoclinic and to some extent of the tetragonal ZrO 2 are depicted through the thick oxide layer. Thin layers of sub-oxide at the oxide-metal interface as found for autoclave-tested samples and described in the literature, have not been observed in this material maybe resulting from irradiation damage. Shifts of selected diffraction peaks of the monoclinic oxide show that the uniform strain produced during oxidation is orientated in the lattice and displays variations along the oxide layer. Diffraction peaks and their shifts from families of diffracting planes could be translated into a virtual tensor. This virtual tensor exhibits changes through the oxide layer passing by tensile or compressive components. - Highlights: •A Zircaloy-2 cladding irradiated 9 cycles was investigated thanks to synchrotron X-ray diffraction. •Microstructure and uniform strain through the oxide layer is revealed. •The m-ZrO 2 uniform strain is oriented presenting compression along the (−111) plane. •Virtual tensor is built based on reflecting planes of families of grains. •Tensor components vary from tensile to compressive along the oxide layer.

  17. Robotic thin layer chromatography instrument for synthetic chemistry

    International Nuclear Information System (INIS)

    Corkan, L.A.; Haynes, E.; Kline, S.; Lindsey, J.S.

    1991-01-01

    We have constructed a second generation instrument for performing automated thin layer chromatography (TLC), The TLC instrument Consists of four dedicated stations for (1) plate dispensing, (2) sample application, (3) plate development, and (4) densitometry. A robot is used to move TLC plates among stations. The TLC instrument functions either as a stand-alone unit or as one analytical module in a robotic workstation for synthetic chemistry. An integrated hardware and software architecture enables automatic TLC analysis of samples produced concurrently from synthetic reactions in progress on the workstation. The combination of fixed automation and robotics gives a throughput of 12 TLC samples per hour. From these results a blueprint has emerged for an advanced automated TLC instrument with far greater throughput and analytical capabilities

  18. Bearing Capacity of Footings on Thin Layer of Sand on Soft Cohesive Soil

    DEFF Research Database (Denmark)

    Philipsen, J.; Sørensen, Carsten S.

    2004-01-01

    This paper contains the results of some numerical calculations performed with the aim to determine the bearing capacities of footings placed on a thin layer of sand underlain by soft cohesive soil. During the last 30-35 years different analytical and empirical calculation methods for this situation...... prepared model tests made in laboratories....

  19. High performance thin layer chromatography profile of Cassytha filiformis

    Institute of Scientific and Technical Information of China (English)

    Mythili Sathiavelu; Sathiavelu Arunachalam

    2012-01-01

    Objective: To study the phenols, flavonoids, saponin profile of the medicinal plant Cassytha filiformis (C. filiformis) using high performance thin layer chromatography (HPTLC). Methods:The extracts were tested to determine the presence of various phytochmeicals like alkaloids, phenolic compounds, flavonoids, carbohydrates, glycosides, saponins, terpenoids, tannins, fixed oils, fats and protein and aminoacids (Harborne and Harborne, 1998). HPTLC studies were carried out by Harborne and Wagner et al method. Different compositions of the mobile phase for HPTLC analysis were tested in order to obtain high resolution and reproducible peaks. Results: The results of the preliminary phytochemical studies confirm the presence of phenols, alkaloids, carbohydrates, saponins, flavanoids, terpenoids and tannins in the methanolic extracts of C. filiformis. The methanolic extracts of C. filiformis displayed the presence of 13 types of phenolic substances with 13 different Rf values ranging from 0.01 to 0.96. The results illustrated the presence of 9 different types of flavonoides with 9 different Rf values ranging from 0.01 to 0.97. The results of HPTLC analysis of saponins demonstrated the presence of 11 different types of saponins with 11 different Rf values ranging from 0.04 to 0.92. Conclusions: In the present study we observed the phenols, flavonoids, saponin profile of the medicinal plant C. filiformis using high performance thin layer chromatography (HPTLC). Hence it was concluded that the phenolic compounds present in the methonolic extract could be responsible for antioxidant activities. Plant derived antioxidants, especially phenols and flavonoids, have been described to have various properties like anticancer, antiaging and prevention of cardiovascular diseases. Furthur, separation and characterization of the bioactive compound from the plant is to be evaluated and reported in near future.

  20. Silver nanoparticle formation in thin oxide layer on silicon by silver-negative-ion implantation for Coulomb blockade at room temperature

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Arai, Nobutoshi; Matsumoto, Takuya; Ueno, Kazuya; Gotoh, Yasuhito; Adachi, Kouichiro; Kotaki, Hiroshi; Ishikawa, Junzo

    2004-01-01

    Formation of silver nanoparticles formed by silver negative-ion implantation in a thin SiO 2 layer and its I-V characteristics were investigated for development single electron devices. In order to obtain effective Coulomb blockade phenomenon at room temperature, the isolated metal nanoparticles should be in very small size and be formed in a thin insulator layer such as gate oxide on the silicon substrate. Therefore, conditions of a fine particles size, high particle density and narrow distribution should be controlled at their formation without any electrical breakdown of the thin insulator layer. We have used a negative-ion implantation technique with an advantage of 'charge-up free' for insulators, with which no breakdown of thin oxide layer on Si was obtained. In the I-V characteristics with Au electrode, the current steps were observed with a voltage interval of about 0.12 V. From the step voltage the corresponded capacitance was calculated to be 0.7 aF. In one nanoparticle system, this value of capacitance could be given by a nanoparticle of about 3 nm in diameter. This consideration is consistent to the measured particle size in the cross-sectional TEM observation. Therefore, the observed I-V characteristics with steps are considered to be Coulomb staircase by the Ag nanoparticles

  1. The production of ultra-thin layers of ion-exchange resin and metallic silver by electrospraying

    International Nuclear Information System (INIS)

    Wyllie, H.A.

    1988-10-01

    Highly efficient radioactive sources for use in radioisotope metrology have been prepared on ultra-thin layers of electrosprayed ion-exchange resin. The efficiency of these sources can be reduced for the purpose of radioactivity standardisation by coating them with conducting silver layers which are also produced by electrospraying. A description is given of improvements to the electrospraying methods, together with details of the rotating, oscillating source-mount turntable

  2. Determination of the Mass Absorption Coefficient in Two-Layer Ti/V and V/Ti Thin Film Systems by the X-Ray Fluorescence Method

    Science.gov (United States)

    Mashin, N. I.; Chernyaeva, E. A.; Tumanova, A. N.; Gafarova, L. M.

    2016-03-01

    A new XRF procedure for the determination of the mass absorption coefficient in thin film Ti/V and V/Ti two-layer systems has been proposed. The procedure uses easy-to-make thin-film layers of sputtered titanium and vanadium on a polymer film substrate. Correction coefficients have been calculated that take into account attenuation of primary radiation of the X-ray tube, as well as attenuation of the spectral line of the bottom layer element in the top layer.

  3. Preparation and characterization of tin sulphide thin films by a spray pyrolysis technique

    International Nuclear Information System (INIS)

    Ben Haj Salah, H.; Bouzouita, H.; Rezig, B.

    2005-01-01

    We have attempted the preparation and characterization of Sn 2 S 3 thin films by using the spray pyrolysis technique. We started with acidic aqueous solutions including tin (II) chloride and thiourea, which were atomized with compressed air as carrier gas. The Sn 2 S 3 thin films were obtained on glass substrates. Thin layers of Sn-S have been grown at various temperatures in the range of 275-325 deg. C and various [S/Sn] ratios. The structural properties have been determined by using X-ray diffraction (XRD). The changes observed in the structural phases during the film formation in dependence of growth temperatures are reported and discussed. The optical constants of the deposited films were obtained using the experimentally recorded transmission and reflectance spectral data as functions of the wavelength, in the range of 300-1800 nm. An analysis of the deduced spectral absorption of the deposited films revealed an optical indirect band gap energy of 1.9-2.2 eV for Sn 2 S 3 layers

  4. Granular and layered ferroelectric–ferromagnetic thin-film nanocomposites as promising materials with high magnetotransmission effect

    Energy Technology Data Exchange (ETDEWEB)

    Akbashev, A.R. [Department of Materials Science, Moscow State University, 119992 Moscow (Russian Federation); Telegin, A.V., E-mail: telegin@imp.uran.ru [M.N. Miheev Institute of Metal Physics of Ural Branch of RAS, 620990 Ekaterinburg (Russian Federation); Kaul, A.R. [Department of Chemistry, Moscow State University, 119992 Moscow (Russian Federation); Sukhorukov, Yu.P. [M.N. Miheev Institute of Metal Physics of Ural Branch of RAS, 620990 Ekaterinburg (Russian Federation)

    2015-06-15

    Epitaxial thin films of granular and layered nanocomposites consisting of ferromagnetic perovskite Pr{sub 1–x}Sr{sub x}MnO{sub 3} and ferroelectric hexagonal LuMnO{sub 3} were grown on ZrO{sub 2}(Y{sub 2}O{sub 3}) substrates using metal-organic chemical vapor deposition (MOCVD). A self-organized growth of the granular composite took place in situ as a result of phase separation of the Pr–Sr–Lu–Mn–O system into the perovskite and hexagonal phases. Optical transmission measurements revealed a large negative magnetotransmission effect in the layered nanocomposite over a wide spectral and temperature range. The granular nanocomposite unexpectedly showed an even larger, but positive, magnetotransmission effect at room temperature. - Highlights: • Thin-film ferromagnetic–ferroelectric nanocomposites have been prepared by MOCVD. • Giant change of optical transparency of nanocomposites in magnetic field was detected. • Positive magnetotransmission in the granular nanocomposite was discovered in the IR. • Negative magnetotransmission in the layered nanocomposite was revealed in the IR. • Ferroelectric–ferromangetic nanocomposite is a promising material for optoelectronics.

  5. Granular and layered ferroelectric–ferromagnetic thin-film nanocomposites as promising materials with high magnetotransmission effect

    International Nuclear Information System (INIS)

    Akbashev, A.R.; Telegin, A.V.; Kaul, A.R.; Sukhorukov, Yu.P.

    2015-01-01

    Epitaxial thin films of granular and layered nanocomposites consisting of ferromagnetic perovskite Pr 1–x Sr x MnO 3 and ferroelectric hexagonal LuMnO 3 were grown on ZrO 2 (Y 2 O 3 ) substrates using metal-organic chemical vapor deposition (MOCVD). A self-organized growth of the granular composite took place in situ as a result of phase separation of the Pr–Sr–Lu–Mn–O system into the perovskite and hexagonal phases. Optical transmission measurements revealed a large negative magnetotransmission effect in the layered nanocomposite over a wide spectral and temperature range. The granular nanocomposite unexpectedly showed an even larger, but positive, magnetotransmission effect at room temperature. - Highlights: • Thin-film ferromagnetic–ferroelectric nanocomposites have been prepared by MOCVD. • Giant change of optical transparency of nanocomposites in magnetic field was detected. • Positive magnetotransmission in the granular nanocomposite was discovered in the IR. • Negative magnetotransmission in the layered nanocomposite was revealed in the IR. • Ferroelectric–ferromangetic nanocomposite is a promising material for optoelectronics

  6. Preparation and recording characteristics of granular-type perpendicular magnetic recording media with thin intermediate layer

    International Nuclear Information System (INIS)

    Shintaku, K.; Kiya, T.

    2008-01-01

    Granular-type media with thin Ru intermediate layer were prepared on a highly oriented high-B s FeCo soft underlayer (SUL). A CoPt-TiO 2 recording layer on a Ru intermediate layer of only 2 nm had high-crystal orientation, high H c of 6.5 kOe, and a high squareness ratio (SQ) of 0.99. The magnetic property of the SUL was also good. The recording performance was measured for the media with different Ru intermediate thicknesses by using a single-pole-type (SPT) head. The media had large reproduced output even for the Ru intermediate layer thickness of 2 nm

  7. Preparation and recording characteristics of granular-type perpendicular magnetic recording media with thin intermediate layer

    Energy Technology Data Exchange (ETDEWEB)

    Shintaku, K. [Akita Research Institute of Advanced Technology, Akita Prefectural R and D Center, 4-21 Sanuki, Araya, Akita 010-1623 (Japan)], E-mail: shintaku@ait.pref.akita.jp; Kiya, T. [Akita Research Institute of Advanced Technology, Akita Prefectural R and D Center, 4-21 Sanuki, Araya, Akita 010-1623 (Japan)

    2008-11-15

    Granular-type media with thin Ru intermediate layer were prepared on a highly oriented high-B{sub s} FeCo soft underlayer (SUL). A CoPt-TiO{sub 2} recording layer on a Ru intermediate layer of only 2 nm had high-crystal orientation, high H{sub c} of 6.5 kOe, and a high squareness ratio (SQ) of 0.99. The magnetic property of the SUL was also good. The recording performance was measured for the media with different Ru intermediate thicknesses by using a single-pole-type (SPT) head. The media had large reproduced output even for the Ru intermediate layer thickness of 2 nm.

  8. Thin-film encapsulation of organic electronic devices based on vacuum evaporated lithium fluoride as protective buffer layer

    Science.gov (United States)

    Peng, Yingquan; Ding, Sihan; Wen, Zhanwei; Xu, Sunan; Lv, Wenli; Xu, Ziqiang; Yang, Yuhuan; Wang, Ying; Wei, Yi; Tang, Ying

    2017-03-01

    Encapsulation is indispensable for organic thin-film electronic devices to ensure reliable operation and long-term stability. For thin-film encapsulating organic electronic devices, insulating polymers and inorganic metal oxides thin films are widely used. However, spin-coating of insulating polymers directly on organic electronic devices may destroy or introduce unwanted impurities in the underlying organic active layers. And also, sputtering of inorganic metal oxides may damage the underlying organic semiconductors. Here, we demonstrated that by utilizing vacuum evaporated lithium fluoride (LiF) as protective buffer layer, spin-coated insulating polymer polyvinyl alcohol (PVA), and sputtered inorganic material Er2O3, can be successfully applied for thin film encapsulation of copper phthalocyanine (CuPc)-based organic diodes. By encapsulating with LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films, the device lifetime improvements of 10 and 15 times can be achieved. These methods should be applicable for thin-film encapsulation of all kinds of organic electronic devices. Moisture-induced hole trapping, and Al top electrode oxidation are suggest to be the origins of current decay for the LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films encapsulated devices, respectively.

  9. Influence of the spacer layer on microstructure and magnetic properties of [NdFeB/(NbCu)]xn thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chiriac, H. [National Institute of R and D for Technical Physics, 47 Mangeron Blvd., 700050 Iasi (Romania); Grigoras, M. [National Institute of R and D for Technical Physics, 47 Mangeron Blvd., 700050 Iasi (Romania); Urse, M. [National Institute of R and D for Technical Physics, 47 Mangeron Blvd., 700050 Iasi (Romania)]. E-mail: urse@phys-iasi.ro

    2007-09-15

    Some results concerning the influence of the composition and thickness of NbCu spacer layer on the microstructure and magnetic properties of multilayer [NdFeB/(NbCu)]xn films, in view of their utilization for manufacturing the thin film permanent magnets are presented. A comparison between the microstructure and magnetic properties of NdFeB single layer and [NdFeB/(NbCu)]xn multilayer is also presented. The multilayer [NdFeB/(NbCu)]xn thin films with the thickness of the NdFeB layer of 180nm and the thickness of the NbCu spacer layer of 3nm, exhibit good hard magnetic characteristics such as coercive force H{sub c} of about 1510kA/m and the remanence ratio M{sub r}/M{sub s} of about 0.8.

  10. Influence of the spacer layer on microstructure and magnetic properties of [NdFeB/(NbCu)]xn thin films

    International Nuclear Information System (INIS)

    Chiriac, H.; Grigoras, M.; Urse, M.

    2007-01-01

    Some results concerning the influence of the composition and thickness of NbCu spacer layer on the microstructure and magnetic properties of multilayer [NdFeB/(NbCu)]xn films, in view of their utilization for manufacturing the thin film permanent magnets are presented. A comparison between the microstructure and magnetic properties of NdFeB single layer and [NdFeB/(NbCu)]xn multilayer is also presented. The multilayer [NdFeB/(NbCu)]xn thin films with the thickness of the NdFeB layer of 180nm and the thickness of the NbCu spacer layer of 3nm, exhibit good hard magnetic characteristics such as coercive force H c of about 1510kA/m and the remanence ratio M r /M s of about 0.8

  11. Ceramic Composite Thin Films

    Science.gov (United States)

    Ruoff, Rodney S. (Inventor); Stankovich, Sasha (Inventor); Dikin, Dmitriy A. (Inventor); Nguyen, SonBinh T. (Inventor)

    2013-01-01

    A ceramic composite thin film or layer includes individual graphene oxide and/or electrically conductive graphene sheets dispersed in a ceramic (e.g. silica) matrix. The thin film or layer can be electrically conductive film or layer depending the amount of graphene sheets present. The composite films or layers are transparent, chemically inert and compatible with both glass and hydrophilic SiOx/silicon substrates. The composite film or layer can be produced by making a suspension of graphene oxide sheet fragments, introducing a silica-precursor or silica to the suspension to form a sol, depositing the sol on a substrate as thin film or layer, at least partially reducing the graphene oxide sheets to conductive graphene sheets, and thermally consolidating the thin film or layer to form a silica matrix in which the graphene oxide and/or graphene sheets are dispersed.

  12. SOUND FIELD SHIELDING BY FLAT ELASTIC LAYER AND THIN UNCLOSED SPHERICAL SHELL

    Directory of Open Access Journals (Sweden)

    G. Ch. Shushkevich

    2014-01-01

    Full Text Available An analytical solution of a boundary problem describing the process of penetration of a sound field of a spherical radiator located inside a thin unclosed spherical shell through a flat elastic layer is constructed. An influence of some parameters of the problem on the value of the attenuation coeffi-cient (screening of the sound field was studied by using a numerical simulation.

  13. Optical properties and defect levels in a surface layer found on CuInSe{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Abulfotuh, F.; Wangensteen, T.; Ahrenkiel, R.; Kazmerski, L.L. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    In this paper the authors have used photoluminescence (PL) and wavelength scanning ellipsometry (WSE) to clarify the relationship among the electro-optical properties of copper indium diselenide (CIS) thin films, the type and origin of dominant defect states, and device performance. The PL study has revealed several shallow acceptor and donor levels dominating the semiconductor. PL emission from points at different depths from the surface of the CIS sample has been obtained by changing the angle of incidence of the excitation laser beam. The resulting data were used to determine the dominant defect states as a function of composition gradient at the surface of the chalcopyrite compound. The significance of this type of measurement is that it allowed the detection of a very thin layer with a larger bandgap (1.15-1.26 eV) than the CIS present on the surface of the CIS thin films. The presence of this layer has been correlated by several groups to improvement of the CIS cell performance. An important need that results from detecting this layer on the surface of the CIS semiconductor is the determination of its thickness and optical constants (n, k) as a function of wavelength. The thickness of this surface layer is about 500 {Angstrom}.

  14. Adsorption and electronic properties of pentacene on thin dielectric decoupling layers

    Directory of Open Access Journals (Sweden)

    Sebastian Koslowski

    2017-07-01

    Full Text Available With the increasing use of thin dielectric decoupling layers to study the electronic properties of organic molecules on metal surfaces, comparative studies are needed in order to generalize findings and formulate practical rules. In this paper we study the adsorption and electronic properties of pentacene deposited onto h-BN/Rh(111 and compare them with those of pentacene deposited onto KCl on various metal surfaces. When deposited onto KCl, the HOMO and LUMO energies of the pentacene molecules scale with the work functions of the combined KCl/metal surface. The magnitude of the variation between the respective KCl/metal systems indicates the degree of interaction of the frontier orbitals with the underlying metal. The results confirm that the so-called IDIS model developed by Willenbockel et al. applies not only to molecular layers on bare metal surfaces, but also to individual molecules on thin electronically decoupling layers. Depositing pentacene onto h-BN/Rh(111 results in significantly different adsorption characteristics, due to the topographic corrugation of the surface as well as the lateral electric fields it presents. These properties are reflected in the divergence from the aforementioned trend for the orbital energies of pentacene deposited onto h-BN/Rh(111, as well as in the different adsorption geometry. Thus, the highly desirable capacity of h-BN to trap molecules comes at the price of enhanced metal–molecule interaction, which decreases the HOMO–LUMO gap of the molecules. In spite of the enhanced interaction, the molecular orbitals are evident in scanning tunnelling spectroscopy (STS and their shapes can be resolved by spectroscopic mapping.

  15. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  16. Approximate transient and long time limit solutions for the band broadening induced by the thin sidewall-layer in liquid chromatography columns.

    Science.gov (United States)

    Broeckhoven, Ken; Desmet, Gert

    2007-11-16

    Using a combination of both analytical and numerical techniques, approximate analytical expressions have been established for the transient and long time limit band broadening, originating from the presence of a thin disturbed sidewall layer in liquid chromatography columns, including packed, monolithic as well as microfabricated columns. The established expressions can be used to compare the importance of a thin disturbed sidewall layer with that of other radial heterogeneity effects (such as transcolumn packing density variations due to the relief of packing stresses). The expressions are independent of the actual velocity profile inside the layer as long as the disturbed sidewall layer occupies less than 2.5% of the column width.

  17. Evaluation of Two Compressed Air Foam Systems for Culling Caged Layer Hens

    Directory of Open Access Journals (Sweden)

    Eric R. Benson

    2018-04-01

    Full Text Available Outbreaks of avian influenza (AI and other highly contagious poultry diseases continue to be a concern for those involved in the poultry industry. In the situation of an outbreak, emergency depopulation of the birds involved is necessary. In this project, two compressed air foam systems (CAFS were evaluated for mass emergency depopulation of layer hens in a manure belt equipped cage system. In both experiments, a randomized block design was used with multiple commercial layer hens treated with one of three randomly selected depopulation methods: CAFS, CAFS with CO2 gas, and CO2 gas. In Experiment 1, a Rowe manufactured CAFS was used, a selection of birds were instrumented, and the time to unconsciousness, brain death, altered terminal cardiac activity and motion cessation were recorded. CAFS with and without CO2 was faster to unconsciousness, however, the other parameters were not statistically significant. In Experiment 2, a custom Hale based CAFS was used to evaluate the impact of bird age, a selection of birds were instrumented, and the time to motion cessation was recorded. The difference in time to cessation of movement between pullets and spent hens using CAFS was not statistically significant. Both CAFS depopulate caged layers, however, there was no benefit to including CO2.

  18. Evaluation of Two Compressed Air Foam Systems for Culling Caged Layer Hens.

    Science.gov (United States)

    Benson, Eric R; Weiher, Jaclyn A; Alphin, Robert L; Farnell, Morgan; Hougentogler, Daniel P

    2018-04-24

    Outbreaks of avian influenza (AI) and other highly contagious poultry diseases continue to be a concern for those involved in the poultry industry. In the situation of an outbreak, emergency depopulation of the birds involved is necessary. In this project, two compressed air foam systems (CAFS) were evaluated for mass emergency depopulation of layer hens in a manure belt equipped cage system. In both experiments, a randomized block design was used with multiple commercial layer hens treated with one of three randomly selected depopulation methods: CAFS, CAFS with CO₂ gas, and CO₂ gas. In Experiment 1, a Rowe manufactured CAFS was used, a selection of birds were instrumented, and the time to unconsciousness, brain death, altered terminal cardiac activity and motion cessation were recorded. CAFS with and without CO₂ was faster to unconsciousness, however, the other parameters were not statistically significant. In Experiment 2, a custom Hale based CAFS was used to evaluate the impact of bird age, a selection of birds were instrumented, and the time to motion cessation was recorded. The difference in time to cessation of movement between pullets and spent hens using CAFS was not statistically significant. Both CAFS depopulate caged layers, however, there was no benefit to including CO₂.

  19. [Analysis of pigments from Rhodotorula glutinis by Raman spectroscopy and thin layer chromatography].

    Science.gov (United States)

    Yuan, Yu-feng; Tao, Zhan-hua; Wang, Xue; Li, Yong-qing; Liu, Jun-xian

    2012-03-01

    The pigments from Rhodotorula glutinis were separated by using thin layer chromatography, and the result showed that Rhodotorula glutinis cells could synthesize at least three kinds of pigments, which were beta-carotene, torulene, and torularhodin. The Raman spectra based on the three pigments were acquired, and original spectra were preprocessed by background elimination, baseline correction, and three-point-smoothing, then the averaged spectra from different pigments were investigated, and the result indicated that Raman shift which represents C-C bond was different, and the wave number of beta-carotene demonstrated the largest deviation, finally torulene and torularhodin in Rhodotorula glutinis had more content than beta-carotene. Quantitative analysis of Raman peak height ratio revealed that peak height ratio of pigments showed little difference, which could be used as parameters for further research on living cells, providing reference content of pigments. The above results suggest that Raman spectroscopy combined with thin layer chromatography can be applied to analyze pigments from Rhodotorula glutinis, provides abundant information about pigments, and serves as an effective method to study pigments.

  20. Effect of Mg doping in ZnO buffer layer on ZnO thin film devices for electronic applications

    Science.gov (United States)

    Giri, Pushpa; Chakrabarti, P.

    2016-05-01

    Zinc Oxide (ZnO) thin films have been grown on p-silicon (Si) substrate using magnesium doped ZnO (Mg: ZnO) buffer layer by radio-frequency (RF) sputtering method. In this paper, we have optimized the concentration of Mg (0-5 atomic percent (at. %)) ZnO buffer layer to examine its effect on ZnO thin film based devices for electronic and optoelectronic applications. The crystalline nature, morphology and topography of the surface of the thin film have been characterized. The optical as well as electrical properties of the active ZnO film can be tailored by varying the concentration of Mg in the buffer layer. The crystallite size in the active ZnO thin film was found to increase with the Mg concentration in the buffer layer in the range of 0-3 at. % and subsequently decrease with increasing Mg atom concentration in the ZnO. The same was verified by the surface morphology and topography studies carried out with scanning electron microscope (SEM) and atomic electron microscopy (AFM) respectively. The reflectance in the visible region was measured to be less than 80% and found to decrease with increase in Mg concentration from 0 to 3 at. % in the buffer region. The optical bandgap was initially found to increase from 3.02 eV to 3.74 eV by increasing the Mg content from 0 to 3 at. % but subsequently decreases and drops down to 3.43 eV for a concentration of 5 at. %. The study of an Au:Pd/ZnO Schottky diode reveals that for optimum doping of the buffer layer the device exhibits superior rectifying behavior. The barrier height, ideality factor, rectification ratio, reverse saturation current and series resistance of the Schottky diode were extracted from the measured current voltage (I-V) characteristics.

  1. Assessment of doses caused by electrons in thin layers of tissue-equivalent materials, using MCNP.

    Science.gov (United States)

    Heide, Bernd

    2013-10-01

    Absorbed doses caused by electron irradiation were calculated with Monte Carlo N-Particle transport code (MCNP) for thin layers of tissue-equivalent materials. The layers were so thin that the calculation of energy deposition was on the border of the scope of MCNP. Therefore, in this article application of three different methods of calculation of energy deposition is discussed. This was done by means of two scenarios: in the first one, electrons were emitted from the centre of a sphere of water and also recorded in that sphere; and in the second, an irradiation with the PTB Secondary Standard BSS2 was modelled, where electrons were emitted from an (90)Sr/(90)Y area source and recorded inside a cuboid phantom made of tissue-equivalent material. The speed and accuracy of the different methods were of interest. While a significant difference in accuracy was visible for one method in the first scenario, the difference in accuracy of the three methods was insignificant for the second one. Considerable differences in speed were found for both scenarios. In order to demonstrate the need for calculating the dose in thin small zones, a third scenario was constructed and simulated as well. The third scenario was nearly equal to the second one, but a pike of lead was assumed to be inside the phantom in addition. A dose enhancement (caused by the pike of lead) of ∼113 % was recorded for a thin hollow cylinder at a depth of 0.007 cm, which the basal-skin layer is referred to in particular. Dose enhancements between 68 and 88 % were found for a slab with a radius of 0.09 cm for all depths. All dose enhancements were hardly noticeable for a slab with a cross-sectional area of 1 cm(2), which is usually applied to operational radiation protection.

  2. In-situ laser processing and microstructural characteristics of YBa2Cu3O7-δ thin films on Si with TiN buffer layer

    International Nuclear Information System (INIS)

    Tiwari, P.; Zheleva, T.; Narayan, J.

    1993-01-01

    The authors have prepared high-quality superconducting YBa 2 Cu 3 O 7 -δ (YBCO) thin films on Si(100) with TiN as a buffer layer using in-situ multitarget deposition system. Both TiN and YBCO thin films were deposited sequentially by KrF excimer laser ( | = 248 nm ) at substrate temperature of 650 C . Thin films were characterized using X-ray diffraction (XRD), four-point-probe ac resistivity, scanning electron microscopy (S E M), transmission electron microscopy (TEM), and Rutherford backscattering (RBS). The TiN buffer layer was epitaxial and the epitaxial relationship was found to be cube on cube with TiN parallel Si. YBCO thin films on Si with TiN buffer layer showed the transition temperature of 90-92K with T co (zero resistance temperature) of 84K. The authors have found that the quality of the buffer layer is very important in determining the superconducting transition temperature of the thin film. The effects of processing parameters and the correlation of microstructural features with superconducting properties are discussed in detail

  3. Thin-Film layers with Interfaces that reduce RF Losses on High-Resistivity Silicon Substrates

    NARCIS (Netherlands)

    Evseev, S. B.; Milosavljevic, S.; Nanver, L. K.

    2017-01-01

    Radio-Frequency (RF) losses on High-Resistivity Silicon (HRS) substrates were studied for several different surface passivation layers comprising thin-films of SiC, SiN and SiO2 In many combinations, losses from conductive surface channels were reduced and increasing the number of interfaces between

  4. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  5. Relating performance of thin-film composite forward osmosis membranes to support layer formation and structure

    KAUST Repository

    Tiraferri, Alberto; Yip, Ngai Yin; Phillip, William A.; Schiffman, Jessica D.; Elimelech, Menachem

    2011-01-01

    the technology to the point that it is commercially viable. Here, a systematic investigation of the influence of thin-film composite membrane support layer structure on forward osmosis performance is conducted. The membranes consist of a selective polyamide

  6. Electroluminescence of organic light-emitting diodes with an ultra-thin layer of dopant

    Energy Technology Data Exchange (ETDEWEB)

    Li Weizhi [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China); Yu Junsheng [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)], E-mail: jsyu@uestc.edu.cn; Wang, Tao [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China); Jiang, Yadong [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)], E-mail: jiangyd@uestc.edu.cn; Wei, Bangxiong [State Key Lab of Electronic Thin Films and Integrated Devices, School of Optoelectronic Information, University of Electronic Science and Technology of China (UESTC), Chengdu 610054 (China)

    2008-03-15

    Conventional fluorescent dyes, i.e., 4-(dicyanomethylene)-2-t-butyl-6(1,1,7,7-tetramethyljulolidyl-9-enyl)-4H-pyran (DCJTB), 5,12-dihydro-5,12-dimethylquino [2,3-b]acridine-7,14-dione (DMQA) and 5,6,11,12-tetraphenylnaphthacene (Rubrene), were used to investigate the performance of organic light-emitting diodes (OLEDs) based on indium tin oxide (ITO)/N,N'-bis-(1-naphthyl)-N,N'-diphenyl-1,1'-biphenyl-4,4'-diamine (NPB)/tris-(8-hydroxyquinolate)-aluminum (Alq{sub 3})/MgAg. The dyes were either inserted into devices as an ultra-thin film at the NPB/Alq{sub 3} interface by sequential evaporation, or doped into the Alq{sub 3} emission layer by co-evaporation with the doping ratio about 2%. Electroluminescence (EL) spectra of devices indicated that concentration quenching effect (CQE) of the dye-dopant was slightly bigger in the former than in the latter, while the degrees of CQE for three dopants are in the order of DMQA > DCJTB > Rubrene suggested by the difference in EL spectra and performances of devices. In addition, EL process of device with an ultra-thin layer of dopant is dominated by direct carrier trapping (DCT) process due to almost no holes recombine with electrons in Alq{sub 3}-host layer.

  7. Preparation of thin layers of BiSrCaCuO by method MOCVD

    International Nuclear Information System (INIS)

    Beran, P.; Stejskal, J.; Strejc, A.; Nevriva, M.; Sedmibudsky, D.; Leitner, J.

    1999-01-01

    Preparation of superconducting material on the basis mixed oxides of BiSrCaCuO by chemical vapour deposition (CVD) method is described. Surface morphology and concentration profiles of elements were analyzed by scanning electron microscope and microprobe. Phase of layers was analysed by X-ray diffraction (radiation of Cu kα ). Samples of thin layers were characterized by magnetic susceptibility in temperature interval 10 to 150 K. Obtained results confirm formation of superconducting phases Bi 2 Sr 2 Ca 1 Cu 2 O x and Bi 2 Sr 2 Xa 2 Cu 3 O x

  8. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  9. Electrochemical synthesis, structure and phase composition of nano structured amorphous thin layers of NiW and Ni-Mo

    International Nuclear Information System (INIS)

    Vitina, I.; Lubane, M.; Belmane, V.; Rubene, V.; Krumina, A.

    2006-01-01

    Full text: Nano structured Ni-W thin layers containing W 6-37 wt.% were electrodeposited on a copper substratum. The W content in the layer changes, and it is determined by the electrolyte pH in the range 8.0-9.6 and the cathode current density in the range 1.0-10.0 A/dm 2 . The atomic composition and thermal stability of structure of the electrodeposited thin layers depend for the most part on the conditions of the electrodeposition and less on the W content in the layer. Cracking of the Ni-W layers electrodeposited at the electrolyte pH 8.5 and containing 34-37 wt.% W and 8.5 wt.% W was observed. The cracking increases at heating at 400 deg C for 50 h. On the contrary, no cracking of the Ni-W layer electrodeposited at the electrolyte pH 9.0 and containing 25 wt.% W was observed. The atomic composition of the layer remains practically unchanged at heating at 400 deg C for 50 h. The layer binds oxygen up to 7 wt.%. According to X-ray diffraction, in spite of the W content 35-37 wt.% in the layer, nano structured layers rather than amorphous layers were obtained which at heating at 400 deg C depending on the W content crystallises as Ni or intermetallic compounds Ni x W y if the W content is approx. 25 wt.%. Amorphous Ni-Mo alloys containing 35-52 wt.% Mo was electrodeposited on copper substratum at the cathode current densities of 0.5-1.5 A/dm2 and the electrolyte pH 6.8-8.6. Formation of thin layer (∼1-2μm) of X-ray amorphous Ni-Mo alloy, the Mo content, the characteristics of structure depend on the electrodeposition process, the electrolyte pH, and the cathode current density. The Ni-Mo layer deposited at the electrolyte pH above 8.6 and below average 6.8 had a nanocrystalline structure rather than characteristics of amorphous structure. Ni- W and Ni-Mo alloys were electrodeposited from citrate electrolyte not containing ammonium ions

  10. Investigation of Thin Layered Cobalt Oxide Nano-Islands on Gold

    Science.gov (United States)

    Bajdich, Michal; Walton, Alex S.; Fester, Jakob; Arman, Mohammad A.; Osiecki, Jacek; Knudsen, Jan; Vojvodic, Aleksandra; Lauritsen, Jeppe V.

    2015-03-01

    Layered cobalt oxides have been shown to be highly active catalysts for the oxygen evolution reaction (OER), but the synergistic effect of contact with gold is yet to be fully understood. The synthesis of three distinct types of thin-layered cobalt oxide nano-islands supported on a single crystal gold (111) substrate is confirmed by combination of STM and XAS methods. In this work, we present DFT+U theoretical investigation of above nano-islands using several previously known structural models. Our calculations confirm stability of two low-oxygen pressure phases: (a) rock-salt Co-O bilayer and (b) wurtzite Co-O quadlayer and single high-oxygen pressure phase: (c) O-Co-O trilayer. The optimized geometries agree with STM structures and calculated oxidation states confirm the conversion from Co2+ to Co3+ found experimentally in XAS. The O-Co-O trilayer islands have the structure of a single layer of CoOOH proposed to be the true active phase for OER catalyst. For that reason, the effect of water on the Pourbaix stabilities of basal planes and edge sites is fully investigated. Lastly, we also present the corresponding OER theoretical overpotentials.

  11. Influences of Indium Tin Oxide Layer on the Properties of RF Magnetron-Sputtered (BaSr)TiO3 Thin Films on Indium Tin Oxide-Coated Glass Substrate

    Science.gov (United States)

    Kim, Tae Song; Oh, Myung Hwan; Kim, Chong Hee

    1993-06-01

    Nearly stoichiometric ((Ba+Sr)/Ti=1.08-1.09) and optically transparent (BaSr)TiO3 thin films were deposited on an indium tin oxide (ITO)-coated glass substrate by means of rf magnetron sputtering for their application to the insulating layer of an electroluminescent flat panel display. The influence of the ITO layer on the properties of (BaSr)TiO3 thin films deposited on the ITO-coated substrate was investigated. The ITO layer did not affect the crystallographic orientation of (BaSr)TiO3 thin film, but enhanced the grain growth. Another effect of the ITO layer on (BaSr)TiO3 thin films was the interdiffusion phenomenon, which was studied by means of secondary ion mass spectrometry (SIMS). As the substrate temperature increased, interdiffusion intensified at the interface not only between the grown film and ITO layer but also between the ITO layer and base glass substrate. The refractive index (nf) of (BaSr)TiO3 thin film deposited on a bare glass substrate was 2.138-2.286, as a function of substrate temperature.

  12. Thermal diffusivity of a metallic thin layer using the time-domain thermo reflectance technique

    International Nuclear Information System (INIS)

    Battaglia, J-L; Kusiak, A; Rossignol, C; Chigarev, N

    2007-01-01

    The time domain thermo reflectance (TDTR) is widely used in the field of acoustic and thermal characterization of thin layers at the nano and micro scale. In this paper, we propose to derive a simple analytical expression of the thermal diffusivity of the layer. This relation is based on the analytical solution of one-dimensional heat transfer in the medium using integral transforms. For metals, the two-temperature model shows that the capacitance effect at the short times is essentially governed by the electronic contribution

  13. Electrical conductivity of metal (hydr)oxide–activated carbon composites under compression. A comparison study

    Energy Technology Data Exchange (ETDEWEB)

    Barroso-Bogeat, A., E-mail: adrianbogeat@unex.es [Department of Organic and Inorganic Chemistry, Faculty of Sciences, University of Extremadura, Avda. de Elvas s/n, E-06006 Badajoz (Spain); Alexandre-Franco, M.; Fernández-González, C. [Department of Organic and Inorganic Chemistry, Faculty of Sciences, University of Extremadura, Avda. de Elvas s/n, E-06006 Badajoz (Spain); Sánchez-González, J. [Department of Mechanical, Energetic and Materials Engineering, University of Extremadura, Avda. de Elvas s/n, E-06006 Badajoz (Spain); Gómez-Serrano, V. [Department of Organic and Inorganic Chemistry, Faculty of Sciences, University of Extremadura, Avda. de Elvas s/n, E-06006 Badajoz (Spain)

    2015-02-15

    From a granular commercial activated carbon (AC) and six metal (hydr)oxide precursors, including Al(NO{sub 3}){sub 3}, Fe(NO{sub 3}){sub 3}, SnCl{sub 2}, TiO{sub 2}, Na{sub 2}WO{sub 4} and Zn(NO{sub 3}){sub 2}, a broadly varied series of metal (hydr)oxide–AC composites were prepared by wet impregnation and subsequent oven-drying at 120 °C. Here, the electrical conductivity of the resulting products was studied under moderate compression. The influence of the applied pressure, sample volume, mechanical work, and density of the hybrid materials was thoroughly investigated. The dc electrical conductivity of the compressed samples was measured at room temperature by the four-probe method. Compaction assays show that the mechanical properties of the composites are largely determined by the carbon matrix. Both the decrease in volume and the increase in density under compression were very small and only significant at pressures lower than 100 kPa for AC and most composites. By contrast, the bulk electrical conductivity of the hybrid materials was strongly influenced by the nature, content and intrinsic conductivity of the supported metal phases, which act as insulating thin layers thereby hindering the effective electron transport between AC cores of neighbouring sample particles in contact under compression. Conductivity values for the composites were lower than for the raw AC, all of them falling in the range of typical semiconductor materials. The patterns of variation of the electrical conductivity with pressure and mechanical work were slightly similar, thus suggesting the predominance of the pressure effects rather than the volume ones. - Highlights: • Pressure-dependent conductivity is studied for metal (hydr)oxide–AC composites. • Mechanical properties of the composites are essentially determined by AC. • Supported metal (hydr)oxides determine the bulk conductivity of the composites. • Metal (hydr)oxides act as insulating thin layers hindering the

  14. Phospholipids, Dietary Supplements, and Chicken Eggs: An Inquiry-Based Exercise Using Thin-Layer Chromatography

    Science.gov (United States)

    Potteiger, Sara E.; Belanger, Julie M.

    2015-01-01

    This inquiry-based experiment is designed for organic or biochemistry undergraduate students to deduce the identity of phospholipids extracted from chicken eggs and dietary supplements. This is achieved using thin-layer chromatography (TLC) data, a series of guided questions of increasing complexity, and provided relative retention factor (Rf)…

  15. On the failure of NiAl bicrystals during laser-induced shock compression

    International Nuclear Information System (INIS)

    Loomis, Eric; Swift, Damian; Peralta, Pedro; McClellan, Ken

    2005-01-01

    Thin NiAl bicrystals 5 mm in diameter and 150-350 μm thick were tested under laser-induced shock compression to evaluate the material behavior and the effect of localized strain at the grain boundary on the failure of these specimens. Circular NiAl bicrystal samples with random misorientation were grown using a modified Czochralski technique and samples were prepared for shock compression at moderate pressures (<10 GPa). The observed crack patterns on the drive surface as well as the free surface were examined using optical microscopy. Transmission electron microscopy (TEM) of the drive surface as well as in the bulk of one grain was performed on recovered specimens following shock compression. This revealed that a nanocrystalline region with a grain size of 15-20 nm formed on a thin layer at the drive surface following the plasma expansion phase of the laser-induced shock. TEM in the bulk of one grain showed that plastic deformation occurred in a periodic fashion through propagation of dislocation clusters. Cracking on the free surface of the samples revealed a clear grain boundary affected zone (GBAZ) due to scattering of the shock wave and variations in wave speed across the inclined boundary. Damage tended to accumulate in the grain into which the elastic wave refracted. This damage accumulation corresponds well to the regions in which the transmitted waves impinged on the free surface as predicted by elastic scattering models

  16. Efficient white organic light-emitting devices using a thin 4,4'-bis(2,2'-diphenylvinyl)-1,1'-diphenyl layer

    International Nuclear Information System (INIS)

    Wang Jun; Yu Junsheng; Li Lu; Tang Xiaoqing; Jiang Yadong

    2008-01-01

    White organic light-emitting devices (OLEDs) were fabricated using phosphorescent material bis[2-(4-tert-butylphenyl)benzothiazolato-N,C 2' ]iridium (acetylacetonate) [(t-bt) 2 Ir(acac)] doped in 4,4'-bis(carbazol-9-yl) biphenyl (CBP) matrix as a yellow light-emitting layer and a thin layer 4,4'-bis(2,2'-diphenylvinyl)-1,1'-diphenyl (DPVBi) as the blue light-emitting layer. The light colour of the OLEDs can be adjusted by changing doped concentration and the thickness of the DPVBi thin layer. The maximum luminance and power efficiency of 5% doped device reached 15 460 cd m -2 and 8.1 lm W -1 , respectively. The 3% doped device showed the CIE coordinates of (0.344, 0.322) at 8 V and a maximum power efficiency of 5.7 lm W -1 at 4.5 V

  17. A demonstration of on-line plant corrosion monitoring using thin layer activation

    International Nuclear Information System (INIS)

    Asher, J.; Webb, J.W.; Wilkins, N.J.M.; Lawrence, P.F.; UKAEA Atomic Energy Research Establishment, Harwell. Materials Development Div.)

    1981-12-01

    The corrosion of a 1 inch water pipe in an evaporative cooling system has been monitored over three periods of plant operation using thin layer activation (TLA). The corrosion rate was followed at a sensitivity of about 1 μm and clearly reflected changes in plant operation. Examination of the test section after removal, both by autoradiography and metallography revealed the extent of corrosion and pitting over the active area. (author)

  18. Phonon and electron temperature and non-Fourier heat transport in thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Carlomagno, I.; Cimmelli, V.A. [Department of Mathematics, Computer Science and Economics, University of Basilicata, Campus Macchia Romana, Viale dell' Ateneo Lucano 10, 85100 Potenza (Italy); Sellitto, A. [Department of Industrial Engineering, University of Salerno, Via Giovanni Paolo II, 132, 84084 Fisciano (Italy)

    2017-04-15

    We present a thermodynamic model of heat conductor which allows for different temperatures of phonons and electrons. This model is applied to calculate the steady-state radial temperature profile in a circular thin layer. The compatibility of the obtained temperature profiles with the second law of thermodynamics is investigated in view of the requirement of positive entropy production and of a nonlocal constitutive equation for the entropy flux.

  19. Experimental Evaluation of Lightweight AAC Masonry Wall Prisms with Ferrocement Layers in Compression and Flexure

    KAUST Repository

    Abdel Mooty, Mohamed; Hendam, Ahmed; Fahmy, Ezzat; Abou Zeid, Mohamed; Haroun, Medhat

    2012-01-01

    An experimental program is designed to evaluate the performance of lightweight autoclaved aerated concrete masonry wall strengthened using ferrocement layers, in a sandwich structure, under in-plane compression and out-of-plane bending. The 25 mm thick ferrocement mortar is reinforced with steel welded wire mesh of 1 mm diameters at 15 mm spacing. Different types of shear connectors are used to evaluate their effect on failure loads. The effect of different design parameters on the wall strength are considered including wall thickness, mortar strength, and type and distribution of shear connectors. A total of 20 prisms are tested in compression and 5 prisms are tested under bending. The proposed ferrocement strengthening technique is easy to apply on existing wall system and results in significant strength and stiffness enhancement of the tested wall specimens. © (2012) Trans Tech Publications.

  20. Experimental Evaluation of Lightweight AAC Masonry Wall Prisms with Ferrocement Layers in Compression and Flexure

    KAUST Repository

    Abdel Mooty, Mohamed

    2012-05-01

    An experimental program is designed to evaluate the performance of lightweight autoclaved aerated concrete masonry wall strengthened using ferrocement layers, in a sandwich structure, under in-plane compression and out-of-plane bending. The 25 mm thick ferrocement mortar is reinforced with steel welded wire mesh of 1 mm diameters at 15 mm spacing. Different types of shear connectors are used to evaluate their effect on failure loads. The effect of different design parameters on the wall strength are considered including wall thickness, mortar strength, and type and distribution of shear connectors. A total of 20 prisms are tested in compression and 5 prisms are tested under bending. The proposed ferrocement strengthening technique is easy to apply on existing wall system and results in significant strength and stiffness enhancement of the tested wall specimens. © (2012) Trans Tech Publications.

  1. Stability of low-carrier-density topological-insulator Bi2Se3 thin films and effect of capping layers

    International Nuclear Information System (INIS)

    Salehi, Maryam; Brahlek, Matthew; Koirala, Nikesh; Moon, Jisoo; Oh, Seongshik; Wu, Liang; Armitage, N. P.

    2015-01-01

    Although over the past number of years there have been many advances in the materials aspects of topological insulators (TIs), one of the ongoing challenges with these materials is the protection of them against aging. In particular, the recent development of low-carrier-density bulk-insulating Bi 2 Se 3 thin films and their sensitivity to air demands reliable capping layers to stabilize their electronic properties. Here, we study the stability of the low-carrier-density Bi 2 Se 3 thin films in air with and without various capping layers using DC and THz probes. Without any capping layers, the carrier density increases by ∼150% over a week and by ∼280% over 9 months. In situ-deposited Se and ex situ-deposited poly(methyl methacrylate) suppress the aging effect to ∼27% and ∼88%, respectively, over 9 months. The combination of effective capping layers and low-carrier-density TI films will open up new opportunities in topological insulators

  2. Bi-epitaxial YBa2Cu3Ox Thin Films on Tilted-axes NdGaO3 Substrates with CeO2 Seeding Layer

    International Nuclear Information System (INIS)

    Mozhaev, P B; Mozhaeva, J E; Jacobsen, C S; Hansen, J Bindslev; Bdikin, I K; Luzanov, V A; Kotelyanskii, I M; Zybtsev, S G

    2006-01-01

    Bi-epitaxial YBa 2 Cu 3 O x (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27 0 were manufactured using pulsed laser deposition on NdGaO 3 tilted-axes substrates with CeO 2 seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed

  3. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  4. In-situ determination of the effective absorbance of thin μc-Si:H layers growing on rough ZnO:Al

    Directory of Open Access Journals (Sweden)

    Meier Matthias

    2013-10-01

    Full Text Available In this study optical transmission measurements were performed in-situ during the growth of microcrystalline silicon (μc-Si:H layers by plasma enhanced chemical vapor deposition (PECVD. The stable plasma emission was used as light source. The effective absorption coefficient of the thin μc-Si:H layers which were deposited on rough transparent conductive oxide (TCO surfaces was calculated from the transient transmission signal. It was observed that by increasing the surface roughness of the TCO, the effective absorption coefficient increases which can be correlated to the increased light scattering effect and thus the enhanced light paths inside the silicon. A correlation between the in-situ determined effective absorbance of the μc-Si:H absorber layer and the short-circuit current density of μc-Si:H thin-film silicon solar cells was found. Hence, an attractive technique is demonstrated to study, on the one hand, the absorbance and the light trapping in thin films depending on the roughness of the substrate and, on the other hand, to estimate the short-circuit current density of thin-film solar cells in-situ, which makes the method interesting as a process control tool.

  5. Thin-layer chromatographic technique for rapid detection of bacterial phospholipases.

    Science.gov (United States)

    Legakis, N J; Papavassiliou, J

    1975-11-01

    Silica gel thin-layer chromatography was employed to detect lecithinase activity induced from bacterial resting cell preparations induced from bacterial resting cell preparations incubated at 37 C for 4 h in the presence of purified egg yolk lecithin. Bacillus subtilis, Bacillus cereus, Serratia marcescens, and Pseudomonas aeruginosa hydrolyzed lecithin with the formation of free fatty acids as the sole lipid-soluble product. In none of the Escherichia coli and Citrobacter freundii strains tested could lecithinase activity be detected. Four among eight strains of Enterobacter aerogenes and one among 12 strains of Proteus tested produced negligible amounts of free fatty acid.

  6. Fabrication and research of high purity germanium detectors with abrupt and thin diffusion layer

    International Nuclear Information System (INIS)

    Rodriguez Cabal, A. E.; Diaz Garcia, A.

    1997-01-01

    A different high purity germanium detector's fabrication method is described. A very thin diffusion film with an abrupt change of the type of conductivity is obtained. The fine diffusion layer thickness makes possibly their utilization in experimental systems in which all the data are elaborated directly on the computer. (author) [es

  7. Thin-layer and paper chromatography

    International Nuclear Information System (INIS)

    Sherma, J.

    1986-01-01

    This selective review covers the literature of thin-layer chromatography (TLC) and paper chromatography (PC) cited in Chemical Abstracts from December 5, 1983, through November 25, 1985, and Analytical Abstracts from November 1983 to November 1985. Also researched directly were the following important journals publishing papers on TLC and PC: the Journal of Chromatography (including its bibliography issues), Journal of High Resolution Chromatography and Chromatography Communications, Journal of Chromatographic Science, Chromatographia, Analytical Chemistry, JAOAC, and the special TLC issues of the Journal of Liquid Chromatography. Many of the inherent advantages of TLC that are obvious to workers familiar with high performance, quantitative theory and practice still are not appreciated adequately by the majority of people using chromatography. These include unrestricted access to the separation process; introducing magnetic, thermal, electrical, and other physical forces to improve resolution; high sample throughput; truly multidimensional separations; and the use of controlled multiple gradients. Many advantages of TLC relative to column chromatography were discussed in the Introductions to our 1982 and 1984 reviews of TLC in this Journal. No complete commercial robotics system specifically for TLC has been developed, but all necessary modules are available for such a system. The combination of robotics, with the continued development of theory, practice, and instrumentation will lead eventually to TLC systems that are unrivaled for speed, versatility, accuracy, precision, and sensitivity. 573 references

  8. Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures

    International Nuclear Information System (INIS)

    Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.

    2008-01-01

    By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems

  9. Investigation of Mild Steel Thin-Wall Tubes in Unfilled and Foam-Filled Triangle, Square, and Hexagonal Cross Sections Under Compression Load

    Science.gov (United States)

    Rajak, Dipen Kumar; Kumaraswamidhas, L. A.; Das, S.

    2018-02-01

    This study has examined proposed structures with mild steel-reinforced LM30 aluminum (Al) alloy having diversely unfilled and 10 wt.% SiCp composite foam-filled tubes for improving axial compression performance. This class of material has novel physical, mechanical, and electrical properties along with low density. In the present experiment, Al alloy foams were prepared by the melt route technique using metal hydride powder as a foaming agent. Crash energy phenomena for diverse unfilled and foam-filled in mild steel thin-wall tubes (triangular, square and hexagonal) were studied as well. Compression deformation investigation was conducted at strain rates of 0.001-0.1/s for evaluating specific energy absorption (SEA) under axial loading conditions. The results were examined to measure plateau stress, maximum densification strain, and deformation mechanism of the materials. Specific energy absorption and total energy absorption capacities of the unfilled and filled sections were determined from the compressive stress-strain curves, which were then compared with each other.

  10. Oxidation effects on the electric resistance of In and Al in thin layers

    International Nuclear Information System (INIS)

    Moncada, G.; Araya, J.; Clark, N.

    1981-01-01

    Measurements of electric resistance (R) in function of the time in evaporated samples of thin layers of In and Al trivalent elements in both vacuum and atmospheric pressure are reported. Measurements in samples at ambient and cooled with nitrogen temperatures taken place. The changes observed in R is attributed partly to changes in the sample surface produced by the oxidation. (L.C.) [pt

  11. Thin-layer chromatography of ternary complexes of group-IIIA metals with 2-thenoyltrifluoroacetone and 2,2'-bipyridyl on cellulose layer

    Energy Technology Data Exchange (ETDEWEB)

    Chao, H E; Saitoh, K; Suzuki, N [Tohoku Univ., Sendai (Japan). Faculty of Science

    1980-11-11

    Normal phase thin-layer chromatographic behaviour of several ternary complexes of group-IIIA metals with 2-thenoyltrifluoroacetone (TTA) and 2,2'bipyridyl (bpy) has been investigated on cellulose layer. The ternary complexes of lanthanide metals show higher mutual separability than the complexes with TTA alone. Mutual separation of TTA complexes with La(III), Ce(III), Eu(III) or Y(III), Sc(III), Th(IV), and U(VI) has been successfully achieved by two-dimensional TLC, primarily with carbon tetrachloride-benzene (75:25) containing 0.02M TTA, and secondary with carbon tetrachloride-hexane (35:65) containing both 0.02M TTA and 0.02M bpy.

  12. Mercury in a thin layer in HgMn stars: A test of a diffusion model

    International Nuclear Information System (INIS)

    Megessier, C.; Michaud, G.; Weiler, E.J.

    1980-01-01

    Lines of the first three states of ionization of mercury have been observed in μ Leporis and chi Lupi using the Copernicus satellite. Lines of Hg II and Hg III have been observed in α Andromedae. There appears to be an absorption feature at every wavelength where there is expected to be a mercury line. The presence of all three states of ionization is likely in μ Lep and chi Lup. The relative equivalent widths of the lines of the various states of ionization do not depend on the effective temperature of the stars, in contradiction to what is expected if mercury were uniformly distributed in the atmosphere. It is, however, expected if mercury has been concentrated, by diffusion, in a thin layer, where the radiative forces just equal the gravitational forces on mercury. That mercury should be so concentrated is also required by the explanation of the mercury isotope anomaly proposed by Michaud, Reeves, and Charland. The diffusion model for Ap stars predicts in its simplest form the presence of very thin layers. However, any leftover turbulence may increase the depth of these layers without eliminating the element separation

  13. Compressible turbulent flows: aspects of prediction and analysis

    Energy Technology Data Exchange (ETDEWEB)

    Friedrich, R. [TU Muenchen, Garching (Germany). Fachgebiet Stroemungsmechanik

    2007-03-15

    Compressible turbulent flows are an important element of high-speed flight. Boundary layers developing along fuselage and wings of an aircraft and along engine compressor and turbine blades are compressible and mostly turbulent. The high-speed flow around rockets and through rocket nozzles involves compressible turbulence and flow separation. Turbulent mixing and combustion in scramjet engines is another example where compressibility dominates the flow physics. Although compressible turbulent flows have attracted researchers since the fifties of the last century, they are not completely understood. Especially interactions between compressible turbulence and combustion lead to challenging, yet unsolved problems. Direct numerical simulation (DNS) and large-eddy simulation (LES) represent modern powerful research tools which allow to mimic such flows in great detail and to analyze underlying physical mechanisms, even those which cannot be accessed by the experiment. The present lecture provides a short description of these tools and some of their numerical characteristics. It then describes DNS and LES results of fully-developed channel and pipe flow and highlights effects of compressibility on the turbulence structure. The analysis of pressure fluctuations in such flows with isothermal cooled walls leads to the conclusion that the pressure-strain correlation tensor decreases in the wall layer and that the turbulence anisotropy increases, since the mean density falls off relative to the incompressible flow case. Similar increases in turbulence anisotropy due to compressibility are observed in inert and reacting temporal mixing layers. The nature of the pressure fluctuations is however two-facetted. While inert compressible mixing layers reveal wave-propagation effects in the pressure and density fluctuations, compressible reacting mixing layers seem to generate pressure fluctuations that are controlled by the time-rate of change of heat release and mean density

  14. Layer-by-layer assembled polyaniline nanofiber/multiwall carbon nanotube thin film electrodes for high-power and high-energy storage applications.

    Science.gov (United States)

    Hyder, Md Nasim; Lee, Seung Woo; Cebeci, Fevzi Ç; Schmidt, Daniel J; Shao-Horn, Yang; Hammond, Paula T

    2011-11-22

    Thin film electrodes of polyaniline (PANi) nanofibers and functionalized multiwall carbon nanotubes (MWNTs) are created by layer-by-layer (LbL) assembly for microbatteries or -electrochemical capacitors. Highly stable cationic PANi nanofibers, synthesized from the rapid aqueous phase polymerization of aniline, are assembled with carboxylic acid functionalized MWNT into LbL films. The pH-dependent surface charge of PANi nanofibers and MWNTs allows the system to behave like weak polyelectrolytes with controllable LbL film thickness and morphology by varying the number of bilayers. The LbL-PANi/MWNT films consist of a nanoscale interpenetrating network structure with well developed nanopores that yield excellent electrochemical performance for energy storage applications. These LbL-PANi/MWNT films in lithium cell can store high volumetric capacitance (~238 ± 32 F/cm(3)) and high volumetric capacity (~210 mAh/cm(3)). In addition, rate-dependent galvanostatic tests show LbL-PANi/MWNT films can deliver both high power and high energy density (~220 Wh/L(electrode) at ~100 kW/L(electrode)) and could be promising positive electrode materials for thin film microbatteries or electrochemical capacitors. © 2011 American Chemical Society

  15. Structural properties 3,16-bis triisopropylsilylethynyl (pentacene) (TIPS-pentacene) thin films onto organic dielectric layer using slide coating method

    Energy Technology Data Exchange (ETDEWEB)

    Rusnan, Fara Naila; Mohamad, Khairul Anuar; Seria, Dzul Fahmi Mohd Husin; Saad, Ismail; Ghosh, Bablu K.; Alias, Afishah [Nano Engineering & Materials (NEMs) Research Group, Faculty of Engineering Universiti Malaysia Sabah, Kota Kinabalu 88400 Sabah (Malaysia)

    2015-08-28

    3,16-bis triisopropylsilylethynyl (Pentacene) (TIPS-Pentacene) compactable interface property is important in order to have a good arrangement of molecular structure. Comparison for TIPS-Pentacene deposited between two different surface layers conducted. 0.1wt% TIPS-Pentacene diluted in chloroform were deposited onto poly(methylmeaclyrate) (PMMA) layered transparent substrates using slide coating method. X-ray diffraction (XRD) used to determine crystallinity of thin films. Series of (00l) diffraction peaks obtained with sharp first peaks (001) for TIPS-Pentacene deposited onto PMMA layer at 5.35° and separation of 16.3 Å. Morphology and surface roughness were carried out using scanning electron microscope (SEM) and surface profilemeter LS500, respectively.TIPS-Pentacene deposited onto PMMA layer formed needled-like-shape grains with 10.26 nm surface roughness. These properties were related as thin film formed and its surface roughness plays important role towards good mobility devices.

  16. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  17. Pore pressure propagation in a permeable thin-layer coal seam based on a dual porosity model: A case of risk prediction of water inrush in coalmines

    Science.gov (United States)

    Zhu, B.; Gao, F.; Yang, J. W.; Zhou, G. Q.

    2016-08-01

    Thin-layer coal seams, a type of filling coal rock body, are considered aquifer systems made up of dual porosity medium with immediate floor. A numerical simulation for the pore pressure propagation along a thin-layer coal seam was carried out for the case of the Zhaogezhuang coalmine in China. By valuing the permeability (Kf ) of the thin-layer coal seam, pore pressure variation with time was simulated and compared to the analytical solutions of a dual porosity model (DPM). The main conclusions were drawn as follow: (1) Seepage in the thin-layer coal seam was predominant in the whole process, and the distance of seepage was lengthened and the pore pressure decreased with increased Kf , (2) A series of simulated hydraulic graphs demonstrated that the pore pressure characteristics of peak-occurring and time-lag effects agreed with the analytical solutions of DPM; (3) By adjusting the parameters of DPM, two results of analytical solutions and numerical solutions fit well, particularly in the thin-layer coal seam, (4) The power law relationship between the peak-values and lag time of pore pressure were derived statistically under consideration of the Kf parameter in the range of 10-8 to 10-10 m2/pa-s orders, and it was reasonable that the Kf of the thin-layer coal seam was in the range of 10-8 m2/pa-s orders. The results were significantly helpful in decision-making for mining water prevention and prediction in practice.

  18. Band gap determination of thin praseodymium oxide layers on aluminium oxynitride films

    Energy Technology Data Exchange (ETDEWEB)

    Bergholz, Matthias; Schmeisser, Dieter [Brandenburgische Technische Universitaet, Cottbus (Germany). Angewandte Physik - Sensorik

    2008-07-01

    High-k dielectrics are important as never before in semiconductor industry. We investigate Pr{sub 2}O{sub 3} as one representative of this group on silicon and silicon-aluminium oxynitride substrates. In earlier work we observed the positive influence of this AlO{sub x}N{sub y} intermediate layer on the electrical properties of the Pr{sub 2}O{sub 3} layer. Now we present in-situ EELS, XPS and UPS measurements of gradually grown thin Pr{sub 2}O{sub 3} on AlO{sub x}N{sub y}. From these measurements we determine the band structure and find a very fast change of the band gap for the first few A, coupled with n-type behaviour for the Pr{sub 2}O{sub 3} film. These results are compared with RIXS measurements of a 5 nm Pr{sub 2}O{sub 3} on a 1 nm thick AlO{sub x}N{sub y} layer.

  19. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Fabrication of Hydrogenated Amorphous Germanium Thin Layer Film and ItsCharacterization

    International Nuclear Information System (INIS)

    Agus-Santoso; Lely-Susita RM; Tjipto-Sujitno

    2000-01-01

    Fabrication of hydrogenated amorphous Germanium thin film by vacuumevaporation method and then deposition with hydrogen atom by glow dischargeplasma radio frequency has been done. This germanium amorphous (a-Ge) thinfilm involves a lot of dangling bonds in the network due to the irregularityof the atomic structures and it will decrease is conductivity. To improve theband properties of (a-Ge) thin film layer a hydrogenated plasma isintroduced. Process of introducing of the hydrogen into the a-Ge film is meanto reduce the dangling bonds so that the best electric conductivity of a Ge:Hthin film will obtained. To identify the hydrogen atom in the sample acharacterization using infrared spectrometer has been done, as well as themeasurement of conductivity of the samples. From the characterization usinginfrared spectroscopy the existence of hydrogen atom was found at absorptionpeak with wave number 1637.5 cm -1 , while the optimum conductivity of thesample 1634.86 Ω -1 cm -1 was achieved at 343 o K. (author)

  1. Voltammetry and coulometry of indium in two-side thin-layer system

    International Nuclear Information System (INIS)

    Eliseeva, L.V.; Kabanova, O.L.

    1980-01-01

    An electrochemical behaviour of In and possibilities for its determination have been investigated, using halide background solutions, by voltametry in the thin solution layer thin mercury film system. It has been shown that the maximum current of indium (3) is directly proportional to its concentration over a range of 1x10 -4 - 5x10 -3 M and the maximum current of indium oxidation from the amalgam over a range of 5x10 -7 - 1x10 -4 M. Examined were the effects of halide ion concentration, pH, electrode potential change rate on current maximum value, product efficiency of reducing indium (3) and oxidizing its amalgam, on maximum current potential and half-peak width. The analytical signal has been found to be directly proportional to chloride ion concentration over a range of 0.1 - 3.0 M, bromide and iodide ion concentration over a range of 0.1 - 1.0 M. This makes it possible to use the method for determination of halide ions

  2. Photodiode Based on CdO Thin Films as Electron Transport Layer

    Science.gov (United States)

    Soylu, M.; Kader, H. S.

    2016-11-01

    Cadmium oxide (CdO) thin films were synthesized by the sol-gel method. The films were analyzed by means of XRD, AFM, and UV/Vis spectrophotometry. X-ray diffraction patterns confirm that the films are formed from CdO with cubic crystal structure and consist of nano-particles. The energy gap of the prepared film was found to be 2.29 eV. The current-voltage ( I- V) characteristics of the CdO/ p-Si heterojunction were examined in the dark and under different illumination intensities. The heterojunction showed high rectifying behavior and a strong photoresponse. Main electrical parameters of the photodiode such as series and shunt resistances ( R s and R sh), saturation current I 0, and photocurrent I ph, were extracted considering a single diode equivalent circuit of a photovoltaic cell. Results indicate that the application of CdO thin films as an electron transport layer on p-Si acts as a photodetector in the field of the UV/visible.

  3. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  4. Modelling and simulation of the compressible turbulence in supersonic shear flows

    International Nuclear Information System (INIS)

    Guezengar, Dominique

    1997-02-01

    This research thesis addresses the modelling of some specific physical problems of fluid mechanics: compressibility (issue of mixing layers), large variations of volumetric mass (boundary layers), and anisotropy (compression ramps). After a presentation of the chosen physical modelling and numerical approximation, the author pays attention to flows at the vicinity of a wall, and to boundary conditions. The next part addresses existing compressibility models and their application to the calculation of supersonic mixing layers. A critical assessment is also performed through calculations of boundary layers and of compression ramps. The next part addresses problems related to large variations of volumetric mass which are not taken by compressibility models into account. A modification is thus proposed for the diffusion term, and is tested for the case of supersonic boundary layers and of mixing layers with high density rates. Finally, anisotropy effects are addressed through the implementation of Explicit Algebraic Stress k-omega Turbulence models (EARSM), and their tests on previously studied cases [fr

  5. Depopulation of Caged Layer Hens with a Compressed Air Foam System.

    Science.gov (United States)

    Gurung, Shailesh; Hoffman, John; Stringfellow, Kendre; Abi-Ghanem, Daad; Zhao, Dan; Caldwell, David; Lee, Jason; Styles, Darrel; Berghman, Luc; Byrd, James; Farnell, Yuhua; Archer, Gregory; Farnell, Morgan

    2018-01-11

    During the 2014-2015 US highly pathogenic avian influenza (HPAI) outbreak, 50.4 million commercial layers and turkeys were affected, resulting in economic losses of $3.3 billion. Rapid depopulation of infected poultry is vital to contain and eradicate reportable diseases like HPAI. The hypothesis of the experiment was that a compressed air foam (CAF) system may be used as an alternative to carbon dioxide (CO₂) inhalation for depopulating caged layer hens. The objective of this study was to evaluate corticosterone (CORT) and time to cessation of movement (COM) of hens subjected to CAF, CO₂ inhalation, and negative control (NEG) treatments. In Experiment 1, two independent trials were conducted using young and spent hens. Experiment 1 consisted of five treatments: NEG, CO₂ added to a chamber, a CO₂ pre-charged chamber, CAF in cages, and CAF in a chamber. In Experiment 2, only spent hens were randomly assigned to three treatments: CAF in cages, CO₂ added to a chamber, and aspirated foam. Serum CORT levels of young hens were not significantly different among the CAF in cages, CAF in a chamber, NEG control, and CO₂ inhalation treatments. However, spent hens subjected to the CAF in a chamber had significantly higher CORT levels than birds in the rest of the treatments. Times to COM of spent hens subjected to CAF in cages and aspirated foam were significantly greater than of birds exposed to the CO₂ in a chamber treatment. These data suggest that applying CAF in cages is a viable alternative for layer hen depopulation during a reportable disease outbreak.

  6. Comparison of various methods of measuring thin oxide layers formed on molybdenum and titanium

    International Nuclear Information System (INIS)

    Lepage, F.; Bardolle, J.; Boulben, J.M.

    1975-01-01

    The problem of the growth of thin layers is very interesting from both the fundamental and technological viewpoints. This work deals with oxide films produced on two metals, molybdenum and titanium. The thicknesses obtained by various methods (microgravimetry, nuclear reactions and spectrophotometry) are compared and the advantages and disadvantages of each method are shown [fr

  7. Solution-processed In2S3 buffer layer for chalcopyrite thin film solar cells

    Science.gov (United States)

    Wang, Lan; Lin, Xianzhong; Ennaoui, Ahmed; Wolf, Christian; Lux-Steiner, Martha Ch.; Klenk, Reiner

    2016-02-01

    We report a route to deposit In2S3 thin films from air-stable, low-cost molecular precursor inks for Cd-free buffer layers in chalcopyrite-based thin film solar cells. Different precursor compositions and processing conditions were studied to define a reproducible and robust process. By adjusting the ink properties, this method can be applied in different printing and coating techniques. Here we report on two techniques, namely spin-coating and inkjet printing. Active area efficiencies of 12.8% and 12.2% have been achieved for In2S3-buffered solar cells respectively, matching the performance of CdS-buffered cells prepared with the same batch of absorbers.

  8. Thin layer drying characteristics of curry leaves (Murraya koenigii in an indirect solar dryer

    Directory of Open Access Journals (Sweden)

    Vijayan Selvaraj

    2017-01-01

    Full Text Available In this work, the thin layer drying characteristics of curry leaves (Murraya koenigii has been studied in an indirect forced convection solar dryer with constant air mass flow rate of 0.0636 kg/s. Twelve thin layer drying models were tested for its suitability to describe the drying characteristics of curry leaves. The dryer has reduced the initial moisture content of curry leaves from 67.3% (wet basis to the final moisture content of 4.75% (wet basis in 3.5 hours. The pickup efficiency of indirect solar dryer for drying curry leaves was varied between 4.9% and 23.02%. Based on the statistical parameters, the Modified Henderson and Pabis model and Wang and Singh model were selected for predicting the drying characteristics of curry leaves. The payback period for the solar dryer was evaluated as 8 months, which is found to be much lower when compared with the entire life span of 15 years. The payback evaluation confirms that the solar dryer is economically viable in rural applications.

  9. In situ X-ray synchrotron study of organic semiconductor ultra-thin films growth

    International Nuclear Information System (INIS)

    Moulin, J.-F.; Dinelli, F.; Massi, M.; Albonetti, C.; Kshirsagar, R.; Biscarini, F.

    2006-01-01

    In this work we present an X-ray diffraction study of the early stages of growth of an organic semiconductor (sexithiophene, T 6 ) thin film prepared by high vacuum sublimation. Specular reflectometry and grazing incidence X-ray diffraction were used to monitor the formation of T 6 films on silicon oxide. Our results show that T 6 grows as a crystalline layer from the beginning of the evaporation. The reflectometry analysis suggests that, in the range of rates and temperatures studied, the growth is never layer by layer but rather 3D in nature. In-plane GIXD has allowed us to observe for the first time a thin film phase of T 6 formed of molecules standing normal to the substrate and arranged in a compressed unit cell with respect to the bulk, i.e. the unit cell parameters b and c are relatively smaller. We have followed the dynamics of formation of this new phase and identified the threshold of appearance of the bulk phase, which occurs above ∼5-6 monolayers. These results are relevant to the problem of organic thin film transistors, for which we have previously demonstrated experimentally that only the first two monolayers of T 6 films are involved in the electrical transport. The layers above the second one do not effectively contribute to charge mobility, either because they are more 'disordered' or because of a screening of the gate field

  10. Application of RF correction in thin-layer chromatography by means of two reference RF values

    NARCIS (Netherlands)

    Dhont, J.H.; Vinkenborg, C.; Compaan, H.; Ritter, F.J.; Labadie, R.P.; Verweij, A.; Zeeuw, R.A. de

    1972-01-01

    Results of the inter-laboratory experiment described in this paper show that the GALANOS AND KAPOULAS equation can be applied satisfactorily to correct RF values obtained on thin-layer chromatograms in a polar multi-component solvent. Addition of Kieselguhr to the silica gel gives RFc values

  11. On the Resonant Behavior of a Weakly Compressible Water Layer During Tsunamigenic Earthquakes

    Science.gov (United States)

    Cecioni, Claudia; Bellotti, Giorgio

    2018-01-01

    Tsunamigenic earthquakes trigger pressure waves in the ocean, given the weak compressibility of the sea water. For particular conditions, a resonant behavior of the water layer can occur, which influences the energy transfer from the sea-bed motion to the ocean. In this paper, the resonance conditions are explained and analyzed, focusing on the hydro-acoustic waves in the proximity of the earthquake area. A preliminary estimation of the generation parameters (sea-bed rising time, velocity) is given, by means of parametric numerical simulations for simplified conditions. The results confirm the importance of measuring, modeling, and interpreting such waves for tsunami early detection and warning.

  12. Field emission mechanism from a single-layer ultra-thin semiconductor film cathode

    International Nuclear Information System (INIS)

    Duan Zhiqiang; Wang Ruzhi; Yuan Ruiyang; Yang Wei; Wang Bo; Yan Hui

    2007-01-01

    Field emission (FE) from a single-layer ultra-thin semiconductor film cathode (SUSC) on a metal substrate has been investigated theoretically. The self-consistent quantum FE model is developed by synthetically considering the energy band bending and electron scattering. As a typical example, we calculate the FE properties of ultra-thin AlN film with an adjustable film thickness from 1 to 10 nm. The calculated results show that the FE characteristic is evidently modulated by varying the film thickness, and there is an optimum thickness of about 3 nm. Furthermore, a four-step FE mechanism is suggested such that the distinct FE current of a SUSC is rooted in the thickness sensitivity of its quantum structure, and the optimum FE properties of the SUSC should be attributed to the change in the effective potential combined with the attenuation of electron scattering

  13. Influence of thin porous Al2O3 layer on aluminum cathode to the Hα line shape in glow discharge

    International Nuclear Information System (INIS)

    Steflekova, V.; Sisovic, N. M.; Konjevic, N.

    2009-01-01

    The results of the Balmer alfa line shape study in a plane cathode-hollow anode Grimm discharge with aluminum (Al) cathode covered with thin layer of porous Al 2 O 3 are presented. The comparison with same line profile recorded with pure Al cathode shows lack of excessive Doppler broadened line wings, which are always detected in glow discharge with metal cathode. The effect is explained by the lack of strong electric field in the cathode sheath region, which is missing in the presence of thin oxide layer in, so called, spray discharge.

  14. High-Performance Thin-Layer Chromatographic Quantification of Rosmarinic Acid and Rutin in Abnormal Savda Munziq

    Directory of Open Access Journals (Sweden)

    S. G. Tian

    2013-01-01

    Full Text Available A high-performance thin-layer chromatographic (HPTLC method has been established for simultaneous analysis of rosmarinic acid and rutin in Abnormal Savda Munziq (ASMq. A methanol extract of ASMq was used for quantification. The compounds were separated on silica gel H thin layer plate with ethyl acetate-formic acid-acetic acid-water 15 : 1 : 1 : 1.5 (v/v as a developer, trichloroethanol as the color reagent. The plates were scanned at 365 nm. The linear calibration data of rosmarinic acid and rutin were in the range of 0.0508 to 0.2540 μg (r=0.9964, 0.2707 to 1.35354 μg (r=0.9981, respectively. The recovery rate of rosmarinic acid was 99.17% (RSD = 2.92% and rutin was 95.24% (RSD = 2.38%. The method enables rapid screening, precise, selective, and sensitive quantification for pharmaceutical analysis.

  15. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    Science.gov (United States)

    Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.

    2003-11-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low

  16. Materials science and integration bases for fabrication of (BaxSr1-x)TiO3 thin film capacitors with layered Cu-based electrodes

    International Nuclear Information System (INIS)

    Fan, W.; Kabius, B.; Hiller, J.M.; Saha, S.; Carlisle, J.A.; Auciello, O.; Chang, R.P.H.; Ramesh, R.

    2003-01-01

    The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (Ba x Sr 1-x )TiO 3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 deg. C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlO x , while the oxide layer at the TiAl/Cu interface is an Al 2 O 3 -rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlO x interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 deg. C followed by a rapid thermal annealing at 700 deg. C. This process significantly reduced the thickness of the TiAlO x layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high

  17. Effect of precursor concentration and film thickness deposited by layer on nanostructured TiO2 thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Sol-gel spin coating method is used in the production of nanostructured TiO2 thin film. The surface topology and morphology was observed using the Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscopy (FESEM). The electrical properties were investigated by using two probe current-voltage (I-V) measurements to study the electrical resistivity behavior, hence the conductivity of the thin film. The solution concentration will be varied from 14.0 to 0.01wt% with 0.02wt% interval where the last concentration of 0.02 to 0.01wt% have 0.01wt% interval to find which concentrations have the highest conductivity then the optimized concentration's sample were chosen for the thickness parameter based on layer by layer deposition from 1 to 6 layer. Based on the result, the lowest concentration of TiO2, the surface becomes more uniform and the conductivity will increase. As the result, sample of 0.01wt% concentration have conductivity value of 1.77E-10 S/m and will be advanced in thickness parameter. Whereas in thickness parameter, the 3layer deposition were chosen as its conductivity is the highest at 3.9098E9 S/m.

  18. The effect of wall temperature distribution on streaks in compressible turbulent boundary layer

    Science.gov (United States)

    Zhang, Zhao; Tao, Yang; Xiong, Neng; Qian, Fengxue

    2018-05-01

    The thermal boundary condition at wall is very important for the compressible flow due to the coupling of the energy equation, and a lot of research works about it were carried out in past decades. In most of these works, the wall was assumed as adiabatic or uniform isothermal surface; the flow over a thermal wall with some special temperature distribution was seldom studied. Lagha studied the effect of uniform isothermal wall on the streaks, and pointed out that higher the wall temperature is, the longer the streak (POF, 2011, 23, 015106). So, we designed streamwise stripes of wall temperature distribution on the compressible turbulent boundary layer at Mach 3.0 to learn the effect on the streaks by means of direct numerical simulation in this paper. The mean wall temperature is equal to the adiabatic case approximately, and the width of the temperature stripes is in the same order as the width of the streaks. The streak patterns in near-wall region with different temperature stripes are shown in the paper. Moreover, we find that there is a reduction of friction velocity with the wall temperature stripes when compared with the adiabatic case.

  19. Few-Layer MoS2-Organic Thin-Film Hybrid Complementary Inverter Pixel Fabricated on a Glass Substrate.

    Science.gov (United States)

    Lee, Hee Sung; Shin, Jae Min; Jeon, Pyo Jin; Lee, Junyeong; Kim, Jin Sung; Hwang, Hyun Chul; Park, Eunyoung; Yoon, Woojin; Ju, Sang-Yong; Im, Seongil

    2015-05-13

    Few-layer MoS2-organic thin-film hybrid complementary inverters demonstrate a great deal of device performance with a decent voltage gain of ≈12, a few hundred pW power consumption, and 480 Hz switching speed. As fabricated on glass, this hybrid CMOS inverter operates as a light-detecting pixel as well, using a thin MoS2 channel. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO_2 thin films to produce a new hybrid material coating

    International Nuclear Information System (INIS)

    Drevet, R.; Dragoé, D.; Barthés-Labrousse, M.G.; Chaussé, A.; Andrieux, M.

    2016-01-01

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO_2 thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO_2 thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO_2) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO_2 layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  1. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  2. ZnO nanostructures as electron extraction layers for hybrid perovskite thin films

    Science.gov (United States)

    Nikolaidou, Katerina; Sarang, Som; Tung, Vincent; Lu, Jennifer; Ghosh, Sayantani

    Optimum interaction between light harvesting media and electron transport layers is critical for the efficient operation of photovoltaic devices. In this work, ZnO layers of different morphologies are implemented as electron extraction and transport layers for hybrid perovskite CH3NH3PbI3 thin films. These include nanowires, nanoparticles, and single crystalline film. Charge transfer at the ZnO/perovskite interface is investigated and compared through ultra-fast characterization techniques, including temperature and power dependent spectroscopy, and time-resolved photoluminescence. The nanowires cause an enhancement in perovskite emission, which may be attributed to increased scattering and grain boundary formation. However, the ZnO layers with decreasing surface roughness exhibit better electron extraction, as inferred from photoluminescence quenching, reduction in the number of bound excitons, and reduced exciton lifetime in CH3NH3PbI3 samples. This systematic study is expected to provide an understanding of the fundamental processes occurring at the ZnO-CH3NH3PbI3 interface and ultimately, provide guidelines for the ideal configuration of ZnO-based hybrid Perovskite devices. This research was supported by National Aeronautics and Space administration (NASA) Grant No: NNX15AQ01A.

  3. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  4. Secondary ion emission from ultra-thin oxide layers bombarded by energetic (MeV) heavy ions: depth of origin and layer homogeneity

    International Nuclear Information System (INIS)

    Allali, H.; Nsouli, B.; Thomas, J.P.; Cabaud, B.; Fuchs, G.; Hoareau, A.; Treilleux, M.; Danel, J.S.

    1993-09-01

    The escape depth of the secondary ions resulting from electronic sputtering of fast heavy ions in inorganic thin films has been investigated. Chromium layers deposited onto SiO 2 substrate as well as SiO x layers deposited onto chromium substrate have been characterized by secondary ion emission mass spectrometry (SIMS) in combination with time-of-flight (TOF) mass analysis (also referred as HSF-SIMS). These crossed experiments lead to a value around 1 nm for SiO x layers and 0.5 nm for Cr layers. On the other hand, HSF-SIMS can be used to correlate the intensity of the secondary ion emission to the film coverage rate and (or) the morphology of particular films like those produced by Low Energy Cluster Beam Deposition (LECBD). Using Sb deposits, the non-linear relationship between ion emission and coverage is interpreted in terms of sputtering enhancement in the individual supported clusters. (author) 22 refs., 9 figs., 1 tab

  5. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  6. Numerical modeling of heat transfer during hydrogen absorption in thin double-layered annular ZrCo beds

    Science.gov (United States)

    Cui, Yehui; Zeng, Xiangguo; Kou, Huaqin; Ding, Jun; Wang, Fang

    2018-06-01

    In this work a three-dimensional (3D) hydrogen absorption model was proposed to study the heat transfer behavior in thin double-layered annular ZrCo beds. Numerical simulations were performed to investigate the effects of conversion layer thickness, thermal conductivity, cooling medium and its flow velocity on the efficiency of heat transfer. Results reveal that decreasing the layer thickness and improving the thermal conductivity enhance the ability of heat transfer. Compared with nitrogen and helium, water appears to be a better medium for cooling. In order to achieve the best efficiency of heat transfer, the flow velocity needs to be maximized.

  7. Magnetization curves for thin films of layered type-II superconductors, Kolmogorov-Arnold-Moser theory, and the devil's staircase

    International Nuclear Information System (INIS)

    Burkov, S.E.

    1991-01-01

    Magnetization curves for a thin-layered superconducting film in parallel magnetic field have been shown to become devil's staircases provided the superconducting layers are perpendicular to the film plane. The transition from an incomplete to a complete devil's staircase with decreasing temperature is predicted. A chain of vortices is described by the generalized Frenkel-Kontorova model

  8. Desorption isotherms and mathematical modeling of thin layer drying kinetics of tomato

    Science.gov (United States)

    Belghith, Amira; Azzouz, Soufien; ElCafsi, Afif

    2016-03-01

    In recent years, there is an increased demand on the international market of dried fruits and vegetables with significant added value. Due to its important production, consumption and nutrient intake, drying of tomato has become a subject of extended and varied research works. The present work is focused on the drying behavior of thin-layer tomato and its mathematical modeling in order to optimize the drying processes. The moisture desorption isotherms of raw tomato were determined at four temperature levels namely 45, 50, 60 and 65 °C using the static gravimetric method. The experimental data obtained were modeled by five equations and the (GAB) model was found to be the best-describing these isotherms. The drying kinetics were experimentally investigated at 45, 55 and 65 °C and performed at air velocities of 0.5 and 2 m/s. In order to investigate the effect of the exchange surface on drying time, samples were dried into two different shapes: tomato halves and tomato quarters. The impact of various drying parameters was also studied (temperature, air velocity and air humidity). The drying curves showed only the preheating period and the falling drying rate period. In this study, attention was paid to the modeling of experimental thin-layer drying kinetics. The experimental results were fitted with four different models.

  9. Frequency characterization of thin soft magnetic material layers used in spiral inductors

    International Nuclear Information System (INIS)

    Kriga, Adoum; Allassem, Désiré; Soultan, Malloum; Chatelon, Jean-Pierre; Siblini, Ali; Allard, Bruno; Rousseau, Jean Jacques

    2012-01-01

    The paper details the characterization of thin magnetic materials layers, particularly soft materials, with respect to their behaviour in frequency (from 10 MHz to 1 GHz). The proposed method is suitable for any soft but insulating magnetic material; Yttrium Iron Garnet (YIG) is used as an example. The principle is based on a comparison between simulations for different values of the permeability and measurement values versus frequency of planar inductor structures; an experimental validation is proposed as well. Thin magnetic material is first deposited on an alumina substrate using RF sputtering technique; a planar spiral winding of copper is then deposited on the magnetic material by the same technique. The effective permeability versus frequency is obtained by comparing two samples of spiral windings with and without magnetic material. Network analyser measurements on samples of various geometrical dimensions and of different thicknesses are necessary to determine the effective magnetic permeability; we have obtained a relative effective permeability of about 30 for seven turns spiral inductor of a 17 μm YIG film. - Highlights: ► A simple and original method is presented for the characterization of soft magnetic layer. ► This is a non-destructive method based on standard equipment. ► The principle is based on a comparison between simulations and measurement. ► An experimental validation is proposed as well.

  10. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  11. Unsteady turbulent boundary layers in swimming rainbow trout.

    Science.gov (United States)

    Yanase, Kazutaka; Saarenrinne, Pentti

    2015-05-01

    The boundary layers of rainbow trout, Oncorhynchus mykiss, swimming at 1.02±0.09 L s(-1) (mean±s.d., N=4), were measured by the particle image velocimetry (PIV) technique at a Reynolds number of 4×10(5). The boundary layer profile showed unsteadiness, oscillating above and beneath the classical logarithmic law of the wall with body motion. Across the entire surface regions that were measured, local Reynolds numbers based on momentum thickness, which is the distance that is perpendicular to the fish surface through which the boundary layer momentum flows at free-stream velocity, were greater than the critical value of 320 for the laminar-to-turbulent transition. The skin friction was dampened on the convex surface while the surface was moving towards a free-stream flow and increased on the concave surface while retreating. These observations contradict the result of a previous study using different species swimming by different methods. Boundary layer compression accompanied by an increase in local skin friction was not observed. Thus, the overall results may not support absolutely the Bone-Lighthill boundary layer thinning hypothesis that the undulatory motions of swimming fish cause a large increase in their friction drag because of the compression of the boundary layer. In some cases, marginal flow separation occurred on the convex surface in the relatively anterior surface region, but the separated flow reattached to the fish surface immediately downstream. Therefore, we believe that a severe impact due to induced drag components (i.e. pressure drag) on the swimming performance, an inevitable consequence of flow separation, was avoided. © 2015. Published by The Company of Biologists Ltd.

  12. Laser generated guided waves and finite element modeling for the thickness gauging of thin layers.

    Science.gov (United States)

    Lefevre, F; Jenot, F; Ouaftouh, M; Duquennoy, M; Ourak, M

    2010-03-01

    In this paper, nondestructive testing has been performed on a thin gold layer deposited on a 2 in. silicon wafer. Guided waves were generated and studied using a laser ultrasonic setup and a two-dimensional fast Fourier transform technique was employed to obtain the dispersion curves. A gold layer thickness of 1.33 microm has been determined with a +/-5% margin of error using the shape of the two first propagating modes, assuming for the substrate and the layer an uncertainty on the elastic parameters of +/-2.5%. A finite element model has been implemented to validate the data post-treatment and the experimental results. A good agreement between the numerical simulation, the analytical modeling and the experimentations has been observed. This method was considered suitable for thickness layer higher than 0.7 microm.

  13. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    Science.gov (United States)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  14. Effects of polytetrafluoroethylene treatment and compression on gas diffusion layer microstructure using high-resolution X-ray computed tomography

    Science.gov (United States)

    Khajeh-Hosseini-Dalasm, Navvab; Sasabe, Takashi; Tokumasu, Takashi; Pasaogullari, Ugur

    2014-11-01

    The microstructure of a TGP-H-120 Toray paper gas diffusion layer (GDL) was investigated using high resolution X-ray computed tomography (CT) technique, with a resolution of 1.8 μm and a field of view (FOV) of ∼1.8 × 1.8 mm. The images obtained from the tomography scans were further post processed, and image thresholding and binarization methodologies are presented. The validity of Otsu's thresholding method was examined. Detailed information on bulk porosity and porosity distribution of the GDL at various Polytetrafluoroethylene (PTFE) treatments and uniform/non-uniform compression pressures was provided. A sample holder was designed to investigate the effects of non-uniform compression pressure, which enabled regulating compression pressure between 0, and 3 MPa at a gas channel/current collector rib configuration. The results show the heterogeneous and anisotropic microstructure of the GDL, non-uniform distribution of PTFE, and significant microstructural change under uniform/non-uniform compression. These findings provide useful inputs for numerical models to include the effects of microstructural changes in the study of transport phenomena within the GDL and to increase the accuracy and predictability of cell performance.

  15. Experimental study of soil-structure interaction for proving the three dimensional thin layered element method

    International Nuclear Information System (INIS)

    Kuwabara, Y.; Ogiwara, Y.; Suzuki, T.; Tsuchiya, H.; Nakayama, M.

    1981-01-01

    It is generally recognized that the earthquake response of a structure can be significantly affected by the dynamic interaction between the structure and the surrounding soil. Dynamic soil-structure interaction effects are usually analyzed by using a lumped mass model or a finite element model. In the lumped mass model, the soil is represented by springs and dashpots based on the half-space elastic theory. Each model has its advantages and limitations. The Three Dimensional Thin Layered Element Theory has been developed by Dr. Hiroshi Tajimi based on the combined results of the abovementioned lumped mass model and finite element model. The main characteristic of this theory is that, in consideration and can be applied in the analysis of many problems in soil-structure interaction, such as those involving radiation damping, embedded structures, and multi-layered soil deposits. This paper describes test results on a small scale model used to prove the validity of the computer program based on the Thin Layered Element Theory. As a numerical example, the response analysis of a PWR nuclear power plant is carried out using this program. The vibration test model is simplified and the scale is 1/750 for line. The soil layer of the model is made of congealed gelatine. The test soil layer is 80 cm long, 35 cm wide and 10 cm thick. The super structure is a one mass model made of metal sheet spring and solid mass metal. As fixed inputs, sinusoidal waves (10, 20 gal level) are used. The displacements of the top and base of the super structure, and the accelerations and the displacements of the shaking table are measured. The main parameter of the test is the shear wave velocity of the soil layer. (orig./RW)

  16. Data compression considerations for detectors with local intelligence

    International Nuclear Information System (INIS)

    Garcia-Sciveres, M; Wang, X

    2014-01-01

    This note summarizes the outcome of discussions about how data compression considerations apply to tracking detectors with local intelligence. The method for analyzing data compression efficiency is taken from a previous publication and applied to module characteristics from the WIT2014 workshop. We explore local intelligence and coupled layer structures in the language of data compression. In this context the original intelligent tracker concept of correlating hits to find matches of interest and discard others is just a form of lossy data compression. We now explore how these features (intelligence and coupled layers) can be exploited for lossless compression, which could enable full readout at higher trigger rates than previously envisioned, or even triggerless

  17. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  18. Structural, optical and electrical properties of quasi-monocrystalline silicon thin films obtained by rapid thermal annealing of porous silicon layers

    International Nuclear Information System (INIS)

    Hajji, M.; Khardani, M.; Khedher, N.; Rahmouni, H.; Bessais, B.; Ezzaouia, H.; Bouchriha, H.

    2006-01-01

    Quasi-mono-crystalline silicon (QMS) layers have a top surface like crystalline silicon with small voids in the body. Such layers are reported to have a higher absorption coefficient than crystalline silicon at the interesting range of the solar spectrum for photovoltaic application. In this work we present a study of the structural, optical and electrical properties of quasimonocrystalline silicon thin films. Quasimonocrystalline silicon thin films were obtained from porous silicon, which has been annealed at a temperature ranging from 950 to 1050 deg. C under H 2 atmosphere for different annealing durations. The porous layers were prepared by conventional electrochemical anodization using a double tank cell and a HF / Ethanol electrolyte. Porous silicon is formed on highly doped p + -type silicon substrates that enable us to prevent back contacts for the anodization. Atomic Force Microscope (AFM) was used to study the morphological quality of the prepared layers. Optical properties were extracted from transmission and reflectivity spectra. Dark I-V characteristics were used to determine the electrical conductivity of quasimonocrystalline silicon thin films. Results show an important improvement of the absorption coefficient of the material and electrical conductivity reaches a value of twenty orders higher than that of starting mesoporous silicon

  19. Optical properties of self assembled oriented island evolution of ultra-thin gold layers

    International Nuclear Information System (INIS)

    Worsch, Christian; Kracker, Michael; Wisniewski, Wolfgang; Rüssel, Christian

    2012-01-01

    Gold layers with a thickness of only 8 to 21 nm were sputtered on soda–lime–silica glasses. Subsequent annealing at 300 and 400 °C for 1 and 24 h resulted in the formation of separated round gold particles with diameters from 8 to 200 nm. Crystal orientations were described using X-ray diffraction and electron backscatter diffraction. The gold particles are oriented with their (111) planes perpendicular to the surface. Most gold nano particles are single crystalline, some particles are twinned. Thermal annealing of sputtered gold layers resulted in purple samples with a coloration comparable to that of gold ruby glasses. The color can be controlled by the thickness of the sputtered gold layer and the annealing conditions. The simple method of gold film preparation and the annealing temperature dependent properties of the layers make them appropriate for practical applications. - Highlights: ► We produce gold nano particle layers on amorphous substrates. ► Thin sputtered gold layers were annealed at low temperatures. ► Various colors can be achieved reproducibly and UV–vis-NIR spectra are reported. ► A 111-texture of the particles is described as well as twinning. ► The process is suitable for mass production.

  20. Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices

    Energy Technology Data Exchange (ETDEWEB)

    Hoye, Robert L. Z., E-mail: rlzh2@cam.ac.uk, E-mail: jld35@cam.ac.uk; MacManus-Driscoll, Judith L., E-mail: rlzh2@cam.ac.uk, E-mail: jld35@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Muñoz-Rojas, David [LMGP, University Grenoble-Alpes, CNRS, F-3800 Grenoble (France); Nelson, Shelby F. [Kodak Research Laboratories, Eastman Kodak Company, Rochester, New York 14650 (United States); Illiberi, Andrea; Poodt, Paul [Holst Centre/TNO Thin Film Technology, Eindhoven, 5656 AE (Netherlands); Roozeboom, Fred [Holst Centre/TNO Thin Film Technology, Eindhoven, 5656 AE (Netherlands); Department of Applied Physics, Eindhoven University of Technology, P.O. Box 513, Eindhoven, 5600 MB (Netherlands)

    2015-04-01

    Atmospheric pressure spatial atomic layer deposition (AP-SALD) has recently emerged as an appealing technique for rapidly producing high quality oxides. Here, we focus on the use of AP-SALD to deposit functional ZnO thin films, particularly on the reactors used, the film properties, and the dopants that have been studied. We highlight how these films are advantageous for the performance of solar cells, organometal halide perovskite light emitting diodes, and thin-film transistors. Future AP-SALD technology will enable the commercial processing of thin films over large areas on a sheet-to-sheet and roll-to-roll basis, with new reactor designs emerging for flexible plastic and paper electronics.

  1. ZnS nanostructured thin-films deposited by successive ionic layer adsorption and reaction

    Science.gov (United States)

    Deshmukh, S. G.; Jariwala, Akshay; Agarwal, Anubha; Patel, Chetna; Panchal, A. K.; Kheraj, Vipul

    2016-04-01

    ZnS thin films were grown on glass substrate using successive ionic layer adsorption and reaction (SILAR) technique at room temperature. Aqueous solutions of ZnCl2 and Na2S were used as precursors. The X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), Raman spectroscopy and optical absorption measurements were applied to study the structural, surface morphology and optical properties of as-deposited ZnS thin films. The X-ray diffraction profiles revealed that ZnS thin films consist of crystalline grains with cubic phase. Spherical nano grains of random size and well covered on the glass substrate were observed from FESEM. The average grain size were found to be 77 nm, 100 nm and 124 nm for 20 cycles, 40 cycles and 60 cycles samples respectively. For 60 cycle sample, Raman spectra show two prominent peaks at 554 cm-1 and 1094 cm-1. The optical band gap values were found to be 3.76 eV, 3.72 eV and 3.67 eV for 20 cycle, 40 cycle and 60 cycle samples respectively.

  2. Thickness-Dependent Order-to-Order Transitions of Bolaform-like Giant Surfactant in Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Hsu, Chih-Hao; Yue, Kan; Wang, Jing; Dong, Xue-Hui; Xia, Yanfeng; Jiang, Zhang [X-ray; Thomas, Edwin L. [Department; Cheng, Stephen Z. D.

    2017-09-07

    Controlling self-assembled nanostructures in thin films allows the bottom-up fabrication of ordered nanoscale patterns. Here we report the unique thickness-dependent phase behavior in thin films of a bolaform-like giant surfactant, which consists of butyl- and hydroxyl-functionalized polyhedral oligomeric silsesquioxane (BPOSS and DPOSS) cages telechelically located at the chain ends of a polystyrene (PS) chain with 28 repeating monomers on average. In the bulk, BPOSS-PS28-DPOSS forms a double gyroid (DG) phase. Both grazing incidence small angle X-ray scattering and transmission electron microscopy techniques are combined to elucidate the thin film structures. Interestingly, films with thicknesses thinner than 200 nm exhibit an irreversible phase transition from hexagonal perforated layer (HPL) to compressed hexagonally packed cylinders (c-HEX) at 130 °C, while films with thickness larger than 200 nm show an irreversible transition from HPL to DG at 200 °C. The thickness-controlled transition pathway suggests possibilities to obtain diverse patterns via thin film self-assembly.

  3. Effects of buffer layer temperature on the magnetic properties of NdFeB thin film magnets

    International Nuclear Information System (INIS)

    Kim, Y.B.; Cho, S.H.; Kim, H.T.; Ryu, K.S.; Lee, S.H.; Lee, K.H.; Kapustin, G.A.

    2004-01-01

    Effects of the buffer layer temperature (T b ) on the magnetic properties and microstructure of [Mo/NdFeB/Mo]-type thin films have been investigated. The Mo-buffer layer with low T b is composed of fine grains while that with high T b has coarse grains. The subsequent NdFeB layer also grows with fine or coarse grains following the buffer layer structure. The NdFeB layer grown on a low T b buffer shows high coercivity and strong perpendicular anisotropy. The best magnetic properties of i H c =1.01 MA/m (12.7 kOe), B r =1.31 T (13.1 kG) and BH max =329 kJ/m 3 (41.4 MGOe) were obtained from the film with T b =400 deg. C

  4. Microcrystalline silicon carbide alloys prepared with HWCVD as highly transparent and conductive window layers for thin film solar cells

    International Nuclear Information System (INIS)

    Finger, F.; Astakhov, O.; Bronger, T.; Carius, R.; Chen, T.; Dasgupta, A.; Gordijn, A.; Houben, L.; Huang, Y.; Klein, S.; Luysberg, M.; Wang, H.; Xiao, L.

    2009-01-01

    Crystalline silicon carbide alloys have a very high potential as transparent conductive window layers in thin-film solar cells provided they can be prepared in thin-film form and at compatible deposition temperatures. The low-temperature deposition of such material in microcrystalline form (μc-Si:C:H) was realized by use of monomethylsilane precursor gas diluted in hydrogen with the Hot-Wire Chemical Vapor Deposition process. A wide range of deposition parameters has been investigated and the structural, electronic and optical properties of the μc-SiC:H thin films have been studied. The material, which is strongly n-type from unintentional doping, has been used as window layer in n-side illuminated microcrystalline silicon solar cells. High short-circuit current densities are obtained due to the high transparency of the material resulting in a maximum solar cell conversion efficiency of 9.2%.

  5. Solution-processed In2S3 buffer layer for chalcopyrite thin film solar cells

    Directory of Open Access Journals (Sweden)

    Wang Lan

    2016-01-01

    Full Text Available We report a route to deposit In2S3 thin films from air-stable, low-cost molecular precursor inks for Cd-free buffer layers in chalcopyrite-based thin film solar cells. Different precursor compositions and processing conditions were studied to define a reproducible and robust process. By adjusting the ink properties, this method can be applied in different printing and coating techniques. Here we report on two techniques, namely spin-coating and inkjet printing. Active area efficiencies of 12.8% and 12.2% have been achieved for In2S3-buffered solar cells respectively, matching the performance of CdS-buffered cells prepared with the same batch of absorbers.

  6. Influence of heat conducting substrates on explosive crystallization in thin layers

    Science.gov (United States)

    Schneider, Wilhelm

    2017-09-01

    Crystallization in a thin, initially amorphous layer is considered. The layer is in thermal contact with a substrate of very large dimensions. The energy equation of the layer contains source and sink terms. The source term is due to liberation of latent heat in the crystallization process, while the sink term is due to conduction of heat into the substrate. To determine the latter, the heat diffusion equation for the substrate is solved by applying Duhamel's integral. Thus, the energy equation of the layer becomes a heat diffusion equation with a time integral as an additional term. The latter term indicates that the heat loss due to the substrate depends on the history of the process. To complete the set of equations, the crystallization process is described by a rate equation for the degree of crystallization. The governing equations are then transformed to a moving co-ordinate system in order to analyze crystallization waves that propagate with invariant properties. Dual solutions are found by an asymptotic expansion for large activation energies of molecular diffusion. By introducing suitable variables, the results can be presented in a universal form that comprises the influence of all non-dimensional parameters that govern the process. Of particular interest for applications is the prediction of a critical heat loss parameter for the existence of crystallization waves with invariant properties.

  7. Thin layer joining by gas adsorption

    Energy Technology Data Exchange (ETDEWEB)

    Taga, Yasunori, E-mail: y-taga@isc.chubu.ac.jp; Fukumura, Toshio

    2014-10-01

    Highlights: • We report thin layer molecular joining between glass and COP by gas adsorption. Thickness of joining layer is 1–2 nm and joining process was carried out at low temperature at about 100 °C. • Adhesion strength measured by 180 degree peel test revealed to be 1–10 N/25 mm and the joined stack showed high durability for practical use. - Abstract: Attempt has been made to join borosilicate glass and cycloolefin (COP) polymer film by using gas adsorption method. After corona plasma treat, COP was exposed to (3-glycidoxypropyl) trimethoxysilane (GPS) and glass to (3-aminopropyl) triethoxysilane (APS) both in air atmosphere, resulting in co-adsorption of water vapor in the atmosphere and organosilane gases. Surface characterization of plasma treated and gas adsorbed surfaces was carried out by X-ray photoelectron spectroscopy (XPS) using Mg Kα X-ray source. Joining was carried out by a roll laminator after contact of both surfaces at room temperature, followed by annealing at 130 °C for 10 min. Adhesion strength was evaluated by 180 degree peel test based on ASTM D-903 and durability was examined under the conditions of 60 °C and 95% RH. It was found that after plasma treatment, complex functional groups such as C-H, C-O, C=O, O-C=O and CO{sub 3} were found on COP and O-H on glass. Thickness of GPS gas adsorption layer on COP was evaluated by the XPS to be at least 1.1 nm by taking inelastic mean free path of Si{sub 2p} photoelectron into consideration. Joining force was found to be more than 5 N/25 mm corresponding to almost equal to COP bulk tensile strength. In addition, durability of this adhesion strength remained unchanged over 2000 h even after exposure to the durability test conditions of 60 °C and 95% RH. The results can be explained in terms of formation of H-H hydrogen bonding and Si-O covalent bonding via silanols will be made at the interface as a result of lamination and annealing processes. In conclusion, ultrathin joining method

  8. Epitaxial TiN(001) wetting layer for growth of thin single-crystal Cu(001)

    Energy Technology Data Exchange (ETDEWEB)

    Chawla, J. S.; Zhang, X. Y.; Gall, D. [Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2011-08-15

    Single-crystal Cu(001) layers, 4-1400 nm thick, were deposited on MgO(001) with and without a 2.5-nm-thick TiN(001) buffer layer. X-ray diffraction and reflection indicate that the TiN(001) surface suppresses Cu-dewetting, yielding a 4 x lower defect density and a 9 x smaller surface roughness than if grown on MgO(001) at 25 deg. C. In situ and low temperature electron transport measurements indicate that ultra-thin (4 nm) Cu(001) remains continuous and exhibits partial specular scattering at the Cu-vacuum boundary with a Fuchs-Sondheimer specularity parameter p = 0.6 {+-} 0.2, suggesting that the use of epitaxial wetting layers is a promising approach to create low-resistivity single-crystal Cu nanoelectronic interconnects.

  9. Room temperature deposition of ZnSe thin films by successive ionic layer adsorption and reaction (SILAR) method

    International Nuclear Information System (INIS)

    Kale, R.B.; Lokhande, C.D.

    2004-01-01

    The zinc selenide (ZnSe) thin films are deposited onto glass substrate using relatively simple and inexpensive successive ionic layer adsorption and reaction (SILAR) method. The films are deposited using zinc acetate sodium selenosulphate precursors. The concentration, pH, immersion and rinsing times and number of immersion cycles have been optimized to obtain good quality ZnSe thin films. The X-ray diffraction (XRD) study and scanning electron microscopy (SEM) studies reveals nanocrystalline nature alongwith some amorphous phase present in ZnSe thin films. Energy dispersive X-ray (EDAX) analysis shows that the films are Se deficient. From optical absorption data, the optical band gap 'E g ' for as-deposited thin film was found to be 2.8 eV and electrical resistivity in the order of 10 7 Ω cm

  10. The laser desorption/laser ionization mass spectra of some methylated xanthines and the laser desorption of caffeine and theophylline from thin layer chromatography plates

    Science.gov (United States)

    Rogers, Kevin; Milnes, John; Gormally, John

    1993-02-01

    Laser desorption/laser ionization time-of-flight mass spectra of caffeine, theophylline, theobromine and xanthine are reported. These mass spectra are compared with published spectra obtained using electron impact ionization. Mass spectra of caffeine and theophylline obtained by IR laser desorption from thin layer chromatography plates are also described. The laser desorption of materials from thin layer chromatography plates is discussed.

  11. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  12. A validated high performance thin layer chromatography method for determination of yohimbine hydrochloride in pharmaceutical preparations.

    Science.gov (United States)

    Badr, Jihan M

    2013-01-01

    Yohimbine is an indole alkaloid used as a promising therapy for erectile dysfunction. A number of methods were reported for the analysis of yohimbine in the bark or in pharmaceutical preparations. In the present work, a simple and sensitive high performance thin layer chromatographic method is developed for determination of yohimbine (occurring as yohimbine hydrochloride) in pharmaceutical preparations and validated according to International Conference of Harmonization (ICH) guidelines. The method employed thin layer chromatography aluminum sheets precoated with silica gel as the stationary phase and the mobile phase consisted of chloroform:methanol:ammonia (97:3:0.2), which gave compact bands of yohimbine hydrochloride. Linear regression data for the calibration curves of standard yohimbine hydrochloride showed a good linear relationship over a concentration range of 80-1000 ng/spot with respect to the area and correlation coefficient (R(2)) was 0.9965. The method was evaluated regarding accuracy, precision, selectivity, and robustness. Limits of detection and quantitation were recorded as 5 and 40 ng/spot, respectively. The proposed method efficiently separated yohimbine hydrochloride from other components even in complex mixture containing powdered plants. The amount of yohimbine hydrochloride ranged from 2.3 to 5.2 mg/tablet or capsule in preparations containing the pure alkaloid, while it varied from zero (0) to 1.5-1.8 mg/capsule in dietary supplements containing powdered yohimbe bark. We concluded that this method employing high performance thin layer chromatography (HPTLC) in quantitative determination of yohimbine hydrochloride in pharmaceutical preparations is efficient, simple, accurate, and validated.

  13. Depopulation of Caged Layer Hens with a Compressed Air Foam System

    Directory of Open Access Journals (Sweden)

    Shailesh Gurung

    2018-01-01

    Full Text Available During the 2014–2015 US highly pathogenic avian influenza (HPAI outbreak, 50.4 million commercial layers and turkeys were affected, resulting in economic losses of $3.3 billion. Rapid depopulation of infected poultry is vital to contain and eradicate reportable diseases like HPAI. The hypothesis of the experiment was that a compressed air foam (CAF system may be used as an alternative to carbon dioxide (CO2 inhalation for depopulating caged layer hens. The objective of this study was to evaluate corticosterone (CORT and time to cessation of movement (COM of hens subjected to CAF, CO2 inhalation, and negative control (NEG treatments. In Experiment 1, two independent trials were conducted using young and spent hens. Experiment 1 consisted of five treatments: NEG, CO2 added to a chamber, a CO2 pre-charged chamber, CAF in cages, and CAF in a chamber. In Experiment 2, only spent hens were randomly assigned to three treatments: CAF in cages, CO2 added to a chamber, and aspirated foam. Serum CORT levels of young hens were not significantly different among the CAF in cages, CAF in a chamber, NEG control, and CO2 inhalation treatments. However, spent hens subjected to the CAF in a chamber had significantly higher CORT levels than birds in the rest of the treatments. Times to COM of spent hens subjected to CAF in cages and aspirated foam were significantly greater than of birds exposed to the CO2 in a chamber treatment. These data suggest that applying CAF in cages is a viable alternative for layer hen depopulation during a reportable disease outbreak.

  14. Modelling and experimental validation of thin layer indirect solar drying of mango slices

    Energy Technology Data Exchange (ETDEWEB)

    Dissa, A.O.; Bathiebo, J.; Kam, S.; Koulidiati, J. [Laboratoire de Physique et de Chimie de l' Environnement (LPCE), Unite de Formation et de Recherche en Sciences Exactes et Appliquee (UFR/SEA), Universite de Ouagadougou, Avenue Charles de Gaulle, BP 7021 Kadiogo (Burkina Faso); Savadogo, P.W. [Laboratoire Sol Eau Plante, Institut de l' Environnement et de Recherches Agricoles, 01 BP 476, Ouagadougou (Burkina Faso); Desmorieux, H. [Laboratoire d' Automatisme et de Genie des Procedes (LAGEP), UCBL1-CNRS UMR 5007-CPE Lyon, Bat.308G, 43 bd du 11 Nov. 1918 Villeurbanne, Universite Claude Bernard Lyon1, Lyon (France)

    2009-04-15

    The thin layer solar drying of mango slices of 8 mm thick was simulated and experimented using a solar dryer designed and constructed in laboratory. Under meteorological conditions of harvest period of mangoes, the results showed that 3 'typical days' of drying were necessary to reach the range of preservation water contents. During these 3 days of solar drying, 50%, 40% and 5% of unbound water were eliminated, respectively, at the first, second and the third day. The final water content obtained was about 16 {+-} 1.33% d.b. (13.79% w.b.). This final water content and the corresponding water activity (0.6 {+-} 0.02) were in accordance with previous work. The drying rates with correction for shrinkage and the critical water content were experimentally determined. The critical water content was close to 70% of the initial water content and the drying rates were reduced almost at 6% of their maximum value at night. The thin layer drying model made it possible to simulate suitably the solar drying kinetics of mango slices with a correlation coefficient of r{sup 2} = 0.990. This study thus contributed to the setting of solar drying time of mango and to the establishment of solar drying rates' curves of this fruit. (author)

  15. High Performance Nano-Constituent Buffer Layer Thin Films to Enable Low Cost Integrated On-the-Move Communications Systems

    National Research Council Canada - National Science Library

    Cole, M. W; Nothwang, W. D; Hubbard, C; Ngo, E; Hirsch, S

    2004-01-01

    .... Utilizing a coplanar device design we successfully designed, fabricated, characterized, and optimized a high performance Ta2O5 thin film passive buffer layer on Si substrates, which will allow...

  16. Functional Layer-by-Layer Thin Films of Inducible Nitric Oxide (NO) Synthase Oxygenase and Polyethylenimine: Modulation of Enzyme Loading and NO-Release Activity.

    Science.gov (United States)

    Gunasekera, Bhagya; Abou Diwan, Charbel; Altawallbeh, Ghaith; Kalil, Haitham; Maher, Shaimaa; Xu, Song; Bayachou, Mekki

    2018-03-07

    Nitric oxide (NO) release counteracts platelet aggregation and prevents the thrombosis cascade in the inner walls of blood vessels. NO-release coatings also prevent thrombus formation on the surface of blood-contacting medical devices. Our previous work has shown that inducible nitric oxide synthase (iNOS) films release NO fluxes upon enzymatic conversion of the substrate l-arginine. In this work, we report on the modulation of enzyme loading in layer-by-layer (LbL) thin films of inducible nitric oxide synthase oxygenase (iNOSoxy) on polyethylenimine (PEI). The layer of iNOSoxy is electrostatically adsorbed onto the PEI layer. The pH of the iNOSoxy solution affects the amount of enzyme adsorbed. The overall negative surface charge of iNOSoxy in solution depends on the pH and hence determines the density of adsorbed protein on the positively charged PEI layer. We used buffered iNOSoxy solutions adjusted to pHs 8.6 and 7.0, while saline PEI solution was used at pH 7.0. Atomic force microscopy imaging of the outermost layer shows higher protein adsorption with iNOSoxy at pH 8.6 than with a solution of iNOSoxy at pH 7.0. Graphite electrodes with PEI/iNOSoxy films show higher catalytic currents for nitric oxide reduction mediated by iNOSoxy. The higher enzyme loading translates into higher NO flux when the enzyme-modified surface is exposed to a solution containing the substrate and a source of electrons. Spectrophotometric assays showed higher NO fluxes with iNOSoxy/PEI films built at pH 8.6 than with films built at pH 7.0. Fourier transform infrared analysis of iNOSoxy adsorbed on PEI at pH 8.6 and 7.0 shows structural differences of iNOSoxy in films, which explains the observed changes in enzymatic activity. Our findings show that pH provides a strategy to optimize the NOS loading and enzyme activity in NOS-based LbL thin films, which enables improved NO release with minimum layers of PEI/NOS.

  17. Thin layer modelling of Gelidium sesquipedale solar drying process

    International Nuclear Information System (INIS)

    Ait Mohamed, L.; Ethmane Kane, C.S.; Kouhila, M.; Jamali, A.; Mahrouz, M.; Kechaou, N.

    2008-01-01

    The effect of air temperature and air flow rate on the drying kinetics of Gelidium sesquipedale was investigated in convective solar drying. Drying was conducted at 40, 50 and 60 deg. C. The relative humidity was varied from 50% to 57%, and the drying air flow rate was varied from 0.0277 to 0.0833 m 3 /s. The expression for the drying rate equation is determined empirically from the characteristic drying curve. Thirteen mathematical models of thin layer drying are selected in order to estimate the suitable model for describing the drying curves. The two term model gives the best prediction of the drying curves and satisfactorily describes the drying characteristics of G. sesquipedale with a correlation coefficient R of 0.9999 and chi-square (χ 2 ) of 3.381 x 10 -6

  18. Effect of Layering on Cracking Initiation and Propagation under Uniaxial Compression

    Science.gov (United States)

    Modiriasari, A.; Jiang, L.; Yoon, H.; Bobet, A.; Pyrak-Nolte, L. J.

    2017-12-01

    Rock anisotropy can arise from textural and structural causes both of which contribute to anisotropic strength and moduli. Rock variability makes it difficult to determine which properties dominate failure. Here, laboratory experiments were performed on 3D printed samples to examine the effect of layering on crack formation. Samples with two pre-existing coplanar flaws were fabricated using an additive 3D printing process (Projet CJP 360). Layers of gypsum (0.2 mm thick) were printed in either a horizontal (H) or a vertical (V) orientation to create prismatic samples (152.4 mm x 76.2 mm x 25.1 mm) with two 12.7 mm long coplanar flaws (19.05 mm apart) oriented at 450 with the load. Cracks were induced under uniaxial loading conditions. Digital image correlation (DIC) and acoustic emission (AE) (18 AE sensors with a frequency range of 100-450 kHz) were used to monitor crack evolution. DIC imaging of the V specimen during uniaxial compression showed that smooth cracks were initiated and propagated from the tips of the flaws parallel to the layering. Unlike the strongly bonded samples, no cracks were formed between the pre-existing flaws. The failure mechanism between the flaws was controlled by the weak bonding between the layers, and not by the coalescence of the new cracks. However, for the H specimen, failure was caused by crack coalescence between the two flaws. The new cracks exhibited a step-like roughness that was influenced by the layering in the sample. AE events were only detected when a synchronized mode was used. 3D printed samples can be effectively used to study the effect of anisotropic layering on crack initiation and propagation in a repeatable and controlled manner. Acknowledgements: Sandia National Laboratories is a multi-mission laboratory managed and operated by National Technology & Engineering Solutions of Sandia, LLC., a wholly owned subsidiary of Honeywell International, Inc., for the U.S. Department of Energy's National Nuclear Security

  19. Thin layer activation technique applied to the measurement of wear

    Energy Technology Data Exchange (ETDEWEB)

    Humphries, P [UKAEA Research Group, Harwell. Atomic Energy Research Establishment

    1978-01-01

    A thin layer of radioactive atoms is produced in the material by bombardment with charged particles, and as the material is worn away the total activity level is monitored. If the activity to depth relationship is then known the amount of material worn away can be determined. By a selective choice of the charged particle species and energy the depth of the active layer, its natural decay rate, and the energy of the emitted radiation can be pre-determined. The Harwell Tandem Electrostatic Generator has been found very suitable for the work. The total activity level can be made as little or as large as required, but a level around 5 to 10 microcuries is usually found to be adequate, and the active layer usually has a depth of 50 to 300 ..mu..m. The activated area can be from < 1 mm/sup 2/ to 4 cm/sup 2/. Particular reference is made to the production of /sup 56/Co in Fe. Experimental arrangements for the irradiation of components are described. Some practical applications undertaken by Harwell for industry are briefly mentioned, including wear of diesel engine valve seatings and fuel injection equipment, engine testing of lubricants, surface loss of rails and railway wheels, wear of gears, wear of graphite bearing materials, and corrosion and erosion of materials. 4 references.

  20. Confinement of vibrational modes within crystalline lattices using thin amorphous layers

    International Nuclear Information System (INIS)

    Bagolini, Luigi; Mattoni, Alessandro; Lusk, Mark T

    2017-01-01

    It is possible to confine vibrational modes to a crystal by encapsulating it within thin disordered layers with the same average properties as the crystal. This is not due to an impedance mismatch between materials but, rather, to higher order moments in the distribution of density and stiffness in the disordered phase—i.e. it is a result of material substructure. The concept is elucidated in an idealized one-dimensional setting and then demonstrated for a realistic nanocrystalline geometry. This offers the prospect of specifically engineering higher order property distributions as an alternate means of managing phonons. (paper)

  1. Analytical modelling of a thin liquid metal layer submitted to an ac magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Hinaje, M [Groupe de Recherche en Electrotechnique et Electronique de Nancy, 2 avenue de la Foret de Haye, 54516 Vandoeuvre-les-Nancy (France); Vinsard, G [Laboratoire d' Energetique et de Mecanique Theorique et Appliquee, 2 avenue de la Foret de Haye, 54516 Vandoeuvre-les-Nancy (France); Dufour, S [Laboratoire d' Energetique et de Mecanique Theorique et Appliquee, 2 avenue de la Foret de Haye, 54516 Vandoeuvre-les-Nancy (France)

    2006-07-07

    A cylindrical thin liquid metal layer is submitted to a uniform ac magnetic field. When the intensity of the electromagnetic field exceeds a critical value, an opening in the liquid is shaped from outside to inside. At a given intensity of the electromagnetic field, this opening is in a frozen state, that is, the liquid metal layer reaches a new equilibrium shape. In this paper, we show that this equilibrium corresponds to a minimum of the total energy of the system. This total energy is equal to the sum of the magnetic energy and the mechanical energy. The magnetic energy is computed by assuming that the induced eddy current flowing through the liquid metal layer is concentrated in the cross-section S{sub c} equal to the product of the skin depth and the thickness of the layer. This assumption leads us to study an equivalent electrical circuit. The mechanical energy is composed of the potential energy and the surface energy.

  2. Analytical modelling of a thin liquid metal layer submitted to an ac magnetic field

    International Nuclear Information System (INIS)

    Hinaje, M; Vinsard, G; Dufour, S

    2006-01-01

    A cylindrical thin liquid metal layer is submitted to a uniform ac magnetic field. When the intensity of the electromagnetic field exceeds a critical value, an opening in the liquid is shaped from outside to inside. At a given intensity of the electromagnetic field, this opening is in a frozen state, that is, the liquid metal layer reaches a new equilibrium shape. In this paper, we show that this equilibrium corresponds to a minimum of the total energy of the system. This total energy is equal to the sum of the magnetic energy and the mechanical energy. The magnetic energy is computed by assuming that the induced eddy current flowing through the liquid metal layer is concentrated in the cross-section S c equal to the product of the skin depth and the thickness of the layer. This assumption leads us to study an equivalent electrical circuit. The mechanical energy is composed of the potential energy and the surface energy

  3. Sol–gel derived scattering layers as substrates for thin-film photovoltaic cells

    Energy Technology Data Exchange (ETDEWEB)

    Hegmann, Jan [Lehrstuhl für Chemische Technologie der Materialsynthese, Universität Würzburg, Röntgenring 11, 97070 Würzburg (Germany); Mandl, Magdalena [Fraunhofer-Institut für Silicatforschung, Neunerplatz 2, 97082 Würzburg (Germany); Löbmann, Peer, E-mail: peer.loebmann@isc.fraunhofer.de [Fraunhofer-Institut für Silicatforschung, Neunerplatz 2, 97082 Würzburg (Germany)

    2014-08-01

    Agglomerated silica particles were coated on glass by dip-coating; the resulting films exhibited optical scattering. With constant optical transmittances > 80% their haze could be modified by the withdrawal rate applied for the respective deposition procedure. Film thickness, surface topography and coverage of the substrate were characterized by Scanning Electron Microscopy and Atomic Force Microscopy. For the use in radiation management in thin-film silicon solar cells in a first step the scattering layers were coated with aluminum-doped zinc oxide by sputtering; the optical performance of the resulting bilayer was characterized by haze measurements and angle resolved scattering spectroscopy. Quantum efficiencies of complete solar cells could be determined after the deposition of a hydrogenated amorphous Si/hydrogenated microcrystalline Si tandem absorber and application of metallic back contacts. It turned out that the external quantum efficiency of the resulting cells is not directly related to the light scattering performance of the scattering layer used. - Highlights: • Characterization of sol–gel scattering layers • Combination of different coating-technologies to prepare stacks with optical functionality • Comprehensive material preparation and characterization for complex multilayer.

  4. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO{sub 2} thin films to produce a new hybrid material coating

    Energy Technology Data Exchange (ETDEWEB)

    Drevet, R., E-mail: richarddrevet@yahoo.fr [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Dragoé, D.; Barthés-Labrousse, M.G. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Chaussé, A. [Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Andrieux, M. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France)

    2016-10-30

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO{sub 2} thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO{sub 2} thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO{sub 2}) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO{sub 2} layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  5. Fast light-induced reversible wettability of a zinc oxide nanorod array coated with a thin gold layer

    Science.gov (United States)

    Wei, Yuefan; Du, Hejun; Kong, Junhua; Tran, Van-Thai; Koh, Jia Kai; Zhao, Chenyang; He, Chaobin

    2017-11-01

    Zinc oxide (ZnO) has gained much attention recently due to its excellent physical and chemical properties, and has been extensively studied in energy harvesting applications such as photovoltaic and piezoelectric devices. In recent years, its reversible wettability has also attracted increasing interest. The wettability of ZnO nanostructures with various morphologies has been studied. However, to the best of our knowledge, there is still a lack of investigations on further modifications on ZnO to provide more benefits than pristine ZnO. Comprehensive studies on the reversible wettability are still needed. In this study, a ZnO nanorod array was prepared via a hydrothermal process and subsequently coated with thin gold layers with varied thickness. The morphologies and structures, optical properties and wettability were investigated. It is revealed that the ZnO-Au system possesses recoverable wettability upon switching between visible-ultraviolet light and a dark environment, which is verified by the contact angle change. The introduction of the thin gold layer to the ZnO nanorod array effectively increases the recovery rate of the wettability. The improvements are attributed to the hierarchical structures, which are formed by depositing thin gold layers onto the ZnO nanorod array, the visible light sensitivity due to the plasmonic effect of the deposited gold, as well as the fast charge-induced surface status change upon light illumination or dark storage. The improvement is beneficial to applications in environmental purification, energy harvesting, micro-lenses, and smart devices.

  6. Toward compression of small cell population: harnessing stress in passive regions of dielectric elastomer actuators

    Science.gov (United States)

    Poulin, Alexandre; Rosset, Samuel; Shea, Herbert

    2014-03-01

    We present a dielectric elastomer actuator (DEA) for in vitro analysis of mm2 biological samples under periodic compressive stress. Understanding how mechanical stimuli affect cell functions could lead to significant advances in diseases diagnosis and drugs development. We previously reported an array of 72 micro-DEAs on a chip to apply a periodic stretch to cells. To diversify our cell mechanotransduction toolkit we have developed an actuator for periodic compression of small cell populations. The device is based on a novel design which exploits the effects of non-equibiaxial pre-stretch and takes advantage of the stress induced in passive regions of DEAs. The device consists of two active regions separated by a 2mm x 2mm passive area. When connected to an AC high-voltage source, the two active regions periodically compress the passive region. Due to the non-equibiaxial pre-stretch it induces uniaxial compressive strain greater than 10%. Cells adsorbed on top of this passive gap would experience the same uniaxial compressive stain. The electrodes configuration confines the electric field and prevents it from reaching the biological sample. A thin layer of silicone is casted on top of the device to ensure a biocompatible environment. This design provides several advantages over alternative technologies such as high optical transparency of the area of interest (passive region under compression) and its potential for miniaturization and parallelization.

  7. All-optically tunable EIT-like dielectric metasurfaces hybridized with thin phase change material layers

    Science.gov (United States)

    Petronijevic, Emilija; Sibilia, Concita

    2017-05-01

    Electromagnetically induced transparency (EIT), a pump-induced narrow transparency window within the absorption region of a probe, had offered new perspectives in slow-light control in atomic physics. For applications in nanophotonics, the implementation on chip-scaled devices has later been obtained by mimicking this effect by metallic metamaterials. High losses in visible and near infrared range of metal-based metamaterialls have recently opened a new field of all-dielectric metamaterials; a proper configuration of high refractive index dielectric nanoresonators can mimick this effect without losses to get high Q, slow-light response. The next step would be the ability to tune their optical response, and in this work we investigate thin layers of phase change materials (PCM) for all-optical control of EIT-like all-dielectric metamaterials. PCM can be nonvolatively and reversibly switched between two stable phases that differ in optical properties by applying a visible laser pulse. The device is based on Si nanoresonators covered by a thin layer of PCM GeTe; optical and transient thermal simulations have been done to find and optimize the fabrication parameters and switching parameters such as the intensity and duration of the pulse. We have found that the EIT-like response can be switched on and off by applying the 532nm laser pulse to change the phase of the upper GeTe layer. We strongly believe that such approach could open new perspectives in all-optically controlled slow-light metamaterials.

  8. Thin Layer Sensory Cues Affect Antarctic Krill Swimming Kinematics

    Science.gov (United States)

    True, A. C.; Webster, D. R.; Weissburg, M. J.; Yen, J.

    2013-11-01

    A Bickley jet (laminar, planar free jet) is employed in a recirculating flume system to replicate thin shear and phytoplankton layers for krill behavioral assays. Planar laser-induced fluorescence (LIF) and particle image velocimetry (PIV) measurements quantify the spatiotemporal structure of the chemical and free shear layers, respectively, ensuring a close match to in situ hydrodynamic and biochemical conditions. Path kinematics from digitized trajectories of free-swimming Euphausia superba examine the effects of hydrodynamic sensory cues (deformation rate) and bloom level phytoplankton patches (~1000 cells/mL, Tetraselamis spp.) on krill behavior (body orientation, swimming modes and kinematics, path fracticality). Krill morphology is finely tuned for receiving and deciphering both hydrodynamic and chemical information that is vital for basic life processes such as schooling behaviors, predator/prey, and mate interactions. Changes in individual krill behavior in response to ecologically-relevant sensory cues have the potential to produce population-scale phenomena with significant ecological implications. Krill are a vital trophic link between primary producers (phytoplankton) and larger animals (seabirds, whales, fish, penguins, seals) as well as the subjects of a valuable commercial fishery in the Southern Ocean; thus quantifying krill behavioral responses to relevant sensory cues is an important step towards accurately modeling Antarctic ecosystems.

  9. Superplastic boronizing of duplex stainless steel under dual compression method

    International Nuclear Information System (INIS)

    Jauhari, I.; Yusof, H.A.M.; Saidan, R.

    2011-01-01

    Highlights: → Superplastic boronizing. → Dual compression method has been developed. → Hard boride layer. → Bulk deformation was significantly thicker the boronized layer. → New data on boronizing could be expanded the application of DSS in industries. - Abstract: In this work, SPB of duplex stainless steel (DSS) under compression method is studied with the objective to produce ultra hard and thick boronized layer using minimal amount of boron powder and at a much faster boronizing time as compared to the conventional process. SPB is conducted under dual compression methods. In the first method DSS is boronized using a minimal amount of boron powder under a fix pre-strained compression condition throughout the process. The compression strain is controlled in such a way that plastic deformation is restricted at the surface asperities of the substrate in contact with the boron powder. In the second method, the boronized specimen taken from the first mode is compressed superplastically up to a certain compressive strain under a certain strain rate condition. The process in the second method is conducted without the present of boron powder. As compared with the conventional boronizing process, through this SPB under dual compression methods, a much harder and thicker boronized layer thickness is able to be produced using a minimal amount of boron powder.

  10. Superplastic boronizing of duplex stainless steel under dual compression method

    Energy Technology Data Exchange (ETDEWEB)

    Jauhari, I., E-mail: iswadi@um.edu.my [Department of Mechanical Engineering, Faculty of Engineering, University of Malaya, 50603 Kuala Lumpur (Malaysia); Yusof, H.A.M.; Saidan, R. [Department of Mechanical Engineering, Faculty of Engineering, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2011-10-25

    Highlights: {yields} Superplastic boronizing. {yields} Dual compression method has been developed. {yields} Hard boride layer. {yields} Bulk deformation was significantly thicker the boronized layer. {yields} New data on boronizing could be expanded the application of DSS in industries. - Abstract: In this work, SPB of duplex stainless steel (DSS) under compression method is studied with the objective to produce ultra hard and thick boronized layer using minimal amount of boron powder and at a much faster boronizing time as compared to the conventional process. SPB is conducted under dual compression methods. In the first method DSS is boronized using a minimal amount of boron powder under a fix pre-strained compression condition throughout the process. The compression strain is controlled in such a way that plastic deformation is restricted at the surface asperities of the substrate in contact with the boron powder. In the second method, the boronized specimen taken from the first mode is compressed superplastically up to a certain compressive strain under a certain strain rate condition. The process in the second method is conducted without the present of boron powder. As compared with the conventional boronizing process, through this SPB under dual compression methods, a much harder and thicker boronized layer thickness is able to be produced using a minimal amount of boron powder.

  11. The detection of some halogenated phenols and nitrophenols in thin-layer chromatography by means of bromine

    NARCIS (Netherlands)

    Tadema, G.; Batelaan, P.H.

    1968-01-01

    A method is described for the detection of halogeno- and nitro-phenols in sub-microgram quantities. Theses compounds are made visible by exposure of the developed thin layer plates to bromine vapour and subsequent spraying with an aqueous solution of potassium iodide or an ethanolic solution of

  12. Growth and thermal oxidation of Ru and ZrO2 thin films as oxidation protective layers

    NARCIS (Netherlands)

    Coloma Ribera, R.

    2017-01-01

    This thesis focuses on the study of physical and chemical processes occurring during growth and thermal oxidation of Ru and ZrO2 thin films. Acting as oxidation resistant capping materials to prevent oxidation of layers underneath, these films have several applications, i.e., in microelectronics

  13. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  14. Influence of Fissure Number on the Mechanical Properties of Layer-Crack Rock Models under Uniaxial Compression

    Directory of Open Access Journals (Sweden)

    Yun-liang Tan

    2018-01-01

    Full Text Available Many case studies have revealed that rock bursts generally occur in the high stress concentration area where layer-crack structures often exist, especially for brittle coal or rock masses. Understanding the mechanical properties of layer-crack rock models is beneficial for rational design and stability analysis of rock engineering project and rock burst prevention. This study experimentally investigated the influence of fissure number on the mechanical properties of layer-crack rock models through uniaxial compression tests. The digital speckle correlation method (DSCM and acoustic emission (AE techniques were applied to record and analyze the information of deformation and failure processes. Test results show the following: the bearing capacity of layer-crack specimen decreases compared with intact specimen, but their failure modes are similar, which are the splitting failure accompanied with local shear failure; the nonuniform deformation phenomenon begins to appear at the elastic deformation stage for layer-crack specimens; the AE behavior of intact specimens consists of three stages, that is, active stage, quiet stage, and major active stage, but for layer-crack specimens, it is characteristic by three peaks without quiet stage. In addition, as the fissure number of layer-crack specimens increases, the bearing capacity of specimens decreases, the appearing time of nonuniform deformation phenomenon in the specimen surface decreases, the AE events are denser and denser in each peak stage, and the risk of dynamic instability of layer-crack structure increases. At last, the failure mechanism of layer-crack structure and the related mitigation advices were discussed based on the test results. In general, the novelty is that this paper focuses on the failure mechanism of layer-crack structure directly.

  15. Thin film complementary metal oxide semiconductor (CMOS) device using a single-step deposition of the channel layer

    KAUST Repository

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, Mohamed N.; Wang, Q. X.; Alshareef, Husam N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n-and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling

  16. Photon up-converting (Yb,Er){sub 2}O{sub 3} thin films by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, Minnea [Department of Chemistry, University of Turku (Finland); Doctoral Programme in Physical and Chemical Sciences, University of Turku Graduate School (UTUGS), Turku (Finland); Giedraityte, Zivile; Karppinen, Maarit [Department of Chemistry and Materials Science, School of Chemical Engineering, Aalto University (Finland); Lastusaari, Mika [Department of Chemistry, University of Turku (Finland); Turku University Centre for Materials and Surfaces (MatSurf), Turku (Finland)

    2017-06-15

    We report up-converting (Yb,Er){sub 2}O{sub 3} thin films grown with the atomic layer deposition (ALD) technique. The films are crystalline and show a homogeneous morphology with a roughness less than 1 nm for 40 nm thick films. High-intensity near-infrared (NIR) to green and red two-photon up-conversion emission is obtained with 974 nm excitation through an absorption by Yb{sup 3+}, followed by a Yb{sup 3+}-Er{sup 3+} energy transfer and emission from Er{sup 3+}. The ALD technique promises to be excellent for producing up-converting films for many applications such as near-infrared radiation absorbing layers for solar cells and sensors in point-of-care biomedical diagnostics. Schematic picture of the ALD-grown (Yb,Er){sub 2}O{sub 3} thin film including the up-conversion emission spectra. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Inverted fractal analysis of TiO{sub x} thin layers grown by inverse pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Égerházi, L., E-mail: egerhazi.laszlo@gmail.com [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary); Smausz, T. [University of Szeged, Faculty of Science, Department of Optics and Quantum Electronics, Dóm tér 9., H-6720 Szeged (Hungary); Bari, F. [University of Szeged, Faculty of Medicine, Department of Medical Physics and Informatics, Korányi fasor 9., H-6720 Szeged (Hungary)

    2013-08-01

    Inverted fractal analysis (IFA), a method developed for fractal analysis of scanning electron microscopy images of cauliflower-like thin films is presented through the example of layers grown by inverse pulsed laser deposition (IPLD). IFA uses the integrated fractal analysis module (FracLac) of the image processing software ImageJ, and an objective thresholding routine that preserves the characteristic features of the images, independently of their brightness and contrast. IFA revealed f{sub D} = 1.83 ± 0.01 for TiO{sub x} layers grown at 5–50 Pa background pressures. For a series of images, this result was verified by evaluating the scaling of the number of still resolved features on the film, counted manually. The value of f{sub D} not only confirms the fractal structure of TiO{sub x} IPLD thin films, but also suggests that the aggregation of plasma species in the gas atmosphere may have only limited contribution to the deposition.

  18. Research Update: Atmospheric pressure spatial atomic layer deposition of ZnO thin films: Reactors, doping, and devices

    Directory of Open Access Journals (Sweden)

    Robert L. Z. Hoye

    2015-04-01

    Full Text Available Atmospheric pressure spatial atomic layer deposition (AP-SALD has recently emerged as an appealing technique for rapidly producing high quality oxides. Here, we focus on the use of AP-SALD to deposit functional ZnO thin films, particularly on the reactors used, the film properties, and the dopants that have been studied. We highlight how these films are advantageous for the performance of solar cells, organometal halide perovskite light emitting diodes, and thin-film transistors. Future AP-SALD technology will enable the commercial processing of thin films over large areas on a sheet-to-sheet and roll-to-roll basis, with new reactor designs emerging for flexible plastic and paper electronics.

  19. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  20. DC-sputtered MoO{sub x} thin-films as hole transport layer in organic photovoltaic

    Energy Technology Data Exchange (ETDEWEB)

    Cauduro, Andre L.F.; Ahmadpour, Mehrad; Rubahn, Horst-Guenter; Madsen, Morten, E-mail: cauduro@mci.sdu.dk [NanoSYD, University of Southern Denmark (Denmark); Reis, Roberto dos; Chen, Gong; Schmid, Andreas [National Center for Electron Microscopy, The Molecular Foundry, LBNL, Berkeley, CA (United States); Methivier, Christophe [Sorbonne Universites, UPMC Univ Paris 06, CNRS UMR, Laboratoire de Reactivite de Surface (LRS) (France); Witkowski, Nadine [Sorbonne Universites, UPMC Univ Paris 06, UMR CNRS, Institut des Nanosciences de Paris (INSP) (France); Fichtner, Paulo F.P. [Universidade Federal do Rio Grande do Sul (UFRGS), Porto Alegre (Brazil)

    2016-07-01

    Full text: Molybdenum-oxide (MoO{sub x}) thin-films have attracted a lot of attention in the past years due to their unique ability to act as interfacial layers in novel electronics and energy applications. In the work presented here, large tuning possibilities in the electronic and optoelectronic properties of MoO{sub x} thin-films deposited by reactive sputtering using different oxygen partial pressures and annealing conditions are demonstrated along with the implementation of the films in organic photovoltaic. MoO{sub x} thin-films deposited under low oxygen partial pressure present a high conductivity of around 3.22 S.cm{sup -1}, however, as the oxygen partial pressure increases, the conductivity of the resulting films drops by up to around 10 orders of magnitude as the [O]/[Mo] ratio changes from 2.57 to beyond 3.00. Optical absorption measurements also show drastic changes mostly within the 0.60 eV - 2.50 eV spectral region for the same increase in oxygen concentration in [1]. UPS and XPS studies are conducted for accessing information about the work function and surface composition of the thin-films. The XPS spectra registered on the Mo 3d core level reveal how the oxidation state of Mo is affected by the partial pressure of oxygen during film growth. The work function of the films increase with annealing temperature and oxygen content, and span a tuning range of about 2 eV. To extract the spatially resolved work function values from the sputtered films, we use in addition Low Energy Electron Microscopy (LEEM). Finally, the application of the MoO{sub x} thin-films in organic optoelectronic devices is investigated by employing them as hole transport layers in small molecule photovoltaic, here based on DBP and C70. The work thus demonstrates a viable method for tuning the electronic and optoelectronic properties of MoO{sub x} thin-films, which can be applied in combination with a wide range of materials in e.g. organic photovoltaic. [1] A.L. Fernandes Cauduro

  1. In situ identification of high-performance thin-layer chromatography spots by fourier transform surface-enhanced Raman scattering

    Science.gov (United States)

    Koglin, Eckhardt; Kramer, Hella; Sawatski, Juergen; Lehner, Carolin; Hellman, Janice L.

    1994-01-01

    FT-SERS has been used to identify samples supported on high-performance thin-layer chromatography plates. The TLC plates were sprayed with colloidal silver solutions which resulted in enhancement of the FT-Raman scattering of these biologically and environmentally important compounds.

  2. Solvent Front Position Extraction procedure with thin-layer chromatography as a mode of multicomponent sample preparation for quantitative analysis by instrumental technique.

    Science.gov (United States)

    Klimek-Turek, A; Sikora, E; Dzido, T H

    2017-12-29

    A concept of using thin-layer chromatography to multicomponent sample preparation for quantitative determination of solutes followed by instrumental technique is presented. Thin-layer chromatography (TLC) is used to separate chosen substances and their internal standard from other components (matrix) and to form a single spot/zone containing them at the solvent front position. The location of the analytes and internal standard in the solvent front zone allows their easy extraction followed by quantitation by HPLC. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Domain structure and magnetotransport in epitaxial colossal magnetoresistance thin films

    OpenAIRE

    Suzuki, Yuri; Wu, Yan; Yu, Jun; Rüdiger, Ulrich; Kent, Andrew D.; Nath, Tapan K.; Eom, Chang-Beom

    2000-01-01

    Our studies of compressively strained La0.7 Sr0.3 MnO7 (LSMO) thin films reveal the importance of domain structure and strain effects in the magnetization reversal and magnetotransport. Normal and grazing incidence x-ray diffraction indicate that the compressive strain on these LSMO thin films on (100) LaAlO3 is not completely relaxed up to thicknesses on the order of 1000 Å. The effect of the compressive strain is evident in the shape of the magnetization loops and the magnetotransport measu...

  4. Extraction of Nutraceuticals from Spirulina (Blue-Green Alga): A Bioorganic Chemistry Practice Using Thin-layer Chromatography

    Science.gov (United States)

    Herrera Bravo de Laguna, Irma; Toledo Marante, Francisco J.; Luna-Freire, Kristerson R.; Mioso, Roberto

    2015-01-01

    Spirulina is a blue-green alga (cyanobacteria) with high nutritive value. This work provides an innovative and original approach to the consideration of a bioorganic chemistry practice, using Spirulina for the separation of phytochemicals with nutraceutical characteristics via thin-layer chromatography (TLC) plates. The aim is to bring together…

  5. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  6. Characterization of Ultra thin chromium layers deposited ou to SiO2 using the Le-PIXE and the RB S techniques

    International Nuclear Information System (INIS)

    Zahraman, K.; Nsouli, B.; Roumie, M.

    2007-01-01

    In this paper, we demonstrate the ability of the Le-PIXE (Low Energy PIXE) technique, using proton energies < 1 MeV, for the monitoring of the thickness and the thickness uniformity of ultra thin (0.5 nm < t < 20 nm) chromium layers deposited onto quartz substrates. Chromium is a good candidate for obtaining conductive ultra thin layers on insulator substrates such as quartz (SiO2). The resistivity of such layers is highly related to the quality of the deposited chromium film. In order to optimize the deposition process, there is a need for rapid and accurate monitoring of such films (film thickness, thickness uniformity over a big surface...). The acquisition time needed to obtain results with less than 3-4 % precision was 5 minutes for the thinnest layers. The validation for the use of the Le-PIXE technique was checked by means of conventional RB S technique.

  7. Ion - beam assisted process in the physical deposition of organic thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Dimov, D; Spassova, E; Assa, J; Danev, G [Acad. J .Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl.109, 1113 Sofia (Bulgaria); Georgiev, A, E-mail: dean@clf.bas.b [University of Chemical Technology and Metallurgy, 8 Kl. Ohridski Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    A novel method was developed for physical deposition of thin polyimide layers by applying an argon plasma assisted process. The influence was investigated of the plasma on the combined molecular flux of the two thermally evaporated precursors - oxydianiline and pyromellitic dianhydride. The effects observed on the properties of the deposited films are explained with the increased energy of the precursor molecules resulting from the ion-molecular collisions. As could be expected, molecules with higher energy possess higher mobility and thus determine the modification of the films structure and their electrical properties.

  8. Fibromyalgia Is Correlated with Retinal Nerve Fiber Layer Thinning.

    Science.gov (United States)

    Garcia-Martin, Elena; Garcia-Campayo, Javier; Puebla-Guedea, Marta; Ascaso, Francisco J; Roca, Miguel; Gutierrez-Ruiz, Fernando; Vilades, Elisa; Polo, Vicente; Larrosa, Jose M; Pablo, Luis E; Satue, Maria

    2016-01-01

    To investigate whether fibromyalgia induces axonal damage in the optic nerve that can be detected using optical coherence tomography (OCT), as the retinal nerve fiber layer (RNFL) is atrophied in patients with fibromyalgia compared with controls. Fibromyalgia patients (n = 116) and age-matched healthy controls (n = 144) were included in this observational and prospective cohort study. All subjects underwent visual acuity measurement and structural analysis of the RNFL using two OCT devices (Cirrus and Spectralis). Fibromyalgia patients were evaluated according to Giesecke's fibromyalgia subgroups, the Fibromyalgia Impact Questionnaire (FIQ), and the European Quality of Life-5 Dimensions (EQ5D) scale. We compared the differences between fibromyalgia patients and controls, and analyzed the correlations between OCT measurements, disease duration, fibromyalgia subgroups, severity, and quality of life. The impact on quality of life in fibromyalgia subgroups and in patients with different disease severity was also analyzed. A significant decrease in the RNFL was detected in fibromyalgia patients compared with controls using the two OCT devices: Cirrus OCT ganglion cell layer analysis registered a significant decrease in the minimum thickness of the inner plexiform layer (74.99±16.63 vs 79.36±3.38 μm, respectively; p = 0.023), nasal inferior, temporal inferior and temporal superior sectors (p = 0.040; 0.011 and 0.046 respectively). The Glaucoma application of the Spectralis OCT revealed thinning in the nasal, temporal inferior and temporal superior sectors (p = 0.009, 0.006, and 0.002 respectively) of fibromyalgia patients and the Axonal application in all sectors, except the nasal superior and temporal sectors. The odds ratio (OR) to estimate the size effect of FM in RNFL thickness was 1.39. RNFL atrophy was detected in patients with FIQ scores fibromyalgia (FIQ≥60) compared with patients with mild fibromyalgia (FIQfibromyalgia exhibited significant thinning in the

  9. Thin layer modelling of Gelidium sesquipedale solar drying process

    Energy Technology Data Exchange (ETDEWEB)

    Ait Mohamed, L. [Laboratoire d' Energie Solaire et des Plantes Aromatiques et Medicinales, Ecole Normale Superieure, BP 2400, Marrakech (Morocco); Faculte des Sciences Semlalia, BP 2390, Marrakech (Morocco); Ethmane Kane, C.S. [Faculte des Sciences de Tetouan, BP 2121, Tetouan (Morocco); Kouhila, M.; Jamali, A. [Laboratoire d' Energie Solaire et des Plantes Aromatiques et Medicinales, Ecole Normale Superieure, BP 2400, Marrakech (Morocco); Mahrouz, M. [Faculte des Sciences Semlalia, BP 2390, Marrakech (Morocco); Kechaou, N. [Ecole Nationale d' Ingenieurs de Sfax, BPW 3038 (Tunisia)

    2008-05-15

    The effect of air temperature and air flow rate on the drying kinetics of Gelidium sesquipedale was investigated in convective solar drying. Drying was conducted at 40, 50 and 60 C. The relative humidity was varied from 50% to 57%, and the drying air flow rate was varied from 0.0277 to 0.0833 m{sup 3}/s. The expression for the drying rate equation is determined empirically from the characteristic drying curve. Thirteen mathematical models of thin layer drying are selected in order to estimate the suitable model for describing the drying curves. The two term model gives the best prediction of the drying curves and satisfactorily describes the drying characteristics of G. sesquipedale with a correlation coefficient R of 0.9999 and chi-square ({chi}{sup 2}) of 3.381 x 10{sup -6}. (author)

  10. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  11. ZnS nanostructured thin-films deposited by successive ionic layer adsorption and reaction

    Energy Technology Data Exchange (ETDEWEB)

    Deshmukh, S. G., E-mail: deshmukhpradyumn@gmail.com; Jariwala, Akshay; Agarwal, Anubha; Patel, Chetna; Kheraj, Vipul, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India); Panchal, A. K. [Department of Electrical Engineering, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India)

    2016-04-13

    ZnS thin films were grown on glass substrate using successive ionic layer adsorption and reaction (SILAR) technique at room temperature. Aqueous solutions of ZnCl{sub 2} and Na{sub 2}S were used as precursors. The X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), Raman spectroscopy and optical absorption measurements were applied to study the structural, surface morphology and optical properties of as-deposited ZnS thin films. The X-ray diffraction profiles revealed that ZnS thin films consist of crystalline grains with cubic phase. Spherical nano grains of random size and well covered on the glass substrate were observed from FESEM. The average grain size were found to be 77 nm, 100 nm and 124 nm for 20 cycles, 40 cycles and 60 cycles samples respectively. For 60 cycle sample, Raman spectra show two prominent peaks at 554 cm{sup −1} and 1094 cm{sup −1}. The optical band gap values were found to be 3.76 eV, 3.72 eV and 3.67 eV for 20 cycle, 40 cycle and 60 cycle samples respectively.

  12. Compressibility, turbulence and high speed flow

    CERN Document Server

    Gatski, Thomas B

    2009-01-01

    This book introduces the reader to the field of compressible turbulence and compressible turbulent flows across a broad speed range through a unique complimentary treatment of both the theoretical foundations and the measurement and analysis tools currently used. For the computation of turbulent compressible flows, current methods of averaging and filtering are presented so that the reader is exposed to a consistent development of applicable equation sets for both the mean or resolved fields as well as the transport equations for the turbulent stress field. For the measurement of turbulent compressible flows, current techniques ranging from hot-wire anemometry to PIV are evaluated and limitations assessed. Characterizing dynamic features of free shear flows, including jets, mixing layers and wakes, and wall-bounded flows, including shock-turbulence and shock boundary-layer interactions, obtained from computations, experiments and simulations are discussed. Key features: * Describes prediction methodologies in...

  13. Photoacoustic signal attenuation analysis for the assessment of thin layers thickness in paintings

    Science.gov (United States)

    Tserevelakis, George J.; Dal Fovo, Alice; Melessanaki, Krystalia; Fontana, Raffaella; Zacharakis, Giannis

    2018-03-01

    This study introduces a novel method for the thickness estimation of thin paint layers in works of art, based on photoacoustic signal attenuation analysis (PAcSAA). Ad hoc designed samples with acrylic paint layers (Primary Red Magenta, Cadmium Yellow, Ultramarine Blue) of various thicknesses on glass substrates were realized for the specific application. After characterization by Optical Coherence Tomography imaging, samples were irradiated at the back side using low energy nanosecond laser pulses of 532 nm wavelength. Photoacoustic waves undergo a frequency-dependent exponential attenuation through the paint layer, before being detected by a broadband ultrasonic transducer. Frequency analysis of the recorded time-domain signals allows for the estimation of the average transmitted frequency function, which shows an exponential decay with the layer thickness. Ultrasonic attenuation models were obtained for each pigment and used to fit the data acquired on an inhomogeneous painted mock-up simulating a real canvas painting. Thickness evaluation through PAcSAA resulted in excellent agreement with cross-section analysis with a conventional brightfield microscope. The results of the current study demonstrate the potential of the proposed PAcSAA method for the non-destructive stratigraphic analysis of painted artworks.

  14. A parametric study of laser spot size and coverage on the laser shock peening induced residual stress in thin aluminium samples

    Directory of Open Access Journals (Sweden)

    M. Sticchi

    2015-07-01

    Full Text Available Laser Shock Peening is a fatigue enhancement treatment using laser energy to induce compressive Residual Stresses (RS in the outer layers of metallic components. This work describes the variations of introduced RS-field with peen size and coverage for thin metal samples treated with under-water-LSP. The specimens under investigation were of aluminium alloy AA2024-T351, AA2139-T3, AA7050-T76 and AA7075-T6, with thickness 1.9 mm. The RS were measured by using Hole Drilling with Electronic Speckle Pattern Interferometry and X-ray Diffraction. Of particular interest are the effects of the above mentioned parameters on the zero-depth value, which gives indication of the amount of RS through the thickness, and on the value of the surface compressive stresses, which indicates the magnitude of induced stresses. A 2D-axisymmetrical Finite Element model was created for a preliminary estimation of the stress field trend. From experimental results, correlated with numerical and analytical analysis, the following conclusions can be drawn: increasing the spot size the zero-depth value increases with no significant change of the maximum compressive stress; the increase of coverage leads to significant increase of the compressive stress; thin samples of Al-alloy with low Hugoniot Elastic Limit (HEL reveal deeper compression field than alloy with higher HEL value.

  15. Numerical modeling of heat transfer during hydrogen absorption in thin double-layered annular ZrCo beds

    Directory of Open Access Journals (Sweden)

    Yehui Cui

    2018-06-01

    Full Text Available In this work a three-dimensional (3D hydrogen absorption model was proposed to study the heat transfer behavior in thin double-layered annular ZrCo beds. Numerical simulations were performed to investigate the effects of conversion layer thickness, thermal conductivity, cooling medium and its flow velocity on the efficiency of heat transfer. Results reveal that decreasing the layer thickness and improving the thermal conductivity enhance the ability of heat transfer. Compared with nitrogen and helium, water appears to be a better medium for cooling. In order to achieve the best efficiency of heat transfer, the flow velocity needs to be maximized. Keywords: Hydrogen storage, ZrCo metal hydride, Heat transfer, Three-dimensional simulation

  16. Building a Better Capacitor with Thin-Film Atomic Layer Deposition Processing

    Energy Technology Data Exchange (ETDEWEB)

    Pike, Christopher [North Seattle College, WA (United States)

    2015-08-28

    The goal of this research is to determine procedures for creating ultra-high capacity supercapacitors by using nanofabrication techniques and high k-value dielectrics. One way to potentially solve the problem of climate change is to switch the source of energy to a source that doesn’t release many tons of greenhouse gases, gases which cause global warming, into the Earth’s atmosphere. These trap in more heat from the Sun’s solar energy and cause global temperatures to rise. Atomic layer deposition will be used to create a uniform thin-film of dielectric to greatly enhance the abilities of our capacitors and will build them on the nanoscale.

  17. Thin layer chromatography of glucose and sorbitol on Cu(II)-impregnated silica gel plates

    Energy Technology Data Exchange (ETDEWEB)

    Hadzija, O. (Ruder Boskovic Inst., Zagreb (Croatia)); Spoljar, B. (Ruder Boskovic Inst., Zagreb (Croatia)); Sesartic, L. (Inst. of Immunology, Zagreb (Croatia))

    1994-04-01

    A thin-layer chromatographic (TLC) separation of glucose and sorbitol on CU(II)-impregnated silica gel plates with n-propanol: Water (4:1) v/v as developer and potassium permanganate as detecting reagent has been worked out. The new impregnant is completely insoluble in water and thus enables the use of an aqueous developer. The R[sub f]-values are 55 and 10 for glucose and sorbitol, respectively. (orig.)

  18. Triple-layer appearance of Brodmann area 4 at thin-section double inversion-recovery MR imaging.

    Science.gov (United States)

    Kim, Eung Yeop; Kim, Dong-Hyun; Chang, Jong-Hee; Yoo, Eunhye; Lee, Jae-Wook; Park, Hae-Jeong

    2009-02-01

    To investigate whether thin-section axial double inversion-recovery (DIR) brain magnetic resonance (MR) imaging at 3.0 T can help distinguish the primary motor cortex (PMC), or Brodmann area 4, from other selected cortical regions, including the primary sensory cortex (PSC), or Brodmann areas 1-3, on the basis of the presence of a "triple-layer" appearance. This prospective study was approved by the institutional review board; informed consent was obtained from patients. This study included 191 patients (94 female, age range, 5-80 years; 97 male, age range, 5-76 years) with normal findings at 3.0-T MR imaging. The presence or absence of a triple-layer appearance within selected cortical regions on DIR images was graded independently by two neuroradiologists as definitely present (grade 2), probably present (grade 1), or definitely absent (grade 0). Ten additional patients with tumors underwent DIR imaging and intraoperative cortical mapping for further validation of the PMC. A myelin-stained brain specimen image in a patient not imaged with DIR was correlated with a representative set of DIR images. A triple-layer appearance was found in the PMC bilaterally in 184 of 191 patients; grade 0 was assigned in only seven patients, who were all younger than 10 years. Grades were significantly lower in patients younger than 10 years than in others (P .0018). Interobserver agreement was excellent (weighted kappa = 0.843). The PMC determined on DIR images was confirmed with cortical mapping in all 10 patients with tumors. Triple-layer appearance was not present in the other cortical regions examined, including the PSC (P < .01). The triple-layer appearance on DIR images corresponded to the myelin band within the PMC present on the myelin-stained specimen image. A triple-layer appearance was found in the PMC at thin-section 3.0-T DIR imaging but not in other examined brain regions and therefore might be useful as an adjunct sign for identification of motor regions.

  19. Transparent conductive ZnO layers on polymer substrates: Thin film deposition and application in organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Dosmailov, M. [Institute of Applied Physics, Johannes Kepler University Linz, A-4040 Linz (Austria); Leonat, L.N. [Linz Institute for Organic Solar Cells (LIOS)/Institute of Physical Chemistry, Johannes Kepler University Linz, A-4040 Linz (Austria); Patek, J. [Institute of Applied Physics, Johannes Kepler University Linz, A-4040 Linz (Austria); Roth, D.; Bauer, P. [Institute of Experimental Physics, Johannes Kepler University Linz, A-4040 Linz (Austria); Scharber, M.C.; Sariciftci, N.S. [Linz Institute for Organic Solar Cells (LIOS)/Institute of Physical Chemistry, Johannes Kepler University Linz, A-4040 Linz (Austria); Pedarnig, J.D., E-mail: johannes.pedarnig@jku.at [Institute of Applied Physics, Johannes Kepler University Linz, A-4040 Linz (Austria)

    2015-09-30

    Aluminum doped ZnO (AZO) and pure ZnO thin films are grown on polymer substrates by pulsed-laser deposition and the optical, electrical, and structural film properties are investigated. Laser fluence, substrate temperature, and oxygen pressure are varied to obtain transparent, conductive, and stoichiometric AZO layers on polyethylene terephthalate (PET) that are free of cracks. At low fluence (1 J/cm{sup 2}) and low pressure (10{sup −3} mbar), AZO/PET samples of high optical transmission in the visible range, low electrical sheet resistance, and high figure of merit (FOM) are produced. AZO films on fluorinated ethylene propylene have low FOM. The AZO films on PET substrates are used as electron transport layer in inverted organic solar cell devices employing P3HT:PCBM as photovoltaic polymer-fullerene bulk heterojunction. - Highlights: • Aluminum doped and pure ZnO thin films are grown on polyethylene terephthalate. • Growth parameters laser fluence, temperature, and gas pressure are optimized. • AZO films on PET have high optical transmission and electrical conductance (FOM). • Organic solar cells on PET using AZO as electron transport layer are made. • Power conversion efficiency of these OSC devices is measured.

  20. A New Solution for the Compression of a Two-Layer Strip and Its Application to Analysis of Bonding by Rolling

    Directory of Open Access Journals (Sweden)

    Sergei Alexandrov

    2014-01-01

    Full Text Available The paper presents a theoretical study on the compression of a two-layer strip of strain-hardening rigid-plastic materials between rigid platens. Semianalytical solutions are obtained for stress and velocity fields in each layer. Special attention is devoted to the conditions corresponding to the beginning of cold bond formation between the layers. Depending on input parameters various general deformation patterns are possible. In particular, there exists such a range of process parameters that the soft metal layer yields while the hard metal layer is rigid at the beginning of the process. As the deformation proceeds, yielding also starts in the hard metal layer and the entire strip becomes plastic. This is a typical deformation pattern adopted in describing the process of joining by rolling. However, at a certain range of input parameters plastic deformation of the entire strip begins at the initial instant. Moreover, it is possible that only the hard metal layer yields while the soft metal layer does not. This deformation pattern takes place when the thickness of the soft metal layer is much smaller than that of the hard metal layer.