WorldWideScience

Sample records for thick gan films

  1. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  2. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  3. Theoretical investigation of electronic, magnetic and optical properties of Fe doped GaN thin films

    International Nuclear Information System (INIS)

    Salmani, E.; Mounkachi, O.; Ez-Zahraouy, H.; Benyoussef, A.; Hamedoun, M.; Hlil, E.K.

    2013-01-01

    Highlights: •Magnetic and optical properties Fe-doped GaN thin films are studied using DFT. •The band gaps of GaN thin films are larger than the one of the bulk. •The layer thickness and acceptor defect can switch the magnetic ordering. -- Abstract: Using first principles calculations based on spin-polarized density functional theory, the magnetic and optical properties of GaN and Fe-doped GaN thin films with and without acceptor defect is studied. The band structure calculations show that the band gaps of GaN thin films with 2, 4 and 6 layers are larger than the one of the bulk with wurtzite structure and decreases with increasing the film thickness. In Fe doped GaN thin films, we show that layer of thickness and acceptor defect can switch the magnetic ordering from disorder local moment (DLM) to ferromagnetic (FM) order. Without acceptor defect Fe doped GaN exhibits spin glass phase in 4 layers form and ferromagnetic state for 2 layers form of the thin films, while it exhibits ferromagnetic phase with acceptor defect such as vacancies defect for 2 and 4 layers. In the FM ordering, the thin films is half-metallic and is therefore ideal for spin application. The different energy between ferromagnetic state and disorder local moment state was evaluated. Moreover, the optical absorption spectra obtained by ab initio calculations confirm the ferromagnetic stability based on the charge state of magnetic impurities

  4. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  5. First-principle-based full-dispersion Monte Carlo simulation of the anisotropic phonon transport in the wurtzite GaN thin film

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Ruikang; Hu, Run, E-mail: hurun@hust.edu.cn, E-mail: luoxb@hust.edu.cn; Luo, Xiaobing, E-mail: hurun@hust.edu.cn, E-mail: luoxb@hust.edu.cn [State Key Laboratory of Coal Combustion and Thermal Packaging Laboratory, School of Energy and Power Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-04-14

    In this study, we developed a first-principle-based full-dispersion Monte Carlo simulation method to study the anisotropic phonon transport in wurtzite GaN thin film. The input data of thermal properties in MC simulations were calculated based on the first-principle method. The anisotropy of thermal conductivity in bulk wurtzite GaN is found to be strengthened by isotopic scatterings and reduced temperature, and the anisotropy reaches 40.08% for natural bulk GaN at 100 K. With the GaN thin film thickness decreasing, the anisotropy of the out-of-plane thermal conductivity is heavily reduced due to both the ballistic transport and the less importance of the low-frequency phonons with anisotropic group velocities. On the contrary, it is observed that the in-plane thermal conductivity anisotropy of the GaN thin film is strengthened by reducing the film thickness. And the anisotropy reaches 35.63% when the natural GaN thin film thickness reduces to 50 nm at 300 K with the degree of specularity being zero. The anisotropy is also improved by increasing the surface roughness of the GaN thin film.

  6. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  7. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  8. Effect of diffraction and film-thickness gradients on wafer-curvature measurements of thin-film stress

    International Nuclear Information System (INIS)

    Breiland, W.G.; Lee, S.R.; Koleske, D.D.

    2004-01-01

    When optical measurements of wafer curvature are used to determine thin-film stress, the laser beams that probe the sample are usually assumed to reflect specularly from the curved surface of the film and substrate. Yet, real films are not uniformly thick, and unintended thickness gradients produce optical diffraction effects that steer the laser away from the ideal specular condition. As a result, the deflection of the laser in wafer-curvature measurements is actually sensitive to both the film stress and the film-thickness gradient. We present a Fresnel-Kirchhoff optical diffraction model of wafer-curvature measurements that provides a unified description of these combined effects. The model accurately simulates real-time wafer-curvature measurements of nonuniform GaN films grown on sapphire substrates by vapor-phase epitaxy. During thin-film growth, thickness gradients cause the reflected beam to oscillate asymmetrically about the ideal position defined by the stress-induced wafer curvature. This oscillating deflection has the same periodicity as the reflectance of the growing film, and the deflection amplitude is a function of the film-thickness gradient, the mean film thickness, the wavelength distribution of the light source, the illuminated spot size, and the refractive indices of the film and substrate. For typical GaN films grown on sapphire, misinterpretation of these gradient-induced oscillations can cause stress-measurement errors that approach 10% of the stress-thickness product; much greater errors occur in highly nonuniform films. Only transparent films can exhibit substantial gradient-induced deflections; strongly absorbing films are immune

  9. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  10. Luminescence evolution of porous GaN thin films prepared via UV-assisted electrochemical etching

    International Nuclear Information System (INIS)

    Cheah, S.F.; Lee, S.C.; Ng, S.S.; Yam, F.K.; Abu Hassan, H.; Hassan, Z.

    2015-01-01

    Porous gallium nitride (GaN) thin films with different surface morphologies and free carriers properties were fabricated from Si-doped GaN thin films using ultra-violet assisted electrochemical etching approach under various etching voltages. Fluctuation of luminescence signals was observed in the photoluminescence spectra of porous GaN thin films. Taking advantage of the spectral sensitivity of infrared attenuated total reflection spectroscopy on semiconductor materials, roles of free carriers and porous structure in controlling luminescence properties of GaN were investigated thoroughly. The results revealed that enhancement in luminescence signal is not always attained upon porosification. Although porosification is correlated to the luminescence enhancement, however, free carrier is the primary factor to enhance luminescence intensity. Due to unavoidable significant reduction of free carriers from Si-doped GaN in the porosification process, control of etching depth (i.e., thickness of porous layer formed from the Si-doped layer) is critical in fabricating porous GaN thin film with enhanced luminescence response. - Highlights: • Various pore morphologies with free carrier properties are produced by Si-doped GaN. • Free carriers are important to control the luminescence signal of porous GaN. • Enhancement of luminescence signal relies on the pore depth of Si-doped layer

  11. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. P-type surface effects for thickness variation of 2um and 4um of n-type layer in GaN LED

    Science.gov (United States)

    Halim, N. S. A. Abdul; Wahid, M. H. A.; Hambali, N. A. M. Ahmad; Rashid, S.; Ramli, M. M.; Shahimin, M. M.

    2017-09-01

    The internal quantum efficiency of III-Nitrides group, GaN light-emitting diode (LED) has been considerably limited due to the insufficient hole injection and this is caused by the lack of performance p-type doping and low hole mobility. The low hole mobility makes the hole less energetic, thus reduced the performance operation of GaN LED itself. The internal quantum efficiency of GaN-based LED with surface roughness (texture) can be changed by texture size, density, and thickness of GaN film or by the combined effects of surface shape and thickness of GaN film. Besides, due to lack of p-type GaN, attempts to look forward the potential of GaN LED relied on the thickness of n-type layer and surface shape of p-type GaN layer. This work investigates the characteristics of GaN LED with undoped n-GaN layer of different thickness and the surface shape of p-type layer. The LEDs performance is significantly altered by modifying the thickness and shape. Enhancement of n-GaN layer has led to the annihilation of electrical conductivity of the chip. Different surface geometry governs the emission rate extensively. Internal quantum efficiency is also predominantly affected by the geometry of n-GaN layer which subjected to the current spreading. It is recorded that the IQE droop can be minimized by varying the thickness of the active layer without amplifying the forward voltage. Optimum forward voltage (I-V), total emission rate relationship with the injected current and internal quantum efficiency (IQE) for 2,4 µm on four different surfaces of p-type layer are also reported in this paper.

  13. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  14. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  15. In-situ TEM study of domain switching in GaN thin films

    Science.gov (United States)

    Wang, Baoming; Wang, Tun; Haque, Aman; Snure, Michael; Heller, Eric; Glavin, Nicholas

    2017-09-01

    Microstructural response of gallium nitride (GaN) films, grown by metal-organic chemical vapor deposition, was studied as a function of applied electrical field. In-situ transmission electron microscopy showed sudden change in the electron diffraction pattern reflecting domain switching at around 20 V bias, applied perpendicular to the polarization direction. No such switching was observed for thicker films or for the field applied along the polarization direction. This anomalous behavior is explained by the nanoscale size effects on the piezoelectric coefficients of GaN, which can be 2-3 times larger than the bulk value. As a result, a large amount of internal energy can be imparted in 100 nm thick films to induce domain switching at relatively lower voltages to induce such events at the bulk scale.

  16. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  17. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  18. Surface chemistry and electronic structure of nonpolar and polar GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, T.C. Shibin; Aggarwal, Neha; Gupta, Govind, E-mail: govind@nplindia.org

    2015-08-01

    Highlights: • Surface chemistry and electronic structure of polar and nonpolar GaN is reported. • Influence of polarization on electron affinity of p & np GaN films is investigated. • Correlation between surface morphology and polarity has been deduced. - Abstract: Photoemission and microscopic analysis of nonpolar (a-GaN/r-Sapphire) and polar (c-GaN/c-Sapphire) epitaxial gallium nitride (GaN) films grown via RF-Molecular Beam Epitaxy is reported. The effect of polarization on surface properties like surface states, electronic structure, chemical bonding and morphology has been investigated and correlated. It was observed that polarization lead to shifts in core level (CL) as well as valence band (VB) spectra. Angle dependent X-ray Photoelectron Spectroscopic analysis revealed higher surface oxide in polar GaN film compared to nonpolar GaN film. On varying the take off angle (TOA) from 0° to 60°, the Ga−O/Ga−N ratio varied from 0.11–0.23 for nonpolar and 0.17–0.36 for polar GaN film. The nonpolar film exhibited N-face polarity while Ga-face polarity was perceived in polar GaN film due to the inherent polarization effect. Polarization charge compensated surface states were observed on the polar GaN film and resulted in downward band bending. Ultraviolet photoelectron spectroscopic measurements revealed electron affinity and ionization energy of 3.4 ± 0.1 eV and 6.8 ± 0.1 eV for nonpolar GaN film and 3.8 ± 0.1 eV and 7.2 ± 0.1 eV for polar GaN film respectively. Field Emission Scanning Electron Microscopy measurements divulged smooth morphology with pits on polar GaN film. The nonpolar film on the other hand showed pyramidal structures having facets all over the surface.

  19. Effect of the Ti-Nanolayer Thickness on the Self-Lift-off of Thick GaN Epitaxial Layers

    International Nuclear Information System (INIS)

    Yugov, A. A.; Malahov, S. S.; Donskov, A. A.; Duhnovskii, M. P.; Knyazev, S. N.; Kozlova, Yu. P.; Yugova, T. G.; Belogorokhov, I. A.

    2016-01-01

    The effect of the type of substrate, sapphire substrate (c- and r-orientation) or GaN/Al_2O_3 template (c- and r-orientations), on the nitridation of an amorphous titanium nanolayer is shown. The effect of the titanium-nanolayer thickness on thick GaN epitaxial layer self-separation from the substrate is revealed. The titanium-nanolayer thickness at which thick GaN layer is reproducibly self-separated is within 20–40 nm.

  20. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  1. The origin of the residual conductivity of GaN films on ferroelectric materials

    Science.gov (United States)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  2. Large third-order nonlinearity of nonpolar A-plane GaN film at 800 nm determined by Z-scan technology

    Science.gov (United States)

    Zhang, Feng; Han, Xiangyun

    2014-09-01

    We report an investigation on the optical third-order nonlinear property of the nonpolar A-plane GaN film. The film sample with a thickness of ~2 μm was grown on an r-plane sapphire substrate by metal-organic chemical vapor deposition system. By performing the Z-scan method combined with a mode-locked femtosecond Ti:sapphire laser (800 nm, 50 fs), the optical nonlinearity of the nonpolar A-plane GaN film was measured with the electric vector E of the laser beam being polarized parallel (//) and perpendicular (⊥) to the c axis of the film. The results show that both the third-order nonlinear absorption coefficient β and the nonlinear refractive index n2 of the sample film possess negative and large values, i.e. β// = -135 ± 29 cm/GW, n2// = -(4.0 ± 0.3) × 10-3 cm2/GW and β⊥ = -234 ± 29 cm/GW, n2⊥ = -(4.9 ± 0.4) × 10-3 cm2/GW, which are much larger than those of conventional C-plane GaN film, GaN bulk, and even the other oxide semiconductors.

  3. Defect analysis in GaN films of HEMT structure by cross-sectional cathodoluminescence

    Science.gov (United States)

    Isobe, Yasuhiro; Hung, Hung; Oasa, Kohei; Ono, Tasuku; Onizawa, Takashi; Yoshioka, Akira; Takada, Yoshiharu; Saito, Yasunobu; Sugiyama, Naoharu; Tsuda, Kunio; Sugiyama, Toru; Mizushima, Ichiro

    2017-06-01

    Defect analysis of GaN films in high electron mobility transistor (HEMT) structures by cross-sectional cathodoluminescence (X-CL) is demonstrated as a useful technique for improving the current collapse of GaN-HEMT devices, and the relationship between crystal quality and device characteristics is also investigated. The crystal quality of intrinsic-GaN (i-GaN) and carbon-doped GaN produced clearly different peak intensities of blue luminescence (BL), yellow luminescence (YL), and band-edge emission (BE), which is independently detected by X-CL. Current collapse in GaN-HEMT devices is found to be determined by the BL/BE and YL/BE ratios at the top of the i-GaN layer, which is close to the channel. Moreover, the i-GaN thickness required in order to minimize the BL/BE and YL/BE ratios and the thickness dependency of GaN for minimizing the BL/BE and YL/BE ratios depending on the growth conditions can be evaluated by X-CL. However, there is no correlation between current collapse in GaN-HEMT devices and the YL/BE ratio by conventional photoluminescence because HEMT devices consist of multiple GaN layers and the YL signal is detected from the carbon-doped GaN layer. Thus, the X-CL analysis method is a useful technique for device design in order to suppress current collapse.

  4. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  5. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  6. Structural characterisation of GaN and GaN:O thin films

    International Nuclear Information System (INIS)

    Granville, S.; Budde, F.; Koo, A.; Ruck, B.J.; Trodahl, H.J.; Bittar, A.; Metson, J.B.; James, B.J.; Kennedy, V.J.; Markwitz, A.; Prince, K.E.

    2005-01-01

    In its crystalline form, the wide band-gap semiconductor GaN is of exceptional interest in the development of suitable materials for short wavelength optoelectronic devices. One of the barriers to its potential usefulness however is the large concentration of defects present even in MBE-grown material often due to the lattice mismatch of the GaN with common substrate materials. Calculations have suggested that GaN films grown with an amorphous structure retain many of the useful properties of the crystalline material, including the wide band-gap and a low density of states in the gap, and thus may be a suitable alternative to the single crystal GaN for a variety of applications. We have performed structural and compositional measurements on heavily disordered GaN thin films with and without measureable O and H concentrations grown using ion-assisted deposition. X-ray diffraction and x-ray absorption fine structure measurements show that stoichiometric films are composed of nanocrystallites of ∼3-4 nm in size and that GaN films containing O to 10 at % or greater are amorphous. Rutherford backscattering spectroscopy (RBS) was performed and nuclear reaction analysis (NRA) measurements were made to determine the elemental composition of the films and elastic recoil detection (ERD) detected the hydrogen concentrations. Secondary ion mass spectroscopy (SIMS) measurements were used to depth profile the films. X-ray photoelectron spectroscopy (XPS) measurements probed the bonding environment of the Ga in the films. (author). 2 figs., 1 tab

  7. Properties of TiO2-based transparent conducting oxide thin films on GaN(0001) surfaces

    International Nuclear Information System (INIS)

    Kasai, J.; Nakao, S.; Yamada, N.; Hitosugi, T.; Moriyama, M.; Goshonoo, K.; Hoang, N. L. H.; Hasegawa, T.

    2010-01-01

    Anatase Nb-doped TiO 2 transparent conducting oxide has been formed on GaN(0001) surfaces using a sputtering method. Amorphous films deposited at room temperature were annealed at a substrate temperature of 500 deg. C in vacuum to form single-phase anatase films. Films with a thickness of 170 nm exhibited a resistivity of 8x10 -4 Ω cm with absorptance less than 5% at a wavelength of 460 nm. Furthermore, the refractive index of the Nb-doped TiO 2 was well matched to that of GaN. These findings indicate that Nb-doped TiO 2 is a promising material for use as transparent electrodes in GaN-based light emitting diodes (LEDs), particularly since reflection at the electrode/GaN boundary can be suppressed, enhancing the external quantum efficiency of blue LEDs.

  8. Thermoelastic Stress Field Investigation of GaN Material for Laser Lift-off Technique based on Finite Element Method

    International Nuclear Information System (INIS)

    Ting, Wang; Zhan-Zhong, Cui; Li-Xin, Xu

    2009-01-01

    The transient thermoelastic stress fields of GaN films is analyzed by the finite element method for the laser lift-off (LLO) technique. Stress distributions in GaN films irradiated by pulse laser with different energy densities as functions of time and depth are simulated. The results show that the high thermoelastic stress distributions in GaN films localize within about 1 μm below the GaN/Al 2 O 3 interface using proper laser parameters. It is also found that GaN films can avoid the thermal deformation because the maximum thermoelastic stress 4.28 GPa is much smaller than the yield strength of GaN 15GPa. The effects of laser beam dimension and the thickness of GaN films on stress distribution are also analyzed. The variation range of laser beam dimension as a function of the thickness of GaN films is simulated to keep the GaN films free of thermal deformation. LLO experiments are also carried out. GaN-based light-emitting diodes (LEDs) are separated from sapphire substrates using the parameters obtained from the simulation. Compared with devices before LLO, P–I–V measurements of GaN-based LEDs after LLO show that the electrical and optical characteristics improve greatly, indicating that no stress damage is brought to GaN films using proper parameters obtained by calculation during LLO

  9. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  10. Mn doped GaN thin films and nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Šofer, Z.; Sedmidubský, D.; Huber, Š.; Hejtmánek, Jiří; Macková, Anna; Fiala, R.

    2012-01-01

    Roč. 9, 8-9 (2012), s. 809-824 ISSN 1475-7435 R&D Projects: GA ČR GA104/09/0621 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : GaN nanoparticles * GaN thin films * manganese * transition metals * MOVPE * ion implantations Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.087, year: 2012

  11. Film thickness degradation of Au/GaN Schottky contact characteristics

    International Nuclear Information System (INIS)

    Wang, K.; Wang, R.X.; Fung, S.; Beling, C.D.; Chen, X.D.; Huang, Y.; Li, S.; Xu, S.J.; Gong, M.

    2005-01-01

    Electrical characteristics of Au/n-GaN Schottky contacts with different Au film thicknesses up to 1300 A, have been investigated using current-voltage (I-V) and capacitance-voltage (C-V) techniques. Results show a steady decrease in the quality of the Schottky diodes for increasing Au film thickness. I-V measurements indicate that thin ( 500 A). Depth profiling Auger electron spectroscopy (AES) shows that the width of the Au/GaN junction interface increases with increasing Au thickness, suggesting considerable inter-mixing of Au, Ga and N. The results have been interpreted in terms of Ga out-diffusion from the GaN giving rise to gallium vacancies that in turn act as sites for electron-hole pair generation within the depletion region. The study supports the recent suggestion that gallium vacancies associated with threaded dislocations are playing an important role in junction breakdown

  12. On the laser lift-off of lightly doped micrometer-thick n-GaN films from substrates via the absorption of IR radiation in sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Voronenkov, V. V. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Virko, M. V.; Kogotkov, V. S.; Leonidov, A. A. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Pinchuk, A. V.; Zubrilov, A. S.; Gorbunov, R. I.; Latishev, F. E.; Bochkareva, N. I.; Lelikov, Y. S.; Tarkhin, D. V.; Smirnov, A. N.; Davydov, V. Y. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Sheremet, I. A. [Financial University under the Government of the Russian Federation (Russian Federation); Shreter, Y. G., E-mail: y.shreter@mail.ioffe.ru [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The intense absorption of CO{sub 2} laser radiation in sapphire is used to separate GaN films from GaN templates on sapphire. Scanning of the sapphire substrate by the laser leads to the thermal dissociation of GaN at the GaN/sapphire interface and to the detachment of GaN films from the sapphire. The threshold density of the laser energy at which n-GaN started to dissociate is 1.6 ± 0.5 J/cm{sup 2}. The mechanical-stress distribution and the surface morphology of GaN films and sapphire substrates before and after laser lift-off are studied by Raman spectroscopy, atomic-force microscopy, and scanning electron microscopy. A vertical Schottky diode with a forward current density of 100 A/cm{sup 2} at a voltage of 2 V and a maximum reverse voltage of 150 V is fabricated on the basis of a 9-μm-thick detached n-GaN film.

  13. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  14. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  15. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  16. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  17. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  18. Barrier characteristics of Pt/Ru Schottky contacts on n-type GaN ...

    Indian Academy of Sciences (India)

    Pt/Ru Schottky rectifiers; n-type GaN; temperature–dependent electrical properties; inhomogeneous barrier heights .... a 2 μm thick Si-doped GaN films which were grown by .... ted values of ap using (9) for two Gaussian distributions of bar-.

  19. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  20. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  1. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  2. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  3. Secondary ion mass spectrometry analysis of In-doped p-type GaN films

    International Nuclear Information System (INIS)

    Chiou, C.Y.; Wang, C.C.; Ling, Y.C.; Chiang, C.I.

    2003-01-01

    SIMS was used to investigate the isoelectronic In-doped p-type GaN films. The growth rate of the p-type GaN film decreased with increasing Mg and In doping. The Mg saturation in GaN was 3.55x10 19 atoms/cm 3 . The role of In as surfactant was evaluated by varying In concentrations and it was observed that the surface appeared smooth with increasing In incorporation. The Mg solubility in p-type GaN improved to 0.0025% molar ratio of the GaN with In incorporation. The In concentration results observed in neutron activation analysis (NAA) were found to be higher by a factor of 2.88 than that observed in SIMS and can be attributed to the difference in sensitivity of the two techniques. Good linearity in the results was observed from both techniques

  4. Tuning electronic and magnetic properties of GaN nanosheets by surface modifications and nanosheet thickness.

    Science.gov (United States)

    Xiao, Meixia; Yao, Tingzhen; Ao, Zhimin; Wei, Peng; Wang, Danghui; Song, Haiyang

    2015-04-14

    Density-functional theory calculations are performed to investigate the effects of surface modifications and nanosheet thickness on the electronic and magnetic properties of gallium nitride (GaN) nanosheets (NSs). Unlike the bare GaN NSs terminating with polar surfaces, the systems with hydrogenated Ga (H-GaN), fluorinated Ga (F-GaN), and chlorinated Ga (Cl-GaN) preserve their initial wurtzite structures and exhibit ferromagnetic states. The abovementioned three different decorations on Ga atoms are energetically more favorable for thicker GaN NSs. Moreover, as the thickness increases, H-GaN and F-GaN NSs undergo semiconductor to metal and half-metal to metal transition, respectively, while Cl-GaN NSs remain completely metallic. The predicted diverse and tunable electronic and magnetic properties highlight the potential of GaN NSs for novel electronic and spintronic nanodevices.

  5. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  6. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  7. X-ray absorption and emission study of amorphous and nanocrystalline GaN films containing buried N2

    International Nuclear Information System (INIS)

    Ruck, B.J.; Koo, A.; Budde, F.; Granville, S.; Trodahl, H.J.

    2004-01-01

    Full text: It has been predicted that amorphous gallium nitride (a-GaN) may possess a well-defined wide band gap, and is thus a potential substitute for the more expensive crystalline form used in short wavelength optoelectronic devices. Experimental investigations of disordered GaN have lent support to this prediction, but the picture is complicated because the properties of the amorphous state are not unique, and instead depend on the exact nature of the disordered structure. We have pioneered a novel ion-assisted growth technique that produces GaN films with a microstructure that ranges from nanocrystalline, with crystallite size of order 3 nm, to fully amorphous, depending on the exact growth conditions. This presentation will give an overview of our research into the properties of disordered GaN, including characterization of the physical structure of the films and their electronic energy levels, and also their photoconductive response. In particular I will focus on synchrotron radiation studies of samples with a range of different microstructures. X-ray absorption spectroscopy (XAS) and x-ray emission spectroscopy (XES) provide particularly powerful tools for examining a sample's empty and filled electronic energy levels, respectively. The details of the absorption and emission processes make it possible to obtain atom-specific information and to investigate the symmetry of the electronic levels. An example of the information obtained is shown. The thin solid curve shows XAS data, which is a measure of the nitrogen /7-projected density of unfilled electronic states in this nanocrystalline GaN sample. The thick solid curve shows XES data from the same sample, which provides complementary information about the occupied valence band states. Although the spectral features are broader in fully amorphous films than in nanocrystalline samples, a well-defined band gap exists in both cases with magnitude similar to that of crystalline GaN. There are additional feature

  8. Influence of the GaN spacer thickness on the structural and photoluminescence properties of multi-stack InN/GaN quantum dots

    International Nuclear Information System (INIS)

    Ke, Wen-Cheng; Lee, Shuo-Jen; Chen, Shiow-Long; Kao, Chia-Yu; Houng, Wei-Chung; Wei, Chih-An; Su, Yi-Ru

    2012-01-01

    Highlights: ► We present structural and photoluminescence characteristics of multi-stack InN/GaN QDs. ► A single crystalline 10-nm thick GaN capping layer is grown on the InN QDs. ► The PL intensity of the three-layer stacked sample is about 3 times that of the single-layer sample. - Abstract: This paper reports the structural and photoluminescence (PL) characteristics of single-layer and multi-stack InN/GaN quantum dots (QDs) with varying spacer thickness. A single crystalline 10-nm thick GaN capping layer is grown on the InN QDs by the flow-rate modulation epitaxy (FME) method. The PL peak is red shifted down to 18 meV and its full width at half maximum (FWHM) was narrowed from 104 meV to 77 meV as increasing GaN capping layer thickness to 20-nm. The red-shift and the linewidth narrowing of the PL spectra for the single-layer InN QDs as a result of the increase in capping thickness are believed to be due to the fact that the GaN capping layer decreases the surface defect density thereby decreasing the surface electron concentration of the InN QDs. However, the PL intensity decreases rapidly with the increase in GaN spacer thickness for the three-layer stacked InN/GaN QDs. Because of kinetic roughening, the 20-nm thick GaN capping layer shows a roughened surface. This roughened GaN capping layer degrades the InN QDs growth in the next layer of multi-stack InN QDs. In addition, the increased compressive strain on the InN QDs with the increase in GaN spacer thickness increases the defect density at the InN/GaN capped interface and will further decrease the PL intensity. After the GaN spacer thickness is modified, the PL intensity of the three-layer stacked sample with a 10-nm thick GaN spacer layer is about 3 times that of the single-layer sample.

  9. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    Science.gov (United States)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  10. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  11. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  12. High temperature refractive indices of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.; Stepanov, S.; Gott, A.; Shields, P.A.; Zhirnov, E.; Wang, W.N. [Department of Physics, University of Bath, Bath, BA2 7AY (United Kingdom); Steimetz, E.; Zettler, J.T. [LayTec, Helmholtzstr. 13-14, 10587 Berlin (Germany)

    2006-06-15

    Undoped GaN (u-GaN) films were grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE) on sapphire substrates. In situ optical monitoring was applied to the growth process either using a LayTec EpiR-DA TT spectroscopic reflectometer or Filmetrics F30. Refractive indices of u-GaN films at 1060 C were obtained in a spectral range from 370-900 nm. A peak at 412{+-}5 nm in refractive index spectra was observed, which most likely corresponds to the band-gap of hexagonal GaN at a temperature of 1060 C. Refractive indices below this band-gap are fitted well to the first-order Sellmeier formula. As an example of the applications of the refractive indices, the effective film thicknesses of GaN during the resumption from 3 dimensional (3D) to 2 dimensional (2D) growth have been calculated from the spectra recorded by a LayTec system using the optical constants obtained. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  14. Spectroscopic and magnetic properties of Mn doped GaN epitaxial films grown by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vidyasagar, R.; Lin, Y.-T.; Tu, L.-W.

    2012-01-01

    Graphical abstract: We report here that micro-Raman scattering spectrum for Mn doped GaN thin film has displayed a new peak manifested at 578 cm −1 , by which it is attributed to interior LVM originated by the incorporation of Mn ions in place of Ga sites. Mn doped GaN thin film also showed the typical negative magnetoresistance up to ∼50 K, revealing that the film showed magnetic ordering of spins below 50 K. Display Omitted Highlights: ► GaN and Mn doped GaN single phase wurtzite structures grown by PAMBE. ► The phase purity of the epilayers investigated by HRXRD, HRSEM and EDX. ► The red shift in near band edge emission has been observed using micro-PL. ► A new peak related LVM at 578 cm −1 in micro-Raman scattering measurements confirmed Mn doped into GaN. ► Negative-magnetoresistance investigations have showed that the film has T c −1 , which is attributed to the vacancy-related local vibrational mode of Mn occupying the Ga site. Temperature dependent negative magnetoresistance measurements provide a direct evidence of magnetic ordering below 50 K for the Mn doped GaN thin film.

  15. Equilibrium helium film in the thick film limit

    International Nuclear Information System (INIS)

    Klier, J.; Schletterer, F.; Leiderer, P.; Shikin, V.

    2003-01-01

    For the thickness of a liquid or solid quantum film, like liquid helium or solid hydrogen, there exist still open questions about how the film thickness develops in certain limits. One of these is the thick film limit, i.e., the crossover from the thick film to bulk. We have performed measurements in this range using the surface plasmon resonance technique and an evaporated Ag film deposited on glass as substrate. The thickness of the adsorbed helium film is varied by changing the distance h of the bulk reservoir to the surface of the substrate. In the limiting case, when h > 0, the film thickness approaches about 100 nm following the van der Waals law in the retarded regime. The film thickness and its dependence on h is precisely determined and theoretically modeled. The equilibrium film thickness behaviour is discussed in detail. The agreement between theory and experiment is very good

  16. Growth and characterizations of GaN micro-rods on graphene films for flexible light emitting diodes

    Directory of Open Access Journals (Sweden)

    Kunook Chung

    2014-09-01

    Full Text Available We report the growth of GaN micro-rods and coaxial quantum-well heterostructures on graphene films, together with structural and optical characterization, for applications in flexible optical devices. Graphene films were grown on Cu foil by means of chemical vapor deposition, and used as the substrates for the growth of the GaN micro-rods, which were subsequently transferred onto SiO2/Si substrates. Highly Si-doped, n-type GaN micro-rods were grown on the graphene films using metal–organic chemical vapor deposition. The growth and vertical alignment of the GaN micro-rods, which is a critical factor for the fabrication of high-performance light-emitting diodes (LEDs, were characterized using electron microscopy and X-ray diffraction. The GaN micro-rods exhibited promising photoluminescence characteristics for optoelectronic device applications, including room-temperature stimulated emission. To fabricate flexible LEDs, InxGa1–xN/GaN multiple quantum wells and a p-type GaN layer were deposited coaxially on the GaN micro-rods, and transferred onto Ag-coated polymer substrates using lift-off. Ti/Au and Ni/Au metal layers were formed to provide electrical contacts to the n-type and p-type GaN regions, respectively. The micro-rod LEDs exhibited intense emission of visible light, even after transfer onto the flexible polymer substrate, and reliable operation was achieved following numerous cycles of mechanical deformation.

  17. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  18. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    Science.gov (United States)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  19. Performance Analysis of GaN Capping Layer Thickness on GaN/AlGaN/GaN High Electron Mobility Transistors.

    Science.gov (United States)

    Sharma, N; Periasamy, C; Chaturvedi, N

    2018-07-01

    In this paper, we present an investigation of the impact of GaN capping layer and AlGaN layer thickness on the two-dimensional (2D)-electron mobility and the carrier concentration which was formed close to the AlGaN/GaN buffer layer for Al0.25Ga0.75N/GaN and GaN/Al0.25Ga0.75N/GaN heterostructures deposited on sapphire substrates. The results of our analysis clearly indicate that expanding the GaN capping layer thickness from 1 nm to 100 nm prompts an increment in the electron concentration at hetero interface. As consequence of which drain current was additionally increments with GaN cap layer thicknesses, and eventually saturates at approximately 1.85 A/mm for capping layer thickness greater than 40 nm. Interestingly, for the same structure, the 2D-electron mobility, decrease monotonically with GaN capping layer thickness, and saturate at approximately 830 cm2/Vs for capping layer thickness greater than 50 nm. A device with a GaN cap layer didn't exhibit gate leakage current. Furthermore, it was observed that the carrier concentration was first decrease 1.03 × 1019/cm3 to 6.65 × 1018/cm3 with AlGaN Layer thickness from 5 to 10 nm and after that it increases with the AlGaN layer thickness from 10 to 30 nm. The same trend was followed for electric field distributions. Electron mobility decreases monotonically with AlGaN layer thickness. Highest electron mobility 1354 cm2/Vs were recorded for the AlGaN layer thickness of 5 nm. Results obtained are in good agreement with published experimental data.

  20. Growth optimization for thick crack-free GaN layers on sapphire with HVPE

    Energy Technology Data Exchange (ETDEWEB)

    Richter, E.; Hennig, Ch.; Kissel, H.; Sonia, G.; Zeimer, U.; Weyers, M. [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, 12489 Berlin (Germany)

    2005-05-01

    Conditions for optimized growth of thick GaN layers with crack-free surfaces by HVPE are reported. It was found that a 1:1 mixture of H{sub 2}/N{sub 2} as carrier gas leads to the lowest density of cracks in the surface. Crack formation also depends on the properties of the GaN/sapphire templates used. Best results have been obtained for 5 {mu}m thick GaN/sapphire templates grown by MOVPE with medium compressive strain {epsilon}{sub zz} of about 0.05%. But there is no simple dependence of the crack formation on the strain status of the starting layer indicating that the HVPE growth of GaN can itself introduce strong tensile strain. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  2. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    Science.gov (United States)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  3. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  4. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  5. Effect of substrate mis-orientation on GaN thin films grown by MOCVD under different carrier gas condition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2005-05-01

    We have studied the effect of a slight mis-orientation angle on surface and crystal quality of GaN thin films grown under different carrier gas conditions. Two types of carrier gas conditions were applied to the growth. One was pure H{sub 2} and the other was mixed N{sub 2}/H{sub 2}. As the result, we found dependence of surface and crystal quality of GaN thin films on the substrate mis-orientation angle, and they indicated almost the same tendency under both growth conditions. Therefore, it was confirmed that mis-orientation angle of sapphire substrate was one of the most critical factors for GaN thin films. Then, the effect of the additional N{sub 2} into the conventional H{sub 2} carrier gas was studied, and we found that the conversion of carrier gas from the conventional H{sub 2} to N{sub 2}/H{sub 2} mixture was effective against degradation of GaN crystallinity at any mis-orientation angle. Considering that the crystal quality of GaN thin films became insensitive to mis-orientation angle as the condition became more suitable for GaN growth, the optimal substrate mis-orientation angle was consequently decided to be approximately 0.15 from the morphological aspect. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  7. Partially filled intermediate band of Cr-doped GaN films

    International Nuclear Information System (INIS)

    Sonoda, S.

    2012-01-01

    We investigated the band structure of sputtered Cr-doped GaN (GaCrN) films using optical absorption, photoelectron yield spectroscopy, and charge transport measurements. It was found that an additional energy band is formed in the intrinsic band gap of GaN upon Cr doping, and that charge carriers in the material move in the inserted band. Prototype solar cells showed enhanced short circuit current and open circuit voltage in the n-GaN/GaCrN/p-GaN structure compared to the GaCrN/p-GaN structure, which validates the proposed concept of an intermediate-band solar cell.

  8. Thermal Quenching of Photoluminescence from Er-Doped GaN Thin Films

    National Research Council Canada - National Science Library

    Seo, J. T; Hoemmerich, U; Lee, D. C; Heikenfeld, J; Steckl, A. J; Zavada, J. M

    2002-01-01

    The green (537 and 558 nm) and near infrared (1.54 micrometers) photoluminescence (PL) spectra of Er-doped GaN thin films have been investigated as a function of temperature, excitation wavelength, and pump intensity...

  9. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  10. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  11. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  12. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  13. Electrical properties of sputtered-indium tin oxide film contacts on n-type GaN

    International Nuclear Information System (INIS)

    Hwang, J. D.; Lin, C. C.; Chen, W. L.

    2006-01-01

    A transparent indium tin oxide (ITO) Ohmic contact on n-type gallium nitride (GaN) (dopant concentration of 2x10 17 cm -3 ) having a specific contact resistance of 4.2x10 -6 Ω cm 2 was obtained. In this study, ITO film deposition method was implemented by sputtering. We found that the barrier height, 0.68 eV, between ITO and n-type GaN is the same for both evaporated- and sputtered-ITO films. However, the 0.68 eV in barrier height renders the evaporated-ITO/n-GaN Schottky contact. This behavior is different from that of our sputtered-ITO/n-GaN, i.e., Ohmic contact. During sputtering, oxygen atoms on the GaN surface were significantly removed, thereby resulting in an improvement in contact resistance. Moreover, a large number of nitrogen (N) vacancies, caused by sputtering, were produced near the GaN surface. These N vacancies acted as donors for electrons, thus affecting a heavily doped n-type formed at the subsurface below the sputtered ITO/n-GaN. Both oxygen removal and heavy doping near the GaN surface, caused by N vacancies, in turn led to a reduction in contact resistivity as a result of electrons tunneling across the depletion layer from the ITO to the n-type GaN. All explanations are given by Auger analysis and x-ray photoelectron spectroscopy

  14. Influence of hydrogen impurities on p-type resistivity in Mg-doped GaN films

    International Nuclear Information System (INIS)

    Yang, Jing; Zhao, Degang; Jiang, Desheng; Chen, Ping; Zhu, Jianjun; Liu, Zongshun; Le, Lingcong; He, Xiaoguang; Li, Xiaojing; Zhang, Y. T.; Du, G. T.

    2015-01-01

    The effects of hydrogen impurities on p-type resistivity in Mg-doped GaN films were investigated. It was found that hydrogen impurities may have the dual role of passivating Mg Ga acceptors and passivating donor defects. A decrease in p-type resistivity when O 2 is introduced during the postannealing process is attributed to the fact that annealing in an O 2 -containing environment can enhance the dissociation of Mg Ga -H complexes as well as the outdiffusion of H atoms from p-GaN films. However, low H concentrations are not necessarily beneficial in Mg-doped GaN films, as H atoms may also be bound at donor species and passivate them, leading to the positive effect of reduced compensation

  15. Use of buffy coat thick films in detecting malaria parasites in patients with negative conventional thick films.

    Science.gov (United States)

    Duangdee, Chatnapa; Tangpukdee, Noppadon; Krudsood, Srivicha; Wilairatana, Polrat

    2012-04-01

    To determine the frequency of malaria parasite detection from the buffy coat blood films by using capillary tube in falciparum malaria patients with negative conventional thick films. Thirty six uncomplicated falciparum malaria patients confirmed by conventional thick and thin films were included in the study. The patients were treated with artemisinin combination therapy at Hospital for Tropical Diseases, Bangkok, Thailand for 28 day. Fingerpricks for conventional blood films were conducted every 6 hours until negative parasitemia, then daily fingerpricks for parasite checks were conducted until the patients were discharged from hospital. Blood samples were also concurrently collected in 3 heparinized capillary tubes at the same time of fingerpricks for conventional blood films when the prior parasitemia was negative on thin films and parasitemia was lower than 50 parasites/200 white blood cells by thick film. The first negative conventional thick films were compared with buffy coat thick films for parasite identification. Out of 36 patients with thick films showing negative for asexual forms of parasites, buffy coat films could detect remaining 10 patients (27.8%) with asexual forms of Plasmodium falciparum. The study shows that buffy coat thick films are useful and can detect malarial parasites in 27.8% of patients whose conventional thick films show negative parasitemia.

  16. Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery

    International Nuclear Information System (INIS)

    San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan

    2013-01-01

    The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10 15 cm −3 , by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. - Highlights: • Ni-63 is employed as the pure beta radioisotope source. • The Schottky junction betavoltaic battery is based on the wide-band gap semiconductor GaN. • The total energy deposition of incident beta particles in GaN was simulated by the Monte Carlo method. • A Fe-doped compensation technique is suggested to increase the energy conversion efficiency

  17. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  18. P-type doping of GaN

    International Nuclear Information System (INIS)

    Wong, R.K.

    2000-01-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover

  19. P-type doping of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Raechelle Kimberly [Univ. of California, Berkeley, CA (United States)

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.

  20. Direct growth of freestanding GaN on C-face SiC by HVPE.

    Science.gov (United States)

    Tian, Yuan; Shao, Yongliang; Wu, Yongzhong; Hao, Xiaopeng; Zhang, Lei; Dai, Yuanbin; Huo, Qin

    2015-06-02

    In this work, high quality GaN crystal was successfully grown on C-face 6H-SiC by HVPE using a two steps growth process. Due to the small interaction stress between the GaN and the SiC substrate, the GaN was self-separated from the SiC substrate even with a small thickness of about 100 μm. Moreover, the SiC substrate was excellent without damage after the whole process so that it can be repeatedly used in the GaN growth. Hot phosphoric acid etching (at 240 °C for 30 min) was employed to identify the polarity of the GaN layer. According to the etching results, the obtained layer was Ga-polar GaN. High-resolution X-ray diffraction (HRXRD) and electron backscatter diffraction (EBSD) were done to characterize the quality of the freestanding GaN. The Raman measurements showed that the freestanding GaN film grown on the C-face 6H-SiC was stress-free. The optical properties of the freestanding GaN layer were determined by photoluminescence (PL) spectra.

  1. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  2. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  3. Formation of Ga2O3 by the oxidation of p-type GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pinnisch, Melanie; Reppin, Daniel; Stehr, Jan; Laufer, Andreas; Hofmann, Detlev M.; Meyer, Bruno K. [1. Physikalisches Institut, Justus-Liebig-University, Giessen (Germany)

    2010-07-01

    Both GaN and Ga{sub 2}O{sub 3} are wide band gap semiconductors with energies of 3.45 eV and 4.9 eV, respectively. While GaN can be achieved p- or n-type conducting by doping, Ga{sub 2}O{sub 3} is n-type or high resistive dependent on the presence of oxygen vacancies. We studied the conversion of p-type Mg doped GaN thin films to Ga{sub 2}O{sub 3} by thermal treatments in the temperature range from 600 C to 1200 C and in different atmospheres. Changes of the film properties were studied by means of X-ray diffraction, photo-electron spectroscopy and atomic force microscopy. Optical and magnetic resonance methods were used to investigate the evolution of the dopands and defects.

  4. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  5. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  6. Impact of the AlN seeding layer thickness on GaN orientation on high index Si-substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Veit, Peter; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg (Germany). FNW/IEP/AHE

    2010-07-01

    Silicon is considered to be a reasonable alternative to substrates such as sapphire and SiC, because of its low price and availability in large diameters. Because of spontaneous and strain induced piezoelectric polarization field along the c-axis, leading to the separation of electrons and holes in quantum wells reducing the recombination efficiency, c-axis oriented GaN-based light emitters have a low efficiency, especially in the longer wavelength region. In order to reduce or eliminate these polarization effects, semi-polar or non-polar GaN-heterostructure is favored. In this work we investigated the growth of GaN applying a low temperature AlN seeding layer with various thicknesses. The impact of the AlN seeding layer on GaN orientation using different Si substrate orientations (e. g. (211), (711), (410), (100)+4.5 off) were investigated by x-ray diffraction measurements in Bragg-Brentano geometry and X-ray pole figure measurements. We found that the thickness of the AlN seeding layer plays a significant role in obtaining different GaN textures. Applying a about 4 nm AlN seeding layer we achieved a single crystalline GaN epilayer on Si (211) with a 18 tilted c-axis orientation. Some of the samples were characterized by scanning electron microscopy and transmission electron microscopy.

  7. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  8. X-ray determination of strain in ion implanted GaN

    International Nuclear Information System (INIS)

    Qadri, S.B.; Molnar, B.; Yousuf, M.; Carosella, C.A.

    2002-01-01

    The out-of-plane c, and in-plane a, lattice parameters of wurtzite gallium nitride (GaN) films, grown on the [0 0 0 1] basal plane of sapphire have been determined and the impact of ion implantation having dose between 5x10 13 and 5x10 15 cm -2 investigated. The thickness of the GaN layers was in the 1-3.5 μm range. The overall effect of the (0 0 0 1) GaN growth on (0 0 0 1) sapphire is biaxial compression in wurtzite α-GaN. Earlier X-ray studies have indicated that the films of GaN grow either purely in α-GaN phase or in α-GaN phase with a cubic β-GaN component. In contrast, our high-resolution X-ray diffraction (XRD) measurement revealed two isostructural polymorphs of α-GaN phases having different lattice parameters. Influence of ion implantation is to increase the values of lattice parameters a and c and could be rationalized in terms of an increase in the defects. At doses above 5x10 15 cm -2 , XRD analysis indicates the existence of an amorphous layer preventing the determination of a and c accurately

  9. Thick film hydrogen sensor

    Science.gov (United States)

    Hoffheins, Barbara S.; Lauf, Robert J.

    1995-01-01

    A thick film hydrogen sensor element includes an essentially inert, electrically-insulating substrate having deposited thereon a thick film metallization forming at least two resistors. The metallization is a sintered composition of Pd and a sinterable binder such as glass frit. An essentially inert, electrically insulating, hydrogen impermeable passivation layer covers at least one of the resistors.

  10. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu [Department of Physics, College of Natural Sciences, University of Puerto Rico, San Juan, 00936-8377, PR/USA (Puerto Rico); Aldalbahi, A. [Department of Chemistry, College of Science, King Saud University, Riyadh 11451 (Saudi Arabia)

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN film in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.

  11. doped ZnO thick film resistors

    Indian Academy of Sciences (India)

    The characterization and ethanol gas sensing properties of pure and doped ZnO thick films were investigated. Thick films of pure zinc oxide were prepared by the screen printing technique. Pure zinc oxide was almost insensitive to ethanol. Thick films of Al2O3 (1 wt%) doped ZnO were observed to be highly sensitive to ...

  12. Magnetic resonance studies of the Mg acceptor in thick free-standing and thin-film GaN

    Science.gov (United States)

    Zvanut, Mary Ellen

    Mg, the only effective p-type dopant for the nitrides, substitutes for Ga and forms an acceptor with a defect level of about 0.16 eV. The magnetic resonance of such a center should be highly anisotropic, yet early work employing both optically detected magnetic resonance (ODMR) and electron paramagnetic resonance (EPR) spectroscopies revealed a defect with a nearly isotropic g-tensor. The results were attributed to crystal fields caused by compensation and/or strain typical of the heteroepitaxially grown films. The theory was supported by observation of the expected highly anisotropic ODMR signature in homoepitaxially grown films in which dislocation-induced non-uniform strain and compensation are reduced. The talk will review EPR measurements of thin films and describe new work which takes advantage of the recently available thick free-standing GaN:Mg substrates grown by hydride vapor phase epitaxy (HVPE) and high nitrogen pressure solution growth (HNPS). Interestingly, the films and HVPE substrates exhibit characteristically different types of EPR signals, and no EPR response could be induced in the HNPS substrates, with or without illumination. In the heteroepitaxial films, a curious angular dependent line-shape is observed in addition to the nearly isotropic g-tensor characteristic of the Mg-related acceptor. On the other hand, the free-standing HVPE crystals reveal a clear signature of a highly anisotropic shallow acceptor center. Comparison with SIMS measurements implies a direct relation to the Mg impurity, and frequency-dependent EPR studies demonstrate the influence of the anisotropic crystal fields. Overall, the measurements of the thick free-standing crystals show that the Mg acceptor is strongly affected by the local environment. The ODMR was performed by Evan Glaser, NRL and the free-standing Mg-doped HVPE crystals were grown by Jacob Leach, Kyma Tech. The work at UAB is supported by NSF Grant No. DMR-1308446.

  13. Synthesis and characteristics of sword-like GaN nanorods clusters through ammoniating Ga2O3 thin films

    International Nuclear Information System (INIS)

    Xue Chengshane; Tian Deheng; Zhuang Huizhao; Zhang Xiaokai; Wu Yuxin; Liu Yi'an; He Jianting; Ai Yujie

    2006-01-01

    Sword-like GaN nanorods have been successfully synthesized by ammoniating Ga 2 O 3 thin films deposited on Si substrate by magnetron sputtering. The GaN nanorods have been characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and selected area electron diffraction (SAED). SEM images show that sword-like GaN nanorods take on radial structure. The XRD and SAED analyses have identified that the nanorods are pure hexagonal GaN with single crystalline wurtzite structure. The HRTEM images indicate that the nanorods are well crystallized and nearly free from defects

  14. Preparation and evaluation of Mn3GaN1-x thin films with controlled N compositions

    Science.gov (United States)

    Ishino, Sunao; So, Jongmin; Goto, Hirotaka; Hajiri, Tetsuya; Asano, Hidefumi

    2018-05-01

    Thin films of antiperovskite Mn3GaN1-x were grown on MgO (001) substrates by reactive magnetron sputtering, and their structural, magnetic, and magneto-optical properties were systematically investigated. It was found that the combination of the deposition rate and the N2 gas partial pressure could produce epitaxial films with a wide range of N composition (N-deficiency) and resulting c/a values (0.93 - 1.0). While the films with c/a = 0.992 - 1.0 were antiferromagnetic, the films with c/a = 0.93 - 0.989 showed perpendicular magnetic anisotropy (PMA) with the maximum PMA energy up to 1.5×106 erg/cm3. Systematic dependences of the energy spectra of the polar Kerr signals on the c/a ratio were observed, and the Kerr ellipticity was as large as 2.4 deg. at 1.9 eV for perpendicularly magnetized ferromagnetic thin films with c/a = 0.975. These results highlight that the tetragonal distortion plays an important role in magnetic and magneto-optical properties of Mn3GaN1-x thin films.

  15. Electrothermal evaluation of thick GaN epitaxial layers and AlGaN/GaN high-electron-mobility transistors on large-area engineered substrates

    Science.gov (United States)

    Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.

    2017-12-01

    AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.

  16. AlGaN/GaN field effect transistors for power electronics—Effect of finite GaN layer thickness on thermal characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Hodges, C., E-mail: chris.hodges@bristol.ac.uk; Anaya Calvo, J.; Kuball, M. [H. H. Wills Physics Laboratory, University of Bristol, Bristol BS8 1TL (United Kingdom); Stoffels, S.; Marcon, D. [IMEC, Kapeldreef 75, B3001 Leuven (Belgium)

    2013-11-11

    AlGaN/GaN heterostructure field effect transistors with a 150 nm thick GaN channel within stacked Al{sub x}Ga{sub 1−x}N layers were investigated using Raman thermography. By fitting a thermal simulation to the measured temperatures, the thermal conductivity of the GaN channel was determined to be 60 W m{sup −1} K{sup −1}, over 50% less than typical GaN epilayers, causing an increased peak channel temperature. This agrees with a nanoscale model. A low thermal conductivity AlGaN buffer means the GaN spreads heat; its properties are important for device thermal characteristics. When designing power devices with thin GaN layers, as well as electrical considerations, the reduced channel thermal conductivity must be considered.

  17. Evidence for moving of threading dislocations during the VPE growth in GaN thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Kuwano, Noriyuki [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Miyake, Hideto; Hiramatsu, Kazumasa [Department of Electrical and Electronic Engineering, Mie University, Tsu, Mie 514-8507 (Japan); Amano, Hiroshi [Graduate School of Engineering, Akasaki Research Center, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan); Akasaki, Isamu [Faculty of Science and Technology, Meijo University, 1-501 Shiogamaguchi, Tempaku, Nagoya 468-8502 (Japan)

    2011-05-15

    Cross-sectional transmission electron microscope (TEM) observation was performed in detail to analyze the morphology of threading dislocations (TDs) in GaN thin layers with various thicknesses. The GaN layers were overgrown on an Al{sub 0.28}Ga{sub 0.72}N layer by the metal-organic vapor-phase epitaxy (MOVPE) method. In a GaN layer about 50 nm in thickness, TDs running up in the AlGaN layer pass into the GaN layer and most of them reach the top surface without bending. In thicker GaN layers, on the other hand, many of TDs form a hairpin-configuration on or above the interface of GaN and AlGaN to be annihilated. This difference in morphology of TDs indicates that the TDs have moved down inside the GaN layer. Since the formation of hairpins is attributed to a stress-relief, there should be an extra half-plane between the paired TDs. Therefore, the movement of TDs should be of ''climb motion''. Another example of possible TD movement inside a GaN layer is also described. It is emphasized that the possibility of TD-movements inside the thin film crystal during the growth should be taken into account in analysis of thin-layer growth through the behavior of TDs (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Analysis of Vegard’s law for lattice matching In x Al 1−x N to GaN by metalorganic chemical vapor deposition

    KAUST Repository

    Foronda, Humberto M.

    2017-06-19

    Coherent InxAl1−xN (x = 0.15 to x = 0.28) films were grown by metalorganic chemical vapor deposition on GaN templates to investigate if the films obey Vegard’s Law by comparing the film stress-thickness product from wafer curvature before and after InxAl1−xN deposition. The In composition and film thickness were verified using atom probe tomography and high resolution X-ray diffraction, respectively. Ex-situ curvature measurements were performed to analyze the curvature before and after the InxAl1−xN deposition. At ∼In0.18Al0.82N, no change in curvature was observed following InAlN deposition; confirming that films of this composition are latticed matched to GaN, obeying Vegard’s law. The relaxed a0- and c0- lattice parameters of InxAl1−xN were experimentally determined and in agreement with lattice parameters predicted by Vegard’s law.

  19. Analysis of Vegard’s law for lattice matching In x Al 1−x N to GaN by metalorganic chemical vapor deposition

    KAUST Repository

    Foronda, Humberto M.; Mazumder, Baishakhi; Young, Erin C.; Laurent, Matthew A.; Li, Youli; DenBaars, Steven P.; Speck, James S.

    2017-01-01

    Coherent InxAl1−xN (x = 0.15 to x = 0.28) films were grown by metalorganic chemical vapor deposition on GaN templates to investigate if the films obey Vegard’s Law by comparing the film stress-thickness product from wafer curvature before and after InxAl1−xN deposition. The In composition and film thickness were verified using atom probe tomography and high resolution X-ray diffraction, respectively. Ex-situ curvature measurements were performed to analyze the curvature before and after the InxAl1−xN deposition. At ∼In0.18Al0.82N, no change in curvature was observed following InAlN deposition; confirming that films of this composition are latticed matched to GaN, obeying Vegard’s law. The relaxed a0- and c0- lattice parameters of InxAl1−xN were experimentally determined and in agreement with lattice parameters predicted by Vegard’s law.

  20. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    Science.gov (United States)

    Al Balushi, Zakaria Y.

    Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth

  1. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  2. GaN Micromechanical Resonators with Meshed Metal Bottom Electrode.

    Science.gov (United States)

    Ansari, Azadeh; Liu, Che-Yu; Lin, Chien-Chung; Kuo, Hao-Chung; Ku, Pei-Cheng; Rais-Zadeh, Mina

    2015-03-17

    This work describes a novel architecture to realize high-performance gallium nitride (GaN) bulk acoustic wave (BAW) resonators. The method is based on the growth of a thick GaN layer on a metal electrode grid. The fabrication process starts with the growth of a thin GaN buffer layer on a Si (111) substrate. The GaN buffer layer is patterned and trenches are made and refilled with sputtered tungsten (W)/silicon dioxide (SiO₂) forming passivated metal electrode grids. GaN is then regrown, nucleating from the exposed GaN seed layer and coalescing to form a thick GaN device layer. A metal electrode can be deposited and patterned on top of the GaN layer. This method enables vertical piezoelectric actuation of the GaN layer using its largest piezoelectric coefficient ( d 33 ) for thickness-mode resonance. Having a bottom electrode also results in a higher coupling coefficient, useful for the implementation of acoustic filters. Growth of GaN on Si enables releasing the device from the frontside using isotropic xenon difluoride (XeF₂) etch and therefore eliminating the need for backside lithography and etching.

  3. Thickness evaluation using a new relationship between film density and penetrated thickness in radiography

    International Nuclear Information System (INIS)

    Lee, Sung Sik; Kim, Young H.

    2005-01-01

    In order to improve the accuracies in the thickness evaluation using radiography, a new relationship between film density and penetrated thickness has been proposed, and experimental verification of the proposed relationship was carried out by using the X- and γ-ray radiographs of two carbon steel step wedges. A new parameter, the logarithmic gradient of film density, was defined in order to express the characteristics of the radiographic film for wider range of film density. A new relationship between the film density and the penetrated thickness were formulated using the logarithmic gradient of the film density. In experiment, the logarithmic gradient of the film density was independent on both the exposure and the film density and measured for the radiographic film used in the present work from the slope of the fitting lines for the same penetrated thickness. Experimental results verifies the accuracy of the proposed relationship between film density and the penetrated thickness for the range of film density from 1.0 to 3.5. The thickness can be more accurately determined by using the proposed relationship and the parameters determined by experiment. It is also found that the γ-ray having simple energy spectrum is more appropriate radiation source for the evaluation of the thickness from the film density of the radiograph

  4. The nucleation of HCl and Cl{sub 2}-based HVPE GaN on mis-oriented sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bohnen, Tim; Dreumel, Gerbe W.G. van; Enckevort, Willem J.P. van; Ashraf, Hina; Jong, Aryan E.F. de; Hageman, Paul R.; Vlieg, Elias [IMM, Radboud University, Nijmegen (Netherlands); Weyher, Jan L. [Institute of High Pressure Physics, Polish Academy of Sciences, Warsaw (Poland)

    2010-07-15

    The nucleation of both classic HCl-based and novel Cl{sub 2{sup -}} based HVPE GaN on mis-oriented sapphire substrates was investigated. The use of Cl{sub 2}in HVPE increases the growth rate by a factor of 4-5 and strongly reduces the parasitic deposition, allowing for the growth of much thicker wafers than HCl-based HVPE. Morphological SEM surface studies of the HCl-based HVPE sample surface show that at 600 C a nanocrystalline layer is deposited on the sapphire. During the subsequent annealing phase, the morphology changes to a {mu}m-sized island structure. During overgrowth at 1080 C, the islands coalesce. Small voids or pinholes are then formed in between the coalescing GaN islands. These pinholes lead to numerous pits on the surface of the GaN at thicknesses of 5 {mu}m. The pits disappear during continued overgrowth and can no longer be found on the surface, when the GaN film reaches a thickness of 45 {mu}m. This particular coalescence mechanism also applies to Cl{sub 2}-based HVPE GaN on sapphire (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Thick-film analysis: literature search and bibliography

    International Nuclear Information System (INIS)

    Gehman, R.W.

    1981-09-01

    A literature search was conducted to support development of in-house diagnostic testing of thick film materials for hybrid microcircuits. A background literature review covered thick film formulation, processing, structure, and performance. Important material properties and tests were identified and several test procedures were obtained. Several tests were selected for thick film diagnosis at Bendix Kansas City. 126 references

  6. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  7. Influence of processing parameters on PZT thick films

    International Nuclear Information System (INIS)

    Huang, Oliver; Bandyopadhyay, Amit; Bose, Susmita

    2005-01-01

    We have studied influence of processing parameters on the microstructure and ferroelectric properties of lead zirconate titanate (PZT)-based thick films in the range of 5-25 μm. PZT and 2% La-doped PZT thick films were processed using a modified sol-gel process. In this process, PZT- and La-doped PZT powders were first prepared via sol-gel. These powders were calcined and then used with respective sols to form a slurry. Slurry composition was optimized to spin-coat thick films on platinized Si substrate (Si/SiO 2 /Ti/Pt). Spinning rate, acceleration and slurry deposition techniques were optimized to form thick films with uniform thickness and without any cracking. Increasing solids loading was found to enhance the surface smoothness of the film and decrease porosity. Films were tested for their electrical properties and ferroelectric fatigue response. The maximum polarization obtained was 40 μC/cm 2 at 250 kV/cm for PZT thick film and 30 μC/cm 2 at 450 kV/cm for La-doped PZT thick film. After 10 9 cycles of fatiguing at 35 kHz, La-doped PZT showed better resistance for ferroelectric fatigue compared with un-doped PZT films

  8. Effects of Thickness of a Low-Temperature Buffer and Impurity Incorporation on the Characteristics of Nitrogen-polar GaN.

    Science.gov (United States)

    Yang, Fann-Wei; Chen, Yu-Yu; Feng, Shih-Wei; Sun, Qian; Han, Jung

    2016-12-01

    In this study, effects of the thickness of a low temperature (LT) buffer and impurity incorporation on the characteristics of Nitrogen (N)-polar GaN are investigated. By using either a nitridation or thermal annealing step before the deposition of a LT buffer, three N-polar GaN samples with different thicknesses of LT buffer and different impurity incorporations are prepared. It is found that the sample with the thinnest LT buffer and a nitridation step proves to be the best in terms of a fewer impurity incorporations, strong PL intensity, fast mobility, small biaxial strain, and smooth surface. As the temperature increases at ~10 K, the apparent donor-acceptor-pair band is responsible for the decreasing integral intensity of the band-to-band emission peak. In addition, the thermal annealing of the sapphire substrates may cause more impurity incorporation around the HT-GaN/LT-GaN/sapphire interfacial regions, which in turn may result in a lower carrier mobility, larger biaxial strain, larger bandgap shift, and stronger yellow luminescence. By using a nitridation step, both a thinner LT buffer and less impurity incorporation are beneficial to obtaining a high quality N-polar GaN.

  9. Fabrication and characterization of GaN-based light-emitting diodes without pre-activation of p-type GaN.

    Science.gov (United States)

    Hu, Xiao-Long; Wang, Hong; Zhang, Xi-Chun

    2015-01-01

    We fabricated GaN-based light-emitting diodes (LEDs) without pre-activation of p-type GaN. During the fabrication process, a 100-nm-thick indium tin oxide film was served as the p-type contact layer and annealed at 500°C in N2 ambient for 20 min to increase its transparency as well as to activate the p-type GaN. The electrical measurements showed that the LEDs were featured by a lower forward voltage and higher wall-plug efficiency in comparison with LEDs using pre-activation of p-type GaN. We discussed the mechanism of activation of p-type GaN at 500°C in N2 ambient. Furthermore, x-ray photoemission spectroscopy examinations were carried out to study the improved electrical performances of the LEDs without pre-activation of p-type GaN.

  10. The Thickness Dependence of Optical Constants of Ultrathin Iron Films

    International Nuclear Information System (INIS)

    Gao Shang; Lian Jie; Wang Xiao; Li Ping; Sun Xiao-Fen; Li Qing-Hao

    2013-01-01

    Ultrathin iron films with different thicknesses from 7.1 to 51.7 nm are deposited by magnetron sputtering and covered by tantalum layers protecting them from being oxidized. These ultrathin iron films are studied by spectroscopic ellipsometry and transmittance measurement. An extra tantalum film is deposited under the same sputtering conditions and its optical constants and film thickness are obtained by a combination of ellipsometry and transmission measurement. After introducing these obtained optical constants and film thickness into the tantalum-iron film, the optical constants and film thicknesses of ultrathin iron films with different thicknesses are obtained. The results show that combining ellipsometry and transmission measurement improves the uniqueness of the obtained film thickness. The optical constants of ultrathin iron films depend strongly on film thicknesses. There is a broad absorption peak at about 370 nm and it shifts to 410 nm with film thickness decreasing

  11. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  12. Thickness Dependent on Photocatalytic Activity of Hematite Thin Films

    Directory of Open Access Journals (Sweden)

    Yen-Hua Chen

    2012-01-01

    Full Text Available Hematite (Fe2O3 thin films with different thicknesses are fabricated by the rf magnetron sputtering deposition. The effects of film thicknesses on the photocatalytic activity of hematite films have been investigated. Hematite films possess a polycrystalline hexagonal structure, and the band gap decreases with an increase of film thickness. Moreover, all hematite films exhibit good photocatalytic ability under visible-light irradiation; the photocatalytic activity of hematite films increases with the increasing film thickness. This is because the hematite film with a thicker thickness has a rougher surface, providing more reaction sites for photocatalysis. Another reason is a lower band gap of a hematite film would generate more electron-hole pairs under visible-light illumination to enhance photocatalytic efficiency. Experimental data are well fitted with Langmuir-Hinshelwood kinetic model. The photocatalytic rate constant of hematite films ranges from 0.052 to 0.068 min-1. This suggests that the hematite film is a superior photocatalyst under visible-light irradiation.

  13. The importance of structural inhomogeneity in GaN thin films

    Science.gov (United States)

    Liliental-Weber, Z.; Reis, Roberto dos; Weyher, Jan L.; Staszczak, Grzegorz; Jakieła, Rafał

    2016-12-01

    This paper describes two types of MOCVD-grown n-type GaN layers (Samples A and B) with similar carrier concentration but behaved differently under galvanic photo-etching. In order to understand this behavior, Transmission Electron Microscopy (TEM) for cross-section and plan-view samples, Secondary Ion Mass Spectroscopy (SIMS) and photoluminescence (PL) techniques were applied. SIMS studies showed that Si, C and O are approximately at the same concentration in both samples, but Sample B also contained Fe and Mg. Both GaN samples were grown on sapphire substrate with Ga growth polarity, which was confirmed by Convergent Beam Electron Diffraction (CBED). Despite a smaller layer thickness in Sample B, the density of edge dislocations is almost one order of magnitude lower than in Sample A. In addition, planar defects formed in this sample in the transition area between the undoped buffer and Si doped layers resulted in a substantial decrease in the density of screw dislocations at the sample surface. These planar defects most probably gave rise to the PL lines observed at 3.42 eV and 3.32 eV. The new PL lines that only appeared in Sample B might be related to Mg impurities found in this sample. There were no detectable gettering of these impurities at dislocations using different diffraction conditions. However, Fe rich platelets were found only in Sample B due to the presence of Fe as well as hexagonal features, similar to defects reported earlier in highly Mg-doped GaN. These structural and chemical non-uniformities between the two GaN samples can explain their different etching behaviors. This paper demonstrates that samples with similar carrier concentrations do not necessarily ensure similar structural and optical properties and that additional material characterization are needed to ensure that devices built on such samples have similar performance.

  14. Film-thickness and composition dependence of epitaxial thin-film PZT-based

    NARCIS (Netherlands)

    Nguyen, Duc Minh; Dekkers, Jan M.; Vu, Hung Ngoc; Rijnders, Augustinus J.H.M.

    2013-01-01

    The transverse piezoelectric coefficient e31,f and mass-sensitivity were measured on piezoelectric cantilevers based on epitaxial PZT thin-films with film-thicknesses ranging from 100 to 2000 nm. The highest values of e31,f and mass-sensitivity were observed at a film thickness of 500–750 nm, while

  15. Effect of GaN cap thickness on carrier dynamics in InGaN quantum wells

    DEFF Research Database (Denmark)

    Kopylov, Oleksii; Shirazi, Roza; Svensk, O.

    2012-01-01

    We have studied optical properties of single In0.1Ga0.9N quantum wells with GaN barriers in close proximity to the wafer surface (... thickness of 3nm for achieving highest brightness emitters. At low temperature, we observe a behaviour that suggests that some surface states act as trapping centres for carriers rather than as a non-radiative recombination channel. Temperature dependence of the photoluminescence decay curves shows...

  16. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  17. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are key to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices. In fact,...

  18. Film thickness determination by grazing incidence diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Battiston, G A; Gerbasi, R [CNR, Padua (Italy). Istituto di Chimica e Tecnologie Inorganiche e dei Materiali Avanzati

    1996-09-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive.

  19. Film thickness determination by grazing incidence diffraction

    International Nuclear Information System (INIS)

    Battiston, G. A.; Gerbasi, R.

    1996-01-01

    Thin films deposited via MOCVD (Metal Organic Chemical Vapour Deposition) are layers in the thickness range of a few manometers to about ten micrometers. An understanding of the physics and chemistry of films is necessary for a better comprehension of the phenomena involved in the film deposition procedure and its optimisation. Together with the crystalline phase a parameter that must be determined is the thickness of the layer. In this work the authors present a method for the measurement of the film thickness. This procedure, based on diffraction intensity absorption of the X-rays, both incident and diffracted in passing through the layers, resulted quite simple, rapid and non-destructive

  20. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are one of the keys to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices....

  1. Polycrystalline GaN layer recrystallization by metal-induced method during the baking process

    Energy Technology Data Exchange (ETDEWEB)

    Jagoda, A.; Stanczyk, B.; Dobrzanski, L.; Diduszko, R. [Institute of Electronic Materials Technology, Wolczynska 133, 01-919 Warsaw 118 (Poland)

    2007-04-15

    Radio frequency reactive sputtering was used to produce gallium nitride films on thermally oxidized silicon substrates at room temperature. Metallic Ga (purity 6N) was used as the target, N{sub 2} and Ar were utilized as sputtering gases. Amorphous GaN was obtained by metal-induced crystallization with a Ni assistance. The nickel particles were scattered onto the surface by rf sputtering and their density was 2 x 10{sup 14} atoms/cm{sup 2} or 4 x 10{sup 14} atoms/cm{sup 2}, which corresponds to 0.02 nm and 0.04 nm thick layer. These values are less than a monolayer thickness, so they are not continuous. Samples were annealed at 700 C for 3 h and at 900 C for 5 min in a RTP furnace. The 2.5 {mu}m GaN layers grown on the Ni-coated SiO{sub 2} surface recrystallized during annealing forming crystals of (002) orientation. The catalytic regrowth mechanism of GaN is discussed on the basis of experimental results. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  3. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  4. Fast Growth of GaN Epilayers via Laser-Assisted Metal-Organic Chemical Vapor Deposition for Ultraviolet Photodetector Applications.

    Science.gov (United States)

    Rabiee Golgir, Hossein; Li, Da Wei; Keramatnejad, Kamran; Zou, Qi Ming; Xiao, Jun; Wang, Fei; Jiang, Lan; Silvain, Jean-François; Lu, Yong Feng

    2017-06-28

    In this study, we successfully developed a carbon dioxide (CO 2 )-laser-assisted metal-organic chemical vapor deposition (LMOCVD) approach to fast synthesis of high-quality gallium nitride (GaN) epilayers on Al 2 O 3 [sapphire(0001)] substrates. By employing a two-step growth procedure, high crystallinity and smooth GaN epilayers with a fast growth rate of 25.8 μm/h were obtained. The high crystallinity was confirmed by a combination of techniques, including X-ray diffraction, Raman spectroscopy, transmission electron microscopy, and atomic force microscopy. By optimizing growth parameters, the ∼4.3-μm-thick GaN films grown at 990 °C for 10 min showed a smooth surface with a root-mean-square surface roughness of ∼1.9 nm and excellent thickness uniformity with sharp GaN/substrate interfaces. The full-width at half-maximum values of the GaN(0002) X-ray rocking curve of 313 arcsec and the GaN(101̅2) X-ray rocking curve of 390 arcsec further confirmed the high crystallinity of the GaN epilayers. We also fabricated ultraviolet (UV) photodetectors based on the as-grown GaN layers, which exhibited a high responsivity of 0.108 A W -1 at 367 nm and a fast response time of ∼125 ns, demonstrating its high optical quality with potential in optoelectronic applications. Our strategy thus provides a simple and cost-effective means toward fast and high-quality GaN heteroepitaxy growth suitable for fabricating high-performance GaN-based UV detectors.

  5. Non-destructive determination of ultra-thin GaN cap layer thickness in AlGaN/GaN HEMT structure by angle resolved x-ray photoelectron spectroscopy (ARXPS)

    Science.gov (United States)

    Goyal, Anshu; Yadav, Brajesh S.; Raman, R.; Kapoor, Ashok K.

    2018-02-01

    Angle resolved X-ray photoelectron spectroscopy (ARXPS) and secondary ion mass spectrometry (SIMS) investigations have been carried out to characterize the GaN cap layer in AlGaN/GaN HEMT structure. The paper discusses the qualitative (presence or absence of a cap layer) and quantitative (cap layer thickness) characterization of cap layer in HEMT structure non-destructively using ARXPS measurements in conjunction with the theoretical modeling. Further the relative sensitive factor (RSF=σ/Ga σAl ) for Ga to Al ratio was estimated to be 0.963 and was used in the quantification of GaN cap layer thickness. Our results show that Al/Ga intensity ratio varies with the emission angle in the presence of GaN cap layer and otherwise remains constant. Also, the modeling of this intensity ratio gives its thickness. The finding of ARXPS was also substantiated by SIMS depth profiling studies.

  6. Non-destructive determination of ultra-thin GaN cap layer thickness in AlGaN/GaN HEMT structure by angle resolved x-ray photoelectron spectroscopy (ARXPS

    Directory of Open Access Journals (Sweden)

    Anshu Goyal

    2018-02-01

    Full Text Available Angle resolved X-ray photoelectron spectroscopy (ARXPS and secondary ion mass spectrometry (SIMS investigations have been carried out to characterize the GaN cap layer in AlGaN/GaN HEMT structure. The paper discusses the qualitative (presence or absence of a cap layer and quantitative (cap layer thickness characterization of cap layer in HEMT structure non-destructively using ARXPS measurements in conjunction with the theoretical modeling. Further the relative sensitive factor (RSF=σGaσAl for Ga to Al ratio was estimated to be 0.963 and was used in the quantification of GaN cap layer thickness. Our results show that Al/Ga intensity ratio varies with the emission angle in the presence of GaN cap layer and otherwise remains constant. Also, the modeling of this intensity ratio gives its thickness. The finding of ARXPS was also substantiated by SIMS depth profiling studies.

  7. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  8. Aluminum oxide film thickness and emittance

    International Nuclear Information System (INIS)

    Thomas, J.K.; Ondrejcin, R.S.

    1991-11-01

    Aluminum reactor components which are not actively cooled could be subjected to high temperatures due to gamma heating after the core coolant level dropped during the ECS phase of a hypothetical LOCA event. Radiative heat transfer is the dominant heat transfer process in this scenario and therefore the emittance of these components is of interest. Of particular interest are the safety rod thimbles and Mark 60B blanket assemblies; for the K Reactor, these components have been exposed to low temperature (< 55 degrees C) moderator for about a year. The average moderator temperature was assumed to be 30 degrees C. The Al oxide film thickness at this temperature, after one year of exposure, is predicted to be 6.4 μm ± 10%; insensitive to exposure time. Dehydration of the film during the gamma heating accident would result in a film thickness of 6.0 μm ± 11%. Total hemispherical emittance is predicted to be 0.69 at 96 degrees C, decreasing to 0.45 at 600 degrees C. Some phenomena which would tend to yield thicker oxide films in the reactor environment relative to those obtained under experimental conditions were neglected and the predicted film thickness values are therefore conservative. The emittance values predicted for a given film thickness are also conservative. The conservativisms inherent in the predicted emittance are particularly relevant for uncertainty analysis of temperatures generated using these values

  9. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  11. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  12. Interferometric measurement of film thickness during bubble blowing

    Science.gov (United States)

    Wang, Z.; Mandracchia, B.; Ferraro, V.; Tammaro, D.; Di Maio, E.; Maffettone, P. L.; Ferraro, P.

    2017-06-01

    In this paper, we propose digital holography in transmission configuration as an effective method to measure the time-dependent thickness of polymeric films during bubble blowing. We designed a complete set of experiments to measure bubble thickness, including the evaluation of the refractive index of the polymer solution. We report the measurement of thickness distribution along the film during the bubble formation process until the bubble`s rupture. Based on those data, the variation range and variation trend of bubble film thickness are clearly measured during the process of expansion to fracture is indicated.

  13. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  14. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  15. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. NdFeB thick films prepared by tape casting

    International Nuclear Information System (INIS)

    Pawlowski, B.; Schwarzer, S.; Rahmig, A.; Toepfer, J.

    2003-01-01

    NdFeB films of thickness between 100 and 800 μm were prepared by tape casting of a slurry containing 84-95 wt% of commercial NdFeB powder (MQP-B, -Q and -S). After curing the flexible green tapes at 120 deg. C non-porous magnetic films are obtained. The remanence of the films is in the range of 350-450 mT and the coercivity is between 300 and 800 kA/m depending on the type of MQP powder used. The magnetic properties of the films are discussed in relation to film composition and type of magnetic material. For MEMS applications the thick films are magnetized with a multi-pole stripe pattern with 1 mm pole pitch. The induction at the surface of the films was measured with a Hall probe and compared to theoretical calculations. The results indicate that the films are completely magnetized regardless of the film thickness. Tape-casted NdFeB thick films are promising candidates for applications in micro-systems or actuators. Miniaturization of the magnet components is one of the key issues in the development of electromagnetic micro-systems, thus creating a need for replacement of small sintered magnets by magnetic thick film components. Other applications include encoders

  17. Evolution of spirals during molecular beam epitaxy of GaN on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Cui, Y.; Li, L.

    2002-01-01

    Evolution of spirals during molecular beam epitaxy growth of GaN films on 6H-SiC(0001) was studied by in situ scanning tunneling microscopy. It was found that dislocations emerge at the film surface, creating straight steps with orientation along directions with a density of 10 10 cm -2 for 40-nm-thick films. During subsequent growth, these straight steps wind around dislocations and develop into spirals with a density of 10 9 cm -2 for 100-nm-thick films. The spirals can be classified into three types: single arm, interlocking double arm, and closed loop. The first two types originate from steps with one end pinned, and the third type results from steps with both ends pinned. At film thickness larger than 200 nm, these spirals further evolve into spiral mounds with a density of 10 7 cm -2 . Based on the Burton, Cabrera, and Frank theory, a model is proposed to explain the formation of different types of spirals and the reduction of their densities

  18. GaN growth on silane exposed AlN seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz-Zepeda, F. [Posgrado en Fisica de Materiales, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carret, Tijuana-Ensenada, C.P. 22860, Ensenada, B.C. (Mexico); Contreras, O. [Centro de Ciencias de la Materia Condesada, Universidad Nacional Autonoma de Mexico, Apdo. Postal 356, C.P. 22800, Ensenada, B.C. (Mexico); Dadgar, A.; Krost, A. [Otto-von-Guericke-Universitaet Magdeburg, FNW-IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2008-07-01

    The microstructure and surface morphology of GaN films grown on AlN seed layers exposed to silane flow has been studied by TEM and AFM. The epilayers were grown on silicon(111) substrates by MOCVD. The AlN seed layer surface was treated at different SiH{sub 4} exposure times prior to the growth of the GaN film. A reduction in the density of threading dislocations is observed in the GaN films and their surface roughness is minimized for an optimal SiH{sub 4} exposure time between 75-90 sec. At this optimal condition a step-flow growth mode of GaN film is predominant. The improvement of the surface and structure quality of the epilayers is observed to be related to an annihilation process of threading dislocations done by SiN{sub x} masking. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Alevli, Mustafa; Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi

    2016-01-01

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor

  20. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr [Department of Physics, Marmara University, Göztepe Kadıköy, 34722 İstanbul (Turkey); Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr [Institute of Materials Science and Nanotechnology, Bilkent University, Bilkent, 06800 Ankara, Turkey and National Nanotechnology Research Center (UNAM), Bilkent University, Bilkent, 06800 Ankara (Turkey)

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  1. Advantages of PZT thick film for MEMS sensors

    DEFF Research Database (Denmark)

    Hindrichsen, Christian Carstensen; Lou-Moller, R.; Hansen, K.

    2010-01-01

    For all MEMS devices a high coupling between the mechanical and electrical domain is desired. Figures of merit describing the coupling are important for comparing different piezoelectric materials. The existing figures of merit are discussed and a new figure of merit is introduced for a fair comp....... Improved figure of merit is reached in the piezoelectric PZT thick film, TF2100CIP, by using cold isostatic pressure in the PZT preparation process. The porosity of TF2100 is decreased 38%, hence, allowing an increase of charge sensitivity for MEMS sensors of 59%....... thin film and PZT thick film. It is shown that MEMS sensors with the PZT thick film TF2100 from InSensor A/S have potential for significant higher voltage sensitivities compared to PZT thin film base MEMS sensors when the total thickness of the MEMS cantilever, beam, bridge or membrane is high...

  2. Hexagonal (wurtzite) GaN inclusions as a defect in cubic (zinc-blende) GaN

    International Nuclear Information System (INIS)

    Zainal, N.; Novikov, S.V.; Akimov, A.V.; Staddon, C.R.; Foxon, C.T.; Kent, A.J.

    2012-01-01

    The dependence of the hexagonal fraction with thickness in MBE-grown bulk cubic (c-) GaN epilayer is presented in this paper. A number of c-GaN epilayers with different thicknesses were characterized via PL and XRD measurements. From the PL spectra, the signal due to h-GaN inclusions increases as the thickness of the c-GaN increases. On the contrary, in the XRD diffractogram, c-GaN shows a dominant signal at all thicknesses, and only a weak peak at ∼35° is observed in the diffractogram, implying the existence of a small amount of h-GaN in the c-GaN layer. The best quality of c-GaN is observed in the first 10 μm of GaN on the top of GaAs substrate. Even though the hexagonal content increases with the thickness, the average content remains below 20% in c-GaN layers up to 50 μm thick. The surface morphology of thick c-GaN is also presented.

  3. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  4. Multiple High Voltage Pulse Stressing of Polymer Thick Film Resistors

    Directory of Open Access Journals (Sweden)

    Busi Rambabu

    2014-01-01

    Full Text Available The purpose of this paper is to study high voltage interactions in polymer thick film resistors, namely, polyvinyl chloride- (PVC- graphite thick film resistors, and their applications in universal trimming of these resistors. High voltages in the form of impulses for various pulse durations and with different amplitudes have been applied to polymer thick film resistors and we observed the variation of resistance of these resistors with high voltages. It has been found that the resistance of polymer thick film resistors decreases in the case of higher resistivity materials and the resistance of polymer thick film resistor increases in the case of lower resistivity materials when high voltage impulses are applied to them. It has been also found that multiple high voltage pulse (MHVP stressing can be used to trim the polymer thick film resistors either upwards or downwards.

  5. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    Energy Technology Data Exchange (ETDEWEB)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao, E-mail: yxzheng@fudan.edu.c [Key Laboratory of Micro and Nano Photonic Structures, Ministry of Education, Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China)

    2010-11-10

    A series of SiO{sub 2} films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO{sub 2} films thicker than 60 nm are close to those of bulk SiO{sub 2}. For the thin films deposited at the rate of {approx}1.0 nm s{sup -1}, the refractive indices increase with decreasing thickness from {approx}60 to {approx}10 nm and then drop sharply with decreasing thickness below {approx}10 nm. However, for thin films deposited at the rates of {approx}0.4 and {approx}0.2 nm s{sup -1}, the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  6. Evolution of optical constants of silicon dioxide on silicon from ultrathin films to thick films

    International Nuclear Information System (INIS)

    Cai Qingyuan; Zheng Yuxiang; Mao Penghui; Zhang Rongjun; Zhang Dongxu; Liu Minghui; Chen Liangyao

    2010-01-01

    A series of SiO 2 films with thickness range 1-600 nm have been deposited on crystal silicon (c-Si) substrates by electron beam evaporation (EBE) method. Variable-angle spectroscopic ellipsometry (VASE) in combination with a two-film model (ambient-oxide-interlayer substrate) was used to determine the optical constants and thicknesses of the investigated films. The refractive indices of SiO 2 films thicker than 60 nm are close to those of bulk SiO 2 . For the thin films deposited at the rate of ∼1.0 nm s -1 , the refractive indices increase with decreasing thickness from ∼60 to ∼10 nm and then drop sharply with decreasing thickness below ∼10 nm. However, for thin films deposited at the rates of ∼0.4 and ∼0.2 nm s -1 , the refractive indices monotonically increase with decreasing thickness below 60 nm. The optical constants of the ultrathin film depend on the morphology of the film, the stress exerted on the film, as well as the stoichiometry of the oxide film.

  7. Thin Cu film resistivity using four probe techniques: Effect of film thickness and geometrical shapes

    Science.gov (United States)

    Choudhary, Sumita; Narula, Rahul; Gangopadhyay, Subhashis

    2018-05-01

    Precise measurement of electrical sheet resistance and resistivity of metallic thin Cu films may play a significant role in temperature sensing by means of resistivity changes which can further act as a safety measure of various electronic devices during their operation. Four point probes resistivity measurement is a useful approach as it successfully excludes the contact resistance between the probes and film surface of the sample. Although, the resistivity of bulk samples at a particular temperature mostly depends on its materialistic property, however, it may significantly differ in the case of thin films, where the shape and thickness of the sample can significantly influence on it. Depending on the ratio of the film thickness to probe spacing, samples are usually classified in two segments such as (i) thick films or (ii) thin films. Accordingly, the geometric correction factors G can be related to the sample resistivity r, which has been calculated here for thin Cu films of thickness up to few 100 nm. In this study, various rectangular shapes of thin Cu films have been used to determine the shape induced geometric correction factors G. An expressions for G have been obtained as a function of film thickness t versus the probe spacing s. Using these expressions, the correction factors have been plotted separately for each cases as a function of (a) film thickness for fixed linear probe spacing and (b) probe distance from the edge of the film surface for particular thickness. Finally, we compare the experimental results of thin Cu films of various rectangular geometries with the theoretical reported results.

  8. Influence of thickness on properties of plasticized oat starch films

    Directory of Open Access Journals (Sweden)

    Melicia Cintia Galdeano

    2013-08-01

    Full Text Available The aim of this study was to investigate the effect of thickness (between 80 and 120 µm on apparent opacity, water vapor permeability and mechanical properties (tensile and puncture of oat starch films plasticized with glycerol, sorbitol, glycerol:sorbitol mixture, urea and sucrose. Films were stored under 11, 57, 76 and 90% relative humidity (RH to study the mechanical properties. It was observed that the higher the thickness, the higher was the opacity values. Films without the plasticizer were more opaque in comparison with the plasticized ones. Glycerol:sorbitol films presented increased elongation with increasing thickness at all RH. Puncture force showed a strong dependence on the film thickness, except for the films plasticized with sucrose. In general, thickness did not affect the water permeability.

  9. Growth and structural investigations of epitaxial hexagonal YMnO3 thin films deposited on wurtzite GaN(001) substrates

    International Nuclear Information System (INIS)

    Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.

    2006-01-01

    Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned

  10. Mg doping and its effect on the semipolar GaN(1122) growth kinetics

    International Nuclear Information System (INIS)

    Lahourcade, L.; Wirthmueller, A.; Monroy, E.; Pernot, J.; Chauvat, M. P.; Ruterana, P.; Laufer, A.; Eickhoff, M.

    2009-01-01

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(1122) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(1122). We observe an enhancement of Mg incorporation in GaN(1122) compared to GaN(0001). Typical structural defects or polarity inversion domains found in Mg-doped GaN(0001) were not observed for the semipolar films investigated in the present study.

  11. Film thickness in gas-liquid two-phase flow, (2)

    International Nuclear Information System (INIS)

    Sekoguchi, Kotohiko; Fukano, Toru; Kawakami, Yasushi; Shimizu, Hideo.

    1977-01-01

    The effect of four rectangular obstacles inserted into a circular tube has been studied in gas-liquid two-phase flow. The obstacles are set on the inner wall of the tube, and the ratio of the opening is 0.6. The water film flows partially through the obstacles. The minimum thickness of water film was measured in relation to flow speed. The serious effect of the obstacles was seen against the formation of water film, and drainage under the obstacles and backward flow play important roles. Since water film can flow partially through the obstacles, the film in case of the rectangular obstacles in thicker than that in case of an orifice when the gas flow speed was slower than 5 m/s. However, when the gas flow speed is over 5 m/s, the film thickness was thinner. The minimum film thickness of downstream of the obstacles was almost same as that in case of no obstacle. The minimum film thickness of up stream depends on the location of measurement due to the effect of drainage. (Kato, T.)

  12. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. One-step aerosol synthesis of nanoparticle agglomerate films: simulation of film porosity and thickness

    International Nuclear Information System (INIS)

    Maedler, Lutz; Lall, Anshuman A; Friedlander, Sheldon K

    2006-01-01

    A method is described for designing nanoparticle agglomerate films with desired film porosity and film thickness. Nanoparticle agglomerates generated in aerosol reactors can be directly deposited on substrates to form uniform porous films in one step, a significant advance over existing technologies. The effect of agglomerate morphology and deposition mechanism on film porosity and thickness are discussed. Film porosity was calculated for a given number and size of primary particles that compose the agglomerates, and fractal dimension. Agglomerate transport was described by the Langevin equation of motion. Deposition enhancing forces such as thermophoresis are incorporated in the model. The method was validated for single spherical particles using previous theoretical studies. An S-shape film porosity dependence on the particle Peclet number typical for spherical particles was also observed for agglomerates, but films formed from agglomerates had much higher porosities than films from spherical particles. Predicted film porosities compared well with measurements reported in the literature. Film porosities increased with the number of primary particles that compose an agglomerate and higher fractal dimension agglomerates resulted in denser films. Film thickness as a function of agglomerate deposition time was calculated from the agglomerate deposition flux in the presence of thermophoresis. The calculated film thickness was in good agreement with measured literature values. Thermophoresis can be used to reduce deposition time without affecting the film porosity

  14. GaN membrane MSM ultraviolet photodetectors

    Science.gov (United States)

    Muller, A.; Konstantinidis, G.; Kostopoulos, A.; Dragoman, M.; Neculoiu, D.; Androulidaki, M.; Kayambaki, M.; Vasilache, D.; Buiculescu, C.; Petrini, I.

    2006-12-01

    GaN exhibits unique physical properties, which make this material very attractive for wide range of applications and among them ultraviolet detection. For the first time a MSM type UV photodetector structure was manufactured on a 2.2 μm. thick GaN membrane obtained using micromachining techniques. The low unintentionally doped GaN layer structure was grown by MOCVD on high resistivity (ρ>10kΩcm) oriented silicon wafers, 500μm thick. The epitaxially grown layers include a thin AlN layer in order to reduce the stress in the GaN layer and avoid cracking. Conventional contact lithography, e-gun Ni/Au (10nm /200nm) evaporation and lift-off techniques were used to define the interdigitated Schottky metalization on the top of the wafer. Ten digits with a width of 1μm and a length of 100μm were defined for each electrode. The distance between the digits was also 1μm. After the backside lapping of the wafer to a thickness of approximately 150μm, a 400nm thick Al layer was patterned and deposited on the backside, to be used as mask for the selective reactive ion etching of silicon. The backside mask, for the membrane formation, was patterned using double side alignment techniques and silicon was etched down to the 2.2μm thin GaN layer using SF 6 plasma. A very low dark current (30ρA at 3V) was obtained. Optical responsivity measurements were performed at 1.5V. A maximum responsivity of 18mA/W was obtained at a wavelength of 370nm. This value is very good and can be further improved using transparent contacts for the interdigitated structure.

  15. Barium titanate thick films prepared by screen printing technique

    Directory of Open Access Journals (Sweden)

    Mirjana M. Vijatović

    2010-06-01

    Full Text Available The barium titanate (BaTiO3 thick films were prepared by screen printing technique using powders obtained by soft chemical route, modified Pechini process. Three different barium titanate powders were prepared: i pure, ii doped with lanthanum and iii doped with antimony. Pastes for screen printing were prepared using previously obtained powders. The thick films were deposited onto Al2O3 substrates and fired at 850°C together with electrode material (silver/palladium in the moving belt furnace in the air atmosphere. Measurements of thickness and roughness of barium titanate thick films were performed. The electrical properties of thick films such as dielectric constant, dielectric losses, Curie temperature, hysteresis loop were reported. The influence of different factors on electrical properties values was analyzed.

  16. Temperature- and thickness-dependent elastic moduli of polymer thin films

    Directory of Open Access Journals (Sweden)

    Ao Zhimin

    2011-01-01

    Full Text Available Abstract The mechanical properties of polymer ultrathin films are usually different from those of their counterparts in bulk. Understanding the effect of thickness on the mechanical properties of these films is crucial for their applications. However, it is a great challenge to measure their elastic modulus experimentally with in situ heating. In this study, a thermodynamic model for temperature- (T and thickness (h-dependent elastic moduli of polymer thin films Ef(T,h is developed with verification by the reported experimental data on polystyrene (PS thin films. For the PS thin films on a passivated substrate, Ef(T,h decreases with the decreasing film thickness, when h is less than 60 nm at ambient temperature. However, the onset thickness (h*, at which thickness Ef(T,h deviates from the bulk value, can be modulated by T. h* becomes larger at higher T because of the depression of the quenching depth, which determines the thickness of the surface layer δ.

  17. Influence of Thickness on Ethanol Sensing Characteristics of Doctor-bladed Thick Film from Flame-made ZnO Nanoparticles

    Directory of Open Access Journals (Sweden)

    Sukon Phanichphant

    2007-02-01

    Full Text Available ZnO nanoparticles were produced by flame spray pyrolysis (FSP using zincnaphthenate as a precursor dissolved in toluene/acetonitrile (80/20 vol%. The particleproperties were analyzed by XRD, BET, and HR-TEM. The sensing films were produced bymixing the particles into an organic paste composed of terpineol and ethyl cellulose as avehicle binder and were fabricated by doctor-blade technique with various thicknesses (5,10, 15 μm. The morphology of the sensing films was analyzed by SEM and EDS analyses.The gas sensing characteristics to ethanol (25-250 ppm were evaluated as a function of filmthickness at 400°C in dry air. The relationship between thickness and ethanol sensingcharacteristics of ZnO thick film on Al2O3 substrate interdigitated with Au electrodes wereinvestigated. The effects of film thickness, as well as the cracking phenomenon, though,many cracks were observed for thicker sensing films. Crack widths increased withincreasing film thickness. The film thickness, cracking and ethanol concentration havesignificant effect on the sensing characteristics. The sensing characteristics with variousthicknesses were compared, showing the tendency of the sensitivity to ethanol decreasedwith increasing film thickness and response time. The relationship between gas sensingproperties and film thickness was discussed on the basis of diffusively and reactivity of thegases inside the oxide films. The thinnest sensing film (5 μm showed the highest sensitivityand the fastest response time (within seconds.

  18. Thickness-dependent spontaneous dewetting morphology of ultrathin Ag films

    Energy Technology Data Exchange (ETDEWEB)

    Krishna, H; Favazza, C [Department of Physics, Washington University in St Louis, MO 63130 (United States); Sachan, R; Strader, J; Kalyanaraman, R [Department of Material Science and Engineering, University of Tennessee, Knoxville, TN 37996 (United States); Khenner, M, E-mail: ramki@utk.edu [Department of Mathematics, Western Kentucky University, Bowling Green, KY 42101 (United States)

    2010-04-16

    We show here that the morphological pathway of spontaneous dewetting of ultrathin Ag films on SiO{sub 2} under nanosecond laser melting is dependent on film thickness. For films with thickness h of 2 nm {<=} h {<=} 9.5 nm, the morphology during the intermediate stages of dewetting consisted of bicontinuous structures. For films with 11.5 nm {<=} h {<=} 20 nm, the intermediate stages consisted of regularly sized holes. Measurement of the characteristic length scales for different stages of dewetting as a function of film thickness showed a systematic increase, which is consistent with the spinodal dewetting instability over the entire thickness range investigated. This change in morphology with thickness is consistent with observations made previously for polymer films (Sharma and Khanna 1998 Phys. Rev. Lett. 81 3463-6; Seemann et al 2001 J. Phys.: Condens. Matter 13 4925-38). Based on the behavior of free energy curvature that incorporates intermolecular forces, we have estimated the morphological transition thickness for the intermolecular forces for Ag on SiO{sub 2}. The theory predictions agree well with observations for Ag. These results show that it is possible to form a variety of complex Ag nanomorphologies in a consistent manner, which could be useful in optical applications of Ag surfaces, such as in surface enhanced Raman sensing.

  19. A new attempt of measurement film thickness by x-ray diffractometry

    International Nuclear Information System (INIS)

    Kosaka, Masao; Kobayashi, Hideo

    1987-01-01

    In order to make film thickness measurements independent from the property or the structure of the film materials or the substrate, it is needed to adopt instead of directly utilizing the X-ray diffraction intensity, or attenuation information obtained from the substrate or film material, other new methods for measurement. Among the information obtained by X-ray diffraction, if intensity is excluded, others are F.W.H.M. and diffraction angle, only. If it is possible to investigate the film thickness dependency of the diffraction angle, it should be possible to measure the film thickness by diffraction angle. However, since diffraction angle has no film thickness dependency, it cannot be used directly for measurement. However, if we consider the principle of the X-ray diffractometer method, although it may be very slight, the substrate will be eccentric from the revolving center of the goniometer on account of the thickness of the film. If eccentricity occurs, this will cause changes in the diffraction angle. If we set the radius of the goniometer as R, diffraction angle θ, and the eccentricity from the revolving center of the specimen surface X, the deflection angle Δ2θ of 2θ may be expressed by Δ2θ = -2X · COSθ/R Thus, if X is caused by the film thickness, and by measuring the Δ2θ, it will be possible to measure the film thickness. As a result of the experiment, it was found that X-ray diffraction method can be used for the measurement of the film thickness of a few microns or above by utilizing the eccentricity caused by the film thickness. Especially it has the advantage of being able to measure thick films that X-rays will not penetrate, without being influenced by the chemical structure of the film or the substrates. (author)

  20. Film thickness determining method of the silicon isotope superlattices by SIMS

    International Nuclear Information System (INIS)

    Takano, Akio; Shimizu, Yasuo; Itoh, Kohei M.

    2008-01-01

    It is becoming important to evaluate silicon self-diffusion with progress of a silicon semiconductor industry. In order to evaluate the self-diffusion of silicon, silicon isotope superlattices (SLs) is the only marker. For this reason, it is important to correctly evaluate a film thickness and a depth distribution of isotope SLs by secondary ion mass spectrometry (SIMS). As for film thickness, it is difficult to estimate the thicknesses correctly if the cycles of SLs are short. In this work, first, we report the determination of the film thickness for short-period SLs using mixing roughness-information (MRI) analysis to SIMS profile. Next, the uncertainty of the conventional method to determine the film thicknesses of SLs is determined. It was found that the conventional methods cannot correctly determine film thickness of short-period-isotope SLs where film thickness differs for every layer

  1. Effect of thickness on optical properties of thermally evaporated SnS films

    International Nuclear Information System (INIS)

    Selim, M.S.; Gouda, M.E.; El-Shaarawy, M.G.; Salem, A.M.; Abd El-Ghany, W.A.

    2013-01-01

    The effect of film thickness on the structure and optical properties of thermally evaporated SnS film has been studied. SnS films with different thicknesses in the range 152–585 nm were deposited onto clean glass substrates at room temperature. X-ray diffraction study revealed that SnS films of thickness ≥ 283 nm are crystalline, whereas films of lower thickness exhibit poor crystalline with more amorphous background. The crystalline nature of the lower film thickness has been confirmed using transmission electron microscope and the corresponding electron diffraction pattern. The thicker film samples showed nearly stoichiometric chemical composition; however, thinner samples are deficient in S and rich in Sn. The optical property of the deposited films has been investigated in the wavelength range 350–2500 nm. The refractive index increases notably with increasing film thickness. The refractive index for the investigated film thicknesses are adequately described by the effective-single-oscillator model. The static refractive index and the static dielectric constant have been calculated. Analysis of the optical absorption coefficient revealed the presence of direct optical transition and the corresponding band gap values were found to decrease as the film thickness increases. - Highlights: ► X-ray diffraction was used to study the structure of SnS films. ► Transmission electron microscope confirms the crystalline state of SnS films. ► The refractive index increases notably with increasing the film thickness. ► The optical band gap of SnS films decreases with increasing film thickness

  2. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  3. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Ho, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Matney, K [Bede Scientific Inc., Englewood, CO 80112 (United States); Sandhu, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Goorsky, M [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure.

  4. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    International Nuclear Information System (INIS)

    Poust, B; Heying, B; Hayashi, S; Ho, R; Matney, K; Sandhu, R; Wojtowicz, M; Goorsky, M

    2005-01-01

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure

  5. Investigation of optical gain in Eu-doped GaN thin film grown by OMVPE method

    NARCIS (Netherlands)

    Ha, N.N.; Nishikawa, A.; Fujiwara, Y.; Gregorkiewicz, T.

    We prepare and optically characterize a thin film of GaN:Eu. Room temperature intense emission band at around 620 nm is observed, corresponding to 5D0 → 7F2 electronic dipole transition of Eu3+ ions in the GaN host material. At lower temperatures, three components, at 621, 622, and 623 nm, arising

  6. Electroplated thick-film cobalt platinum permanent magnets

    International Nuclear Information System (INIS)

    Oniku, Ololade D.; Qi, Bin; Arnold, David P.

    2016-01-01

    The material and magnetic properties of multi-micron-thick (up to 6 μm) L1 0 CoPt magnetic films electroplated onto silicon substrates are investigated as candidate materials for integration in silicon-based microsystems. The influence of various process conditions on the structure and magnetic properties of electroplated CoPt thick-films is studied in order to better understand the complex process/structure/property relationships associated with the electroplated films. Process variables studied here include different seed layers, electroplating current densities (ranging from 25–200 mA/cm 2 ), deposition times (up to 60 min), and post-deposition annealing times and temperatures. Analyses include film morphology, film thickness, composition, surface roughness, grain size, phase volume fractions, and L1 0 ordering parameter. Key correlations are found relating process and structure variations to the extrinsic magnetic properties (remanence, coercivity, squareness, and energy product). Strong hard magnetic properties (B r ~0.8 T, H ci ~800 kA/m, squareness close to 0.9, and BH max of 100 kJ/m 3 ) are obtained for films deposited on Si/TiN/Ti/Cu at current densities of 100 mA/cm 2 , pH of 7, and subsequently annealed at 675 °C for 30 min. - Highlights: • CoPt films plated up to 6 μm thick on silicon substrates. • A1 to L1 0 phase transformation by annealing in forming gas. • Various process–structure–property relationships explored. • Key results: B r ~0.8 T, H ci ~800 kA/m, squareness 0.9, and BH max ~100 kJ/m 3 .

  7. High-throughput measurement of polymer film thickness using optical dyes

    Science.gov (United States)

    Grunlan, Jaime C.; Mehrabi, Ali R.; Ly, Tien

    2005-01-01

    Optical dyes were added to polymer solutions in an effort to create a technique for high-throughput screening of dry polymer film thickness. Arrays of polystyrene films, cast from a toluene solution, containing methyl red or solvent green were used to demonstrate the feasibility of this technique. Measurements of the peak visible absorbance of each film were converted to thickness using the Beer-Lambert relationship. These absorbance-based thickness calculations agreed within 10% of thickness measured using a micrometer for polystyrene films that were 10-50 µm. At these thicknesses it is believed that the absorbance values are actually more accurate. At least for this solvent-based system, thickness was shown to be accurately measured in a high-throughput manner that could potentially be applied to other equivalent systems. Similar water-based films made with poly(sodium 4-styrenesulfonate) dyed with malachite green oxalate or congo red did not show the same level of agreement with the micrometer measurements. Extensive phase separation between polymer and dye resulted in inflated absorbance values and calculated thickness that was often more than 25% greater than that measured with the micrometer. Only at thicknesses below 15 µm could reasonable accuracy be achieved for the water-based films.

  8. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  9. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    OpenAIRE

    Monemar, Bo; Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder; Lindgren, David; Samuelson, Lars; Ni, Xianfeng; Morkoç, Hadis; Paskova, Tanya; Bi, Zhaoxia; Ohlsson, Jonas

    2011-01-01

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 1018cm-3 to well above 1019 cm-3. The samples were grown with MOCVD at reduced pressure on low defect density m-plane bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9 eV to 3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependenc...

  10. Film-thickness dependence of structure formation in ultra-thin polymer blend films

    CERN Document Server

    Gutmann, J S; Stamm, M

    2002-01-01

    We investigated the film-thickness dependence of structure formation in ultra-thin polymer blend films prepared from solution. As a model system we used binary blends of statistical poly(styrene-co-p-bromostyrene) copolymers of different degrees of bromination. Ultra-thin-film samples differing in miscibility and film thickness were prepared via spin coating of common toluene solutions onto silicon (100) substrates. The resulting morphologies were investigated with scanning force microscopy, reflectometry and grazing-incidence scattering techniques using both X-rays and neutrons in order to obtain a picture of the sample structure at and below the sample surface. (orig.)

  11. Thickness-dependent spontaneous dewetting morphology of ultrathin Ag films.

    Science.gov (United States)

    Krishna, H; Sachan, R; Strader, J; Favazza, C; Khenner, M; Kalyanaraman, R

    2010-04-16

    We show here that the morphological pathway of spontaneous dewetting of ultrathin Ag films on SiO2 under nanosecond laser melting is dependent on film thickness. For films with thickness h of 2 nm < or = h < or = 9.5 nm, the morphology during the intermediate stages of dewetting consisted of bicontinuous structures. For films with 11.5 nm < or = h < or = 20 nm, the intermediate stages consisted of regularly sized holes. Measurement of the characteristic length scales for different stages of dewetting as a function of film thickness showed a systematic increase, which is consistent with the spinodal dewetting instability over the entire thickness range investigated. This change in morphology with thickness is consistent with observations made previously for polymer films (Sharma and Khanna 1998 Phys. Rev. Lett. 81 3463-6; Seemann et al 2001 J. Phys.: Condens. Matter 13 4925-38). Based on the behavior of free energy curvature that incorporates intermolecular forces, we have estimated the morphological transition thickness for the intermolecular forces for Ag on SiO2. The theory predictions agree well with observations for Ag. These results show that it is possible to form a variety of complex Ag nanomorphologies in a consistent manner, which could be useful in optical applications of Ag surfaces, such as in surface enhanced Raman sensing.

  12. Nano-Hydroxyapatite Thick Film Gas Sensors

    International Nuclear Information System (INIS)

    Khairnar, Rajendra S.; Mene, Ravindra U.; Munde, Shivaji G.; Mahabole, Megha P.

    2011-01-01

    In the present work pure and metal ions (Co and Fe) doped hydroxyapatite (HAp) thick films have been successfully utilized to improve the structural, morphological and gas sensing properties. Nanocrystalline HAp powder is synthesized by wet chemical precipitation route, and ion exchange process is employed for addition of Co and Fe ions in HAp matrix. Moreover, swift heavy ion irradiation (SHI) technique is used to modify the surface of pure and metal ion exchanged HAp with various ion fluence. The structural investigation of pure and metal ion exchanged HAp thick films are carried out using X-ray diffraction and the presence of functional group is observed by means FTIR spectroscopy. Furthermore, surface morphology is visualized by means of SEM and AFM analysis. CO gas sensing study is carried out for, pure and metal ions doped, HAp thick films with detail investigation on operating temperature, response/recovery time and gas uptake capacity. The surface modifications of sensor matrix by SHI enhance the gas response, response/recovery and gas uptake capacity. The significant observation is here to note that, addition of Co and Fe in HAp matrix and surface modification by SHI improves the sensing properties of HAp films drastically resulting in gas sensing at relatively lower temperatures.

  13. Determination of carrier diffusion length in GaN

    Science.gov (United States)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  14. Self-Poling of BiFeO3 Thick Films.

    Science.gov (United States)

    Khomyakova, Evgeniya; Sadl, Matej; Ursic, Hana; Daniels, John; Malic, Barbara; Bencan, Andreja; Damjanovic, Dragan; Rojac, Tadej

    2016-08-03

    Bismuth ferrite (BiFeO3) is difficult to pole because of the combination of its high coercive field and high electrical conductivity. This problem is particularly pronounced in thick films. The poling, however, must be performed to achieve a large macroscopic piezoelectric response. This study presents evidence of a prominent and reproducible self-poling effect in few-tens-of-micrometer-thick BiFeO3 films. Direct and converse piezoelectric measurements confirmed that the as-sintered BiFeO3 thick films yield d33 values of up to ∼20 pC/N. It was observed that a significant self-poling effect only appears in cases when the films are heated and cooled through the ferroelectric-paraelectric phase transition (Curie temperature TC ∼ 820 °C). These self-poled films exhibit a microstructure with randomly oriented columnar grains. The presence of a compressive strain gradient across the film thickness cooled from above the TC was experimentally confirmed and is suggested to be responsible for the self-poling effect. Finally, the macroscopic d33 response of the self-poled BiFeO3 film was characterized as a function of the driving-field frequency and amplitude.

  15. Electroplated thick-film cobalt platinum permanent magnets

    Energy Technology Data Exchange (ETDEWEB)

    Oniku, Ololade D.; Qi, Bin; Arnold, David P., E-mail: darnold@ufl.edu

    2016-10-15

    The material and magnetic properties of multi-micron-thick (up to 6 μm) L1{sub 0} CoPt magnetic films electroplated onto silicon substrates are investigated as candidate materials for integration in silicon-based microsystems. The influence of various process conditions on the structure and magnetic properties of electroplated CoPt thick-films is studied in order to better understand the complex process/structure/property relationships associated with the electroplated films. Process variables studied here include different seed layers, electroplating current densities (ranging from 25–200 mA/cm{sup 2}), deposition times (up to 60 min), and post-deposition annealing times and temperatures. Analyses include film morphology, film thickness, composition, surface roughness, grain size, phase volume fractions, and L1{sub 0} ordering parameter. Key correlations are found relating process and structure variations to the extrinsic magnetic properties (remanence, coercivity, squareness, and energy product). Strong hard magnetic properties (B{sub r} ~0.8 T, H{sub ci} ~800 kA/m, squareness close to 0.9, and BH{sub max} of 100 kJ/m{sup 3}) are obtained for films deposited on Si/TiN/Ti/Cu at current densities of 100 mA/cm{sup 2}, pH of 7, and subsequently annealed at 675 °C for 30 min. - Highlights: • CoPt films plated up to 6 μm thick on silicon substrates. • A1 to L1{sub 0} phase transformation by annealing in forming gas. • Various process–structure–property relationships explored. • Key results: B{sub r} ~0.8 T, H{sub ci} ~800 kA/m, squareness 0.9, and BH{sub max} ~100 kJ/m{sup 3}.

  16. Optical and field emission properties of layer-structure GaN nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Zhen [Science School, Xi’an University of Technology, Xi’an 710048 (China); School of automation and Information Engineering, Xi’an University of Technology, Xi’an 710048 (China); Li, Enling, E-mail: Lienling@xaut.edu.cn [Science School, Xi’an University of Technology, Xi’an 710048 (China); Shi, Wei; Ma, Deming [Science School, Xi’an University of Technology, Xi’an 710048 (China)

    2014-08-15

    Highlights: • The layer-structure GaN nanowires with hexagonal-shaped cross-sections are produced via a process based on the CVD method. • The diameter of the layer-structure GaN nanowire gradually decreases from ∼500 nm to ∼200 nm along the wire axis. • The layer-structure GaN nanowire film possesses good field emission property. - Abstract: A layer-structure gallium nitride (GaN) nanowires, grown on Pt-coated n-type Si (1 1 1) substrate, have been synthesized using chemical vapor deposition (CVD). The results show: (1) SEM indicates that the geometry structure is layer-structure. HRTEM indicates that GaN nanowire’s preferential growth direction is along [0 0 1] direction. (2) The room temperature PL emission spectrum of the layer-structure GaN nanowires has a peak at 375 nm, which proves that GaN nanowires have potential application in light-emitting nano-devices. (3) Field-emission measurements show that the layer-structure GaN nanowires film has a low turn-on field of 4.39 V/μm (at room temperature), which is sufficient for electron emission devices, field emission displays and vacuum nano-electronic devices. The growth mechanism for GaN nanowires has also been discussed briefly.

  17. Measurement of the thickness of thin films by backscattered protons

    International Nuclear Information System (INIS)

    Samaniego, L.E.Q.

    1976-07-01

    The method of backscattered protons has been used to measure the thickness of thin films. A monoenergetic beam of protons is directed on the film to be measured and the backscattered protons are detected with a particle detector. The film thickness is calculated from the energy spectrum of the protons. In the case of films consisting of several layers of elements with well separated atomic masses, it is possible to separate the spectra of protons scattered from the different elements, permitting a measurement of the thicknesses of the different layers. The method consists of calculating the energy loss of the protons throughout their trajectory, from the point of incidence on the film to the final detection. Thicknesses were measured for the following film combinations: gold on mylar, chromium on mylar, gold on chromium on mylar, and pure mylar. (Author) [pt

  18. High-throughput characterization of film thickness in thin film materials libraries by digital holographic microscopy

    International Nuclear Information System (INIS)

    Lai Yiuwai; Hofmann, Martin R; Ludwig, Alfred; Krause, Michael; Savan, Alan; Thienhaus, Sigurd; Koukourakis, Nektarios

    2011-01-01

    A high-throughput characterization technique based on digital holography for mapping film thickness in thin-film materials libraries was developed. Digital holographic microscopy is used for fully automatic measurements of the thickness of patterned films with nanometer resolution. The method has several significant advantages over conventional stylus profilometry: it is contactless and fast, substrate bending is compensated, and the experimental setup is simple. Patterned films prepared by different combinatorial thin-film approaches were characterized to investigate and demonstrate this method. The results show that this technique is valuable for the quick, reliable and high-throughput determination of the film thickness distribution in combinatorial materials research. Importantly, it can also be applied to thin films that have been structured by shadow masking.

  19. High-throughput characterization of film thickness in thin film materials libraries by digital holographic microscopy.

    Science.gov (United States)

    Lai, Yiu Wai; Krause, Michael; Savan, Alan; Thienhaus, Sigurd; Koukourakis, Nektarios; Hofmann, Martin R; Ludwig, Alfred

    2011-10-01

    A high-throughput characterization technique based on digital holography for mapping film thickness in thin-film materials libraries was developed. Digital holographic microscopy is used for fully automatic measurements of the thickness of patterned films with nanometer resolution. The method has several significant advantages over conventional stylus profilometry: it is contactless and fast, substrate bending is compensated, and the experimental setup is simple. Patterned films prepared by different combinatorial thin-film approaches were characterized to investigate and demonstrate this method. The results show that this technique is valuable for the quick, reliable and high-throughput determination of the film thickness distribution in combinatorial materials research. Importantly, it can also be applied to thin films that have been structured by shadow masking.

  20. Structural and optical properties of Si-doped GaN

    OpenAIRE

    Cremades Rodríguez, Ana Isabel; Gorgens, L.; Ambacher, O.; Stutzmann, M.; Scholz, F.

    2000-01-01

    Structural and optical properties of Si-doped GaN thin films grown by metal-organic chemical vapor deposition have been studied by means of high resolution x-ray diffraction (XRD), atomic force microscopy, photoluminescence, photothermal deflection spectroscopy, and optical transmission measurements. The incorporation of silicon in the GaN films leads to pronounced tensile stress. The energy position of the neutral donor bound excitonic emission correlates with the measured stress. The stress...

  1. Structural and optical properties of GaN thin films grown on Al2O3 substrates by MOCVD at different reactor pressures

    International Nuclear Information System (INIS)

    Guillén-Cervantes, A.; Rivera-Álvarez, Z.; López-López, M.; Ponce-Pedraza, A.; Guarneros, C.; Sánchez-Reséndiz, V.M.

    2011-01-01

    GaN thin films grown by MOCVD on (0 0 0 1) Al 2 O 3 substrates at different growth pressures were characterized by field-emission scanning electron microscopy, atomic force microscopy, micro-Raman, and photoluminescence at room temperature. It was found that there is an optimum pressure of 76 Torr at which the structural and optical properties of the GaN samples are superior. On the other hand samples grown at higher pressure exhibited hexagonal surface pits and surface spirals. The results showed that the growth pressure strongly influences the morphology, and significantly affects the structural and optical properties of the GaN epilayers.

  2. Subtractive fabrication of ferroelectric thin films with precisely controlled thickness

    Science.gov (United States)

    Ievlev, Anton V.; Chyasnavichyus, Marius; Leonard, Donovan N.; Agar, Joshua C.; Velarde, Gabriel A.; Martin, Lane W.; Kalinin, Sergei V.; Maksymovych, Petro; Ovchinnikova, Olga S.

    2018-04-01

    The ability to control thin-film growth has led to advances in our understanding of fundamental physics as well as to the emergence of novel technologies. However, common thin-film growth techniques introduce a number of limitations related to the concentration of defects on film interfaces and surfaces that limit the scope of systems that can be produced and studied experimentally. Here, we developed an ion-beam based subtractive fabrication process that enables creation and modification of thin films with pre-defined thicknesses. To accomplish this we transformed a multimodal imaging platform that combines time-of-flight secondary ion mass spectrometry with atomic force microscopy to a unique fabrication tool that allows for precise sputtering of the nanometer-thin layers of material. To demonstrate fabrication of thin-films with in situ feedback and control on film thickness and functionality we systematically studied thickness dependence of ferroelectric switching of lead-zirconate-titanate, within a single epitaxial film. Our results demonstrate that through a subtractive film fabrication process we can control the piezoelectric response as a function of film thickness as well as improve on the overall piezoelectric response versus an untreated film.

  3. Intrinsic flux pinning mechanisms in different thickness MgB2 films

    Directory of Open Access Journals (Sweden)

    C. Yang

    2017-03-01

    Full Text Available MgB2 films in four thickness (60 nm, 200nm, 600nm and 1μm have been fabricated by hybrid physical–chemical vapor deposition technique (HPCVD. By measuring the magnetization hysteresis loops and the resistivity, we have obtained the transport and magnetic properties of the four films. After that, the pinning mechanisms in them were discussed. Comparing the pinning behaviors in these ultrathin films, thin films and thick films, it was found that there exist different pinning types in MgB2 films of different thickness. In combination with the study of the surface morphology, cross-section and XRD results, we concluded that MgB2 films had different growth modes in different growth stages. For thin films, films grew along c axis, and grain boundaries acted as surface pinning. While for thick films, films grew along c axis at first, and then changed to a-b axis growth. As a result, the a-b axis grains acted as strong volume pinning.

  4. Thickness-dependent appearance of ferromagnetism in Pd(100) ultrathin films

    Science.gov (United States)

    Sakuragi, S.; Sakai, T.; Urata, S.; Aihara, S.; Shinto, A.; Kageshima, H.; Sawada, M.; Namatame, H.; Taniguchi, M.; Sato, T.

    2014-08-01

    We report the appearance of ferromagnetism in thin films of Pd(100), which depends on film thickness in the range of 3-5 nm on SrTiO3(100) substrates. X-ray magnetic circular dichroism measurement shows the intrinsic nature of ferromagnetism in Pd(100) films. The spontaneous magnetization in Pd(100) films, corresponding to is 0.61μB/atom, is comparable to Ni, and it changes in an oscillatory manner depending on film thickness, where the period quantitatively agrees with the theoretical prediction based on the two-dimensional quantum well in the film. This indicates that the discrete electronic states in the quantum well shift to Fermi energy to satisfy the condition for ferromagnetism (Stoner criterion) at a specific film thickness.

  5. Breakdown mechanisms in AlGaN/GaN high electron mobility transistors with different GaN channel thickness values

    International Nuclear Information System (INIS)

    Ma Xiao-Hua; Zhang Ya-Man; Chen Wei-Wei; Wang Xin-Hua; Yuan Ting-Ting; Pang Lei; Liu Xin-Yu

    2015-01-01

    In this paper, the off-state breakdown characteristics of two different AlGaN/GaN high electron mobility transistors (HEMTs), featuring a 50-nm and a 150-nm GaN thick channel layer, respectively, are compared. The HEMT with a thick channel exhibits a little larger pinch-off drain current but significantly enhanced off-state breakdown voltage (BV off ). Device simulation indicates that thickening the channel increases the drain-induced barrier lowering (DIBL) but reduces the lateral electric field in the channel and buffer underneath the gate. The increase of BV off in the thick channel device is due to the reduction of the electric field. These results demonstrate that it is necessary to select an appropriate channel thickness to balance DIBL and BV off in AlGaN/GaN HEMTs. (paper)

  6. A „Hybrid“ Thin-Film pH Sensor with Integrated Thick-Film Reference

    OpenAIRE

    Simonis, Anette; Krings, Thomas; Lüth, Hans; Wang, Joseph; Schöning, Michael J.

    2001-01-01

    A reference electrode fabricated by means of thick-film technique is deposited onto a silicon substrate and combined with a thin-film pH sensor to a “hybrid†chip system. To evaluate the suitability of this combination, first investigations were carried out. The characteristics of the thin-film pH sensor were studied towards the thick-film Ag/AgCl reference electrode. Measurements were performed in the capacitance/voltage (C/V) and constant capacitance (Concap) mode for different pH ...

  7. Nanoscale imaging of surface piezoresponse on GaN epitaxial layers

    International Nuclear Information System (INIS)

    Stoica, T.; Calarco, R.; Meijers, R.; Lueth, H.

    2007-01-01

    Surfaces of GaN films were investigated by atomic force microscopy (AFM) with implemented piezoelectric force microscopy technique. A model of PFM based on the surface depletion region in GaN films is discussed. The local piezoelectric effect of the low frequency regime was found to be in phase with the applied voltage on large domains, corresponding to a Ga-face of the GaN layer. Low piezoresponse is obtained within the inter-domain regions. The use of frequencies near a resonance frequency enhances very much the resolution of piezo-imaging, but only for very low scanning speed the piezo-imaging can follow the local piezoelectric effect. An inversion of the PFM image contrast is obtained for frequencies higher than the resonance frequencies. The effect of a chemical surface treatment on the topography and the piezoresponse of the GaN films was also investigated. Textured surfaces with very small domains were observed after the chemical treatment. For this kind of surfaces, piezo-induced torsion rather than bending of the AFM cantilever dominates the contrast of the PFM images. A small memory effect was observed, and explained by surface charging and confinement of the piezoelectric effect within the carrier depletion region at the GaN surface

  8. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  9. Order in nanometer thick intergranular films at Au-sapphire interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Baram, Mor [Department of Materials Engineering, Technion-Israel Institute of Technology, Haifa 32000 (Israel); Garofalini, Stephen H. [Department of Materials Science and Engineering, Rutgers University, Piscataway, NJ 08854-8065 (United States); Kaplan, Wayne D., E-mail: kaplan@tx.technion.ac.il [Department of Materials Engineering, Technion-Israel Institute of Technology, Haifa 32000 (Israel)

    2011-08-15

    Highlights: {yields} Au particles were equilibrated on (0 0 0 1) sapphire in the presence of anorthite. {yields} 1.2 nm thick equilibrium films (complexions) were formed at the Au-sapphire interfaces. {yields} Quantitative HRTEM was used to study the atomistic structure of the films. {yields} Structural order was observed in the 1.2 nm thick films adjacent to the sapphire crystal. {yields} This demonstrates that ordering is an intrinsic part of equilibrium intergranular films. - Abstract: In recent years extensive studies on interfaces have shown that {approx}1 nm thick intergranular films (IGF) exist at interfaces in different material systems, and that IGF can significantly affect the materials' properties. However, there is great deal of uncertainty whether such films are amorphous or partially ordered. In this study specimens were prepared from Au particles that were equilibrated on sapphire substrates in the presence of anorthite glass, leading to the formation of 1.2 nm thick IGF at the Au-sapphire interfaces. Site-specific cross-section samples were characterized using quantitative high resolution transmission electron microscopy to study the atomistic structure of the films. Order was observed in the 1.2 nm thick films adjacent to the sapphire crystal in the form of 'Ca cages', experimentally demonstrating that ordering is an intrinsic part of IGF, as predicted from molecular dynamics and diffuse interface theory.

  10. Enhanced Properties of Porous GaN Prepared by UV Assisted Electrochemical Etching

    International Nuclear Information System (INIS)

    Ainorkhilah Mahmood; Ainorkhilah Mahmood; Siang, C.L.

    2011-01-01

    The structural and optical properties of porous GaN films on sapphire (0001) prepared by UV assisted electrochemical etching were reported in this study. SEM micrographs indicated that the shapes of the pores for both porous samples are nearly hexagonal. XRD revealed that the broadening in spectrum is due to the small size crystallites. As compared to the as grown GaN films, porous layers exhibit a substantial photoluminescence (PL) intensity enhancement with red-shifted band-edge PL peaks associated with the relaxation of compressive stress. The shift of E2(high) to the lower frequency in Raman spectra of the porous GaN films further confirms such a stress relaxation. (author)

  11. Novel oxide buffer approach for GaN integration on Si(111) platform through Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bi-layer

    Energy Technology Data Exchange (ETDEWEB)

    Tarnawska, Lidia

    2012-12-19

    Motivation: Preparation of GaN virtual substrates on large-scale Si wafers is intensively pursued as a cost-effective approach for high power/high frequency electronics (HEMT's etc.) and optoelectronic applications (LED, LASER). However, the growth of high quality GaN layers on Si is hampered by several difficulties mainly related to a large lattice mismatch (-17%) and a huge difference in the thermal expansion coefficient (56%). As a consequence, GaN epitaxial layers grown on Si substrates show a high number of defects (threading dislocations etc.), which severely deteriorate the overall quality of the GaN films. Additionally, due to the different thermal expansion coefficients of the substrate and the film, um-thick GaN layers crack during post-growth cooling. To solve these integration problems, different semiconducting (e.g. AlN, GaAs, ZnO, HfN) and insulating (e.g. Al{sub 2}O{sub 3}, MgO, LiGaO{sub 2}) buffer layers, separating the Si substrate from the GaN film, are applied. Goal: In this thesis, a novel buffer approach for the integration of GaN on Si is proposed and investigated. The new approach employs Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bilayer templates as a step-graded buffer to reduce the lattice mismatch between GaN and the Si(111) substrate. According to the bulk crystal lattices, since the Y{sub 2}O{sub 3} has an in-plane lattice misfit of -2% to Si, Sc{sub 2}O{sub 3} -7% to Y{sub 2}O{sub 3}, the lattice misfit between GaN and the substrate can be theoretically reduced by about 50% from -17% (GaN/Si) to -8% (GaN/Sc{sub 2}O{sub 3}). Experimental: The GaN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) heterostructures are prepared in a multichamber molecular beam epitaxy system on 4 inch Si(111) wafers. In order to obtain complete information on the structural quality of the oxide buffer as well as the GaN layer, synchrotron- and laboratory-based X-ray diffraction, transmission electron microscopy and photoluminescence measurements are performed. The

  12. Thickness effect on the microstructure, morphology and optoelectronic properties of ZnS films

    International Nuclear Information System (INIS)

    Prathap, P; Revathi, N; Subbaiah, Y P Venkata; Reddy, K T Ramakrishna

    2008-01-01

    Thin films of ZnS with thicknesses ranging from 100 to 600 nm have been deposited on glass substrates by close spaced thermal evaporation. All the films were grown at the same deposition conditions except the deposition time. The effect of thickness on the physical properties of ZnS films has been studied. The experimental results indicated that the thickness affects the structure, lattice strain, surface morphology and optoelectronic properties of ZnS films significantly. The films deposited at a thickness of 100 nm showed hexagonal structure whereas films of thickness 300 nm or more showed cubic structure. However, coexistence of both cubic and hexagonal structures was observed in the films of 200 nm thickness. The surface roughness of the films showed an increasing trend at higher thicknesses of the films. A blue-shift in the energy band gap along with an intense UV emission band was observed with the decrease of film thickness, which are ascribed to the quantum confinement effect. The behaviour of optical constants such as refractive index and extinction coefficient were analysed. The variation of refractive index and extinction coefficient with thickness was explained on the basis of the contribution from the packing density of the layers. The electrical resistivity as well as the activation energy were evaluated and found to decrease with the increase of film thickness. The thickness had a significant influence on the optical band gap as well as the luminescence intensity

  13. Thickness dependent ferromagnetism in thermally decomposed NiO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ravikumar, Patta; Kisan, Bhagaban; Perumal, Alagarsamy, E-mail: perumal@iitg.ernet.in

    2016-11-15

    We report the effects of film thickness, annealing temperature and annealing environments on thermal decomposition behavior and resulting magnetic properties of NiO (t=50–300 nm) thin films. All the NiO films were prepared directly on thermally oxidized Si at ambient temperature using magnetron sputtering technique and post annealed at different temperatures (T{sub A}) under vacuum and oxygen atmospheres. As-deposited films exhibit face centered cubic structure with large lattice constant due to strain induced during sputtering process. With increasing T{sub A}, the lattice constant decreases due to the release of strain and thickness dependent thermal decomposition reaction of NiO into Ni has been observed for the NiO films annealed at 500 °C under vacuum condition. As a result, the antiferromagnetic nature of the as-deposited NiO films transforms into ferromagnetic one with dominant thickness dependent ferromagnetic behavior at room temperature. In addition, the existence of both Ni and NiO phases in the annealed NiO films shows noticeable exchange bias under field cooling condition. The behavior of thermal decomposition was not observed for the NiO films annealed under oxygen condition which results in no detectable change in the magnetic properties. The observed results are discussed on the basis of thickness dependent thermal decomposition in NiO films with increasing T{sub A} and changing annealing conditions. - Highlights: • Preparation of highly strained single layer NiO films with different thicknesses. • Study the effects of annealing under different environments on crystal structure. • Understanding the origin of thickness dependent thermal decomposition reaction. • Investigate the role of thermal decomposition reaction on the magnetic properties. • Study the interaction between NiO and Ni phases on the exchange bias mechanism.

  14. A method to measure the mean thickness and non-uniformity of non-uniform thin film by alpha-ray thickness gauge

    International Nuclear Information System (INIS)

    Miyahara, Hiroshi; Yoshida, Makoto; Watanabe, Tamaki

    1977-01-01

    The α-ray thickness gauge is used to measure non-destructively the thicknesses of thin films, and up to the present day, a thin film with uniform thickness is only taken up as the object of α-ray thickness gauge. When the thickness is determined from the displacement between the absorption curves in the presence and absence of thin film, the absorption curve must be displaced in parallel. When many uniform particles were dispersed as sample, the shape of the absorption curve was calculated as the sum of many absorption curves corresponding to the thin films with different thicknesses. By the comparison of the calculated and measured absorption curves, the number of particles, or the mean superficial density can be determined. This means the extension of thickness measurement from uniform to non-uniform films. Furthermore, these particle models being applied to non-uniform thin film, the possibility of measuring the mean thickness and non-uniformity was discussed. As the result, if the maximum difference of the thickness was more than 0.2 mg/cm 2 , the nonuniformity was considered to distinguish by the usual equipment. In this paper, an α-ray thickness gauge using the absorption curve method was treated, but one can apply this easily to an α-ray thickness gauge using α-ray energy spectra before and after the penetration of thin film. (auth.)

  15. Ultrafast demagnetisation dependence on film thickness: A TDDFT calculation

    Science.gov (United States)

    Singh, N.; Sharma, S.

    2018-04-01

    Ferromagnetic materials when subjected to intense laser pulses leads to reduction of their magnetisation on an ultrafast scale. Here, we perform an ab-initio calculation to study the behavior of ultrafast demagnetisation as a function of film thickness for Nickel as compared to the bulk of the material. In thin films surface formation results in amplification of demagnetisation with the percentage of demagnetisation depending upon the film thickness.

  16. Investigation of AlN films grown by molecular beam epitaxy on vicinal Si(111) as templates for GaN quantum dots

    International Nuclear Information System (INIS)

    Benaissa, M.; Vennegues, P.; Tottereau, O.; Nguyen, L.; Semond, F.

    2006-01-01

    The use of AlN epitaxial films deposited on vicinal Si(111) as templates for the growth of GaN quantum dots is investigated by transmission electron microscopy and atomic force microscopy. It is found that the substrate vicinality induces both a slight tilt of the AlN (0001) direction with respect to the [111] direction and a step bunching mechanism. As a consequence, a dislocation dragging behavior is observed giving rise to dislocation-free areas well suited for the nucleation of GaN quantum dots

  17. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    Science.gov (United States)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  18. Two-dimensional X-ray diffraction and transmission electron microscopy study on the effect of magnetron sputtering atmosphere on GaN/SiC interface and gallium nitride thin film crystal structure

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Huaxiang, E-mail: shenhuaxiang@gmail.com [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Zhu, Guo-Zhen; Botton, Gianluigi A. [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Canadian Centre for Electron Microscopy, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Kitai, Adrian [Department of Materials Science and Engineering, McMaster University, Hamilton, Ontario L8S 4L7 (Canada); Department of Engineering Physics, McMaster University, Hamilton, Ontario L8S 4L7 (Canada)

    2015-03-21

    The growth mechanisms of high quality GaN thin films on 6H-SiC by sputtering were investigated by X-ray diffraction (XRD) and scanning transmission electron microscopy (STEM). The XRD θ-2θ scans show that high quality (0002) oriented GaN was deposited on 6H-SiC by reactive magnetron sputtering. Pole figures obtained by 2D-XRD clarify that GaN thin films are dominated by (0002) oriented wurtzite GaN and (111) oriented zinc-blende GaN. A thin amorphous silicon oxide layer on SiC surfaces observed by STEM plays a critical role in terms of the orientation information transfer from the substrate to the GaN epilayer. The addition of H{sub 2} into Ar and/or N{sub 2} during sputtering can reduce the thickness of the amorphous layer. Moreover, adding 5% H{sub 2} into Ar can facilitate a phase transformation from amorphous to crystalline in the silicon oxide layer and eliminate the unwanted (33{sup ¯}02) orientation in the GaN thin film. Fiber texture GaN thin films can be grown by adding 10% H{sub 2} into N{sub 2} due to the complex reaction between H{sub 2} and N{sub 2}.

  19. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  20. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    Science.gov (United States)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  1. MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Keon-Hun; Park, Sung Hyun; Kim, Jong Hack; Kim, Nam Hyuk; Kim, Min Hwa [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Na, Hyunseok [Department of Advanced Materials Science and Engineering, Daejin University, Pocheon, 487-711 (Korea, Republic of); Yoon, Euijoon, E-mail: eyoon@snu.ac.k [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Department of Nano Science and Technology, Graduate School of Convergence Science and Technology, Seoul National University, Suwon 433-270 (Korea, Republic of)

    2010-09-01

    100 nm InN layer was grown on sapphire c-plane using a metal-organic chemical vapor deposition (MOCVD) system. Low temperature (LT) GaN layer was grown on InN layer to protect InN layer from direct exposure to hydrogen flow during high temperature (HT) GaN growth and/or abrupt decomposition. Subsequently, thick HT GaN layer (2.5 {mu}m thick) was grown at 1000 {sup o}C on LT GaN/InN/sapphire template. Microstructure of epilayer-substrate interface was investigated by transmission electron microscopy (TEM). From the high angle annular dark field TEM image, the growth of columnar structured LT GaN and HT GaN with good crystallinity was observed. Though thickness of InN interlayer is assumed to be about 100 nm based on growth rate, it was not clearly shown in TEM image due to the InN decomposition. The lattice parameters of GaN layers were measured by XRD measurement, which shows that InN interlayer reduces the compressive strain in GaN layer. The relaxation of compressive strain in GaN layer was also confirmed by photoluminescence (PL) measurement. As shown in the PL spectra, red shift of GaN band edge peak was observed, which indicates the reduction of compressive strain in GaN epilayer.

  2. Percolation effect in thick film superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Sali, R.; Harsanyi, G. [Technical Univ. of Budapest (Hungary)

    1994-12-31

    A thick film superconductor paste has been developed to study the properties of granulated superconductor materials, to observe the percolation effect and to confirm the theory of the conducting mechanism in the superconducting thick films. This paste was also applied to make a superconducting planar transformer. Due to high T{sub c} and advantageous current density properties the base of the paste was chosen to be of Bi(Pb)SrCaCuO system. For contacts a conventional Ag/Pt paste was used. The critical temperature of the samples were between 110 K and 115 K depending on the printed layer thickness. The critical current density at the boiling temperature of the liquid He- was between 200-300 A/cm{sup 2}. The R(T) and V(I) functions were measured with different parameters. The results of the measurements have confirmed the theory of conducting mechanism in the material. The percolation structure model has been built and described. As an application, a superconducting planar thick film transformer was planned and produced. Ten windings of the transformer were printed on one side of the alumina substrate and one winding was printed on the other side. The coupling between the two sides was possible through the substrate. The samples did not need special drying and firing parameters. After the preparation, the properties of the transformer were measured. The efficiency and the losses were determined. Finally, some fundamental advantages and problems of the process were discussed.

  3. Percolation effect in thick film superconductors

    International Nuclear Information System (INIS)

    Sali, R.; Harsanyi, G.

    1994-01-01

    A thick film superconductor paste has been developed to study the properties of granulated superconductor materials, to observe the percolation effect and to confirm the theory of the conducting mechanism in the superconducting thick films. This paste was also applied to make a superconducting planar transformer. Due to high T c and advantageous current density properties the base of the paste was chosen to be of Bi(Pb)SrCaCuO system. For contacts a conventional Ag/Pt paste was used. The critical temperature of the samples were between 110 K and 115 K depending on the printed layer thickness. The critical current density at the boiling temperature of the liquid He- was between 200-300 A/cm 2 . The R(T) and V(I) functions were measured with different parameters. The results of the measurements have confirmed the theory of conducting mechanism in the material. The percolation structure model has been built and described. As an application, a superconducting planar thick film transformer was planned and produced. Ten windings of the transformer were printed on one side of the alumina substrate and one winding was printed on the other side. The coupling between the two sides was possible through the substrate. The samples did not need special drying and firing parameters. After the preparation, the properties of the transformer were measured. The efficiency and the losses were determined. Finally, some fundamental advantages and problems of the process were discussed

  4. Thickness dependence of J_c (0) in MgB_2 films

    International Nuclear Information System (INIS)

    Chen, Yiling; Yang, Can; Jia, Chunyan; Feng, Qingrong; Gan, Zizhao

    2016-01-01

    Highlights: • A serial of MgB_2 superconducting films from 10 nm to 8 µm have been prepared. • T_c and J_c (5 K, 0 T) of films are high. • J_c (5 K, 0 T) reaches its maximum 2.3 × 10"8 A cm"−"2 for 100 nm films. • The relationship between thickness and J_c has been discussed in detail. - Abstract: MgB_2 superconducting films, whose thicknesses range from 10 nm to 8 µm, have been fabricated on SiC substrates by hybrid physical–chemical vapor deposition (HPCVD) method. It is the first time that the T_c and the J_c of MgB_2 films are studied on such a large scale. It is found that with the increasing of thickness, T_c elevates first and then keeps roughly stable except for some slight fluctuations, while J_c (5 K, 0 T) experiences a sharp increase followed by a relatively slow fall. The maximum J_c (5 K, 0 T) = 2.3 × 10"8 A cm"−"2 is obtained for 100 nm films, which is the experimental evidence for preparing high-quality MgB_2 films by HPCVD method. Thus, this work may provide guidance on choosing the suitable thickness for applications. Meanwhile, the films prepared by us cover ultrathin films, thin films and thick films, so the study on them will bring a comprehensive understanding of MgB_2 films.

  5. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    )6H SiC substrates have been studied by high resolution X-ray diffraction at both symmetrical and asymmetrical reflections. An inhomogeneous Al distribution was found in the film at the substrate interface. The Al fluctuations disappear at a film thickness of 100 nm. In the second part of the Chapter the X-ray reflectivity technique has been utilized for the characterization of AlGaN/GaN superlattices. The fundamental parameters of the superlattices, i.e. period and individual layer thicknesses could be determined for ideally flat surface. (orig.)

  6. Control of strain in GaN by a combination of H2 and N2 carrier gases

    International Nuclear Information System (INIS)

    Yamaguchi, Shigeo; Kariya, Michihiko; Kosaki, Masayoshi; Yukawa, Yohei; Nitta, Shugo; Amano, Hiroshi; Akasaki, Isamu

    2001-01-01

    We study the effect of a combination of N 2 and H 2 carrier gases on the residual strain and crystalline properties of GaN, and we propose its application to the improvement of crystalline quality of GaN/Al 0.17 Ga 0.83 N multiple quantum well (MQW) structures. GaN was grown with H 2 or N 2 carrier gas (H 2 - or N 2 - GaN) on an AlN low-temperature-deposited buffer layer. A (0001) sapphire substrate was used. N 2 - GaN was grown on H 2 - GaN. The total thickness was set to be 1.5 μm, and the ratio of N 2 - GaN thickness to the total thickness, x, ranged from 0 to 1. With increasing x, the tensile stress in GaN increased. Photoluminescence intensity at room temperature was much enhanced. Moreover, the crystalline quality of GaN/Al 0.17 Ga 0.83 N MQW was much higher when the MQW was grown with N 2 on H 2 - GaN than when it was grown with H 2 on H 2 - GaN. These results were due to the achievement of control of strain in GaN using a combination of N 2 - GaN and H 2 - GaN. [copyright] 2001 American Institute of Physics

  7. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  8. A Study on the Thickness Measurement of Thin Film by Ultrasonic Wave

    International Nuclear Information System (INIS)

    Han, Eung Kyo; Lee, Jae Joon; Kim, Jae Yeol

    1988-01-01

    Recently, it is gradually raised necessity that thickness of thin film is measured accurately and managed in industrial circles and medical world. In this study, regarding to the thickness of film which is in opaque object and is beyond distance resolution capacity, thickness measurement was done by MEM-cepstrum analysis of received ultrasonic wave. In measurement results, film thickness which is beyond distance resolution capacity was measured accurately. And within thickness range that don't exist interference, thickness measurement by MEM-ceptrum analysis was impossible

  9. Anomalous size effect in conductivity of Bi films of small thickness

    International Nuclear Information System (INIS)

    Anopchenko, A.S.; Kashirin, V.Yu.; Komnik, Yu.F.

    1995-01-01

    Experimental data are obtained at helium temperature, which describe the kinetic properties (conductivity, magnetoresistance and Hall coefficient) of Bi films whose thicknesses are within 100-500 A. The small-thickness Bi films display an anomalous size effect- the growing conductivity at decreasing thickness, and pronounced quantum interference effects - weak electron localization and enhancing electron-electron interaction in a disordered system. The information derived on the kinetic properties of the films is used to identify the character of the changes in the electron and hole concentrations and mobilities with a decreasing thickness. The isotropy of the properties in the films plane due to the axial texture has permitted us to use the equations for a conductor with two types of charge carriers. The used kinetic characteristics correctly take into account the contribution of the quantum corrections related to quantum interference. It is found that the concentration of the charge carries increases appreciably (by about two orders of magnitude) as the film thickness decreases to 100 A, which determines the anomalous size effect in the conductivity of the films

  10. Hexaferrite multiferroics: from bulk to thick films

    Science.gov (United States)

    Koutzarova, T.; Ghelev, Ch; Peneva, P.; Georgieva, B.; Kolev, S.; Vertruyen, B.; Closset, R.

    2018-03-01

    We report studies of the structural and microstructural properties of Sr3Co2Fe24O41 in bulk form and as thick films. The precursor powders for the bulk form were prepared following the sol-gel auto-combustion method. The prepared pellets were synthesized at 1200 °C to produce Sr3Co2Fe24O41. The XRD spectra of the bulks showed the characteristic peaks corresponding to the Z-type hexaferrite structure as a main phase and second phases of CoFe2O4 and Sr3Fe2O7-x. The microstructure analysis of the cross-section of the bulk pellets revealed a hexagonal sheet structure. Large areas were observed of packages of hexagonal sheets where the separate hexagonal particles were ordered along the c axis. Sr3Co2Fe24O41 thick films were deposited from a suspension containing the Sr3Co2Fe24O41 powder. The microstructural analysis of the thick films showed that the particles had the perfect hexagonal shape typical for hexaferrites.

  11. Effects of film thickness on scintillation characteristics of columnar CsI:Tl films exposed to high gamma radiation doses

    Energy Technology Data Exchange (ETDEWEB)

    Shinde, Seema; Singh, S.G.; Sen, S.; Gadkari, S.C., E-mail: gadkari@barc.gov.in

    2016-02-21

    Oriented columnar films of Tl doped CsI (CsI:Tl) of varying thicknesses from 50 µm to 1000 µm have been deposited on silica glass substrates by a thermal evaporation technique. The SEM micrographs confirmed the columnar structure of the film while the powder X-ray diffraction pattern recorded for the films revealed a preferred orientation of the grown columns along the <200> direction. Effects of high energy gamma exposure up to 1000 Gy on luminescence properties of the films were investigated. Results of radio-luminescence, photo-luminescence and scintillation studies on the films are compared with those of a CsI:Tl single crystal with similar thickness. A possible correlation between the film thicknesses and radiation damage in films has been observed. - Highlights: • CsI:Tl films of different thicknesses deposited for γ and α detection. • Pulse-height spectra found to degrade with increasing thickness. • Radiation damage is found more in films than single crystal of comparable thickness. • Detection efficiency increases for γ while it is invariant for α beyond 50 µm.

  12. Effects of film thickness on scintillation characteristics of columnar CsI:Tl films exposed to high gamma radiation doses

    International Nuclear Information System (INIS)

    Shinde, Seema; Singh, S.G.; Sen, S.; Gadkari, S.C.

    2016-01-01

    Oriented columnar films of Tl doped CsI (CsI:Tl) of varying thicknesses from 50 µm to 1000 µm have been deposited on silica glass substrates by a thermal evaporation technique. The SEM micrographs confirmed the columnar structure of the film while the powder X-ray diffraction pattern recorded for the films revealed a preferred orientation of the grown columns along the direction. Effects of high energy gamma exposure up to 1000 Gy on luminescence properties of the films were investigated. Results of radio-luminescence, photo-luminescence and scintillation studies on the films are compared with those of a CsI:Tl single crystal with similar thickness. A possible correlation between the film thicknesses and radiation damage in films has been observed. - Highlights: • CsI:Tl films of different thicknesses deposited for γ and α detection. • Pulse-height spectra found to degrade with increasing thickness. • Radiation damage is found more in films than single crystal of comparable thickness. • Detection efficiency increases for γ while it is invariant for α beyond 50 µm.

  13. Growth and characterization of semi-insulating carbon-doped/undoped GaN multiple-layer buffer

    International Nuclear Information System (INIS)

    Kim, Dong-Seok; Won, Chul-Ho; Kang, Hee-Sung; Kim, Young-Jo; Kang, In Man; Lee, Jung-Hee; Kim, Yong Tae

    2015-01-01

    We have proposed a new semi-insulating GaN buffer layer, which consists of multiple carbon-doped and undoped GaN layer. The buffer layer showed sufficiently good semi-insulating characteristics, attributed to the depletion effect between the carbon-doped GaN and the undoped GaN layers, even though the thickness of the carbon-doped GaN layer in the periodic structure was designed to be very thin to minimize the total carbon incorporation into the buffer layer. The AlGaN/AlN/GaN heterostructure grown on the proposed buffer exhibited much better electrical and structural properties than that grown on the conventional thick carbon-doped semi-insulating GaN buffer layer, confirmed by Hall measurement, x-ray diffraction, and secondary ion mass spectrometry. The fabricated device also showed excellent buffer breakdown characteristics. (paper)

  14. The effect of bubble acceleration on the liquid film thickness in micro tubes

    Energy Technology Data Exchange (ETDEWEB)

    Han, Youngbae, E-mail: bhan@feslab.t.u-tokyo.ac.j [Department of Mechanical Engineering, University of Tokyo, Hongo 7-3-1, Bunkyo-ku, Tokyo 113-8656 (Japan); Shikazono, Naoki, E-mail: shika@feslab.t.u-tokyo.ac.j [Department of Mechanical Engineering, University of Tokyo, Hongo 7-3-1, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2010-08-15

    Liquid film thickness is an important parameter for predicting boiling heat transfer in micro tubes. In the previous study (), liquid film thickness under the steady condition was investigated and an empirical correlation for the initial liquid film thickness based on capillary number, Reynolds number and Weber number was proposed. However, under flow boiling conditions, bubble velocity is not constant but accelerated due to evaporation. It is necessary to consider this bubble acceleration effect on the liquid film thickness, since it affects viscous, surface tension and inertia forces in the momentum equation. In addition, viscous boundary layer develops, and it may also affect the liquid film thickness. In the present study, the effect of bubble acceleration is investigated. Laser focus displacement meter is used to measure the liquid film thickness. Ethanol, water and FC-40 are used as working fluids. Circular tubes with three different inner diameters, D = 0.5, 0.7 and 1.0 mm, are used. The increase of liquid film thickness with capillary number is restricted by the bubble acceleration. Finally, an empirical correlation is proposed for the liquid film thickness of accelerated flows in terms of capillary number and Bond number based on the bubble acceleration.

  15. The effect of bubble acceleration on the liquid film thickness in micro tubes

    International Nuclear Information System (INIS)

    Han, Youngbae; Shikazono, Naoki

    2010-01-01

    Liquid film thickness is an important parameter for predicting boiling heat transfer in micro tubes. In the previous study (), liquid film thickness under the steady condition was investigated and an empirical correlation for the initial liquid film thickness based on capillary number, Reynolds number and Weber number was proposed. However, under flow boiling conditions, bubble velocity is not constant but accelerated due to evaporation. It is necessary to consider this bubble acceleration effect on the liquid film thickness, since it affects viscous, surface tension and inertia forces in the momentum equation. In addition, viscous boundary layer develops, and it may also affect the liquid film thickness. In the present study, the effect of bubble acceleration is investigated. Laser focus displacement meter is used to measure the liquid film thickness. Ethanol, water and FC-40 are used as working fluids. Circular tubes with three different inner diameters, D = 0.5, 0.7 and 1.0 mm, are used. The increase of liquid film thickness with capillary number is restricted by the bubble acceleration. Finally, an empirical correlation is proposed for the liquid film thickness of accelerated flows in terms of capillary number and Bond number based on the bubble acceleration.

  16. Film thickness dependence of phase separation and dewetting behaviors in PMMA/SAN blend films.

    Science.gov (United States)

    You, Jichun; Liao, Yonggui; Men, Yongfeng; Shi, Tongfei; An, Lijia

    2010-09-21

    Film thickness dependence of complex behaviors coupled by phase separation and dewetting in blend [poly(methyl methacrylate) (PMMA) and poly(styrene-ran-acrylonitrile) (SAN)] films on silicon oxide substrate at 175 °C was investigated by grazing incidence ultrasmall-angle X-ray scattering (GIUSAX) and in situ atomic force microscopy (AFM). It was found that the dewetting pathway was under the control of the parameter U(q0)/E, which described the initial amplitude of the surface undulation and original thickness of film, respectively. Furthermore, our results showed that interplay between phase separation and dewetting depended crucially on film thickness. Three mechanisms including dewetting-phase separation/wetting, dewetting/wetting-phase separation, and phase separation/wetting-pseudodewetting were discussed in detail. In conclusion, it is relative rates of phase separation and dewetting that dominate the interplay between them.

  17. Relaxation in Thin Polymer Films Mapped across the Film Thickness by Astigmatic Single-Molecule Imaging

    KAUST Repository

    Oba, Tatsuya

    2012-06-19

    We have studied relaxation processes in thin supported films of poly(methyl acrylate) at the temperature corresponding to 13 K above the glass transition by monitoring the reorientation of single perylenediimide molecules doped into the films. The axial position of the dye molecules across the thickness of the film was determined with a resolution of 12 nm by analyzing astigmatic fluorescence images. The average relaxation times of the rotating molecules do not depend on the overall thickness of the film between 20 and 110 nm. The relaxation times also do not show any dependence on the axial position within the films for the film thickness between 70 and 110 nm. In addition to the rotating molecules we observed a fraction of spatially diffusing molecules and completely immobile molecules. These molecules indicate the presence of thin (<5 nm) high-mobility surface layer and low-mobility layer at the interface with the substrate. (Figure presented) © 2012 American Chemical Society.

  18. Determination of carrier diffusion length in p- and n-type GaN

    Science.gov (United States)

    Hafiz, Shopan; Metzner, Sebastian; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Karbaum, Christopher; Bertram, Frank; Christen, Jürgen; Gil, Bernard; Özgür, Ümit

    2014-03-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p- GaN or 1300 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photogeneration near the surface region by above bandgap excitation. Taking into consideration the absorption in the active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be about 92 ± 7 nm and 68 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively. Cross-sectional cathodoluminescence line-scan measurement was performed on a separate sample and the diffusion length in n-type GaN was measured to be 280 nm.

  19. MEMS-based thick film PZT vibrational energy harvester

    DEFF Research Database (Denmark)

    Lei, Anders; Xu, Ruichao; Thyssen, Anders

    2011-01-01

    We present a MEMS-based unimorph silicon/PZT thick film vibrational energy harvester with an integrated proof mass. We have developed a process that allows fabrication of high performance silicon based energy harvesters with a yield higher than 90%. The process comprises a KOH etch using a mechan......We present a MEMS-based unimorph silicon/PZT thick film vibrational energy harvester with an integrated proof mass. We have developed a process that allows fabrication of high performance silicon based energy harvesters with a yield higher than 90%. The process comprises a KOH etch using...... a mechanical front side protection of an SOI wafer with screen printed PZT thick film. The fabricated harvester device produces 14.0 μW with an optimal resistive load of 100 kΩ from 1g (g=9.81 m s-2) input acceleration at its resonant frequency of 235 Hz....

  20. Investigation of top electrode for PZT thick films based MEMS sensors

    DEFF Research Database (Denmark)

    Hindrichsen, Christian Carstensen; Pedersen, Thomas; Kristiansen, Paw T.

    2010-01-01

    In this work processing of screen printed piezoelectric PZT thick films on silicon substrates is investigated for use in future MEMS devices. E-beam evaporated Al and Pt are patterned on PZT as a top electrode using a lift-off process with a line width down to 3 mu m. Three test structures are used...... to investigate the optimal thickness of the top electrode, the degradation of the piezoelectric properties of the PZT film in absence of a diffusion barrier layer and finally how to fabricate electrical interconnects down the edge of the PZT thick film. The roughness of the PZT is found to have a strong...... influence on the conductance of the top electrode influencing the optimal top electrode thickness. A 100 nm thick top electrode on the PZT thick film with a surface roughness of 273 nm has a 4.5 times higher resistance compared to a similar wire on a planar SiO2 surface which has a surface roughness of less...

  1. Miniaturized, Planar Ion-selective Electrodes Fabricated by Means of Thick-film Technology

    Directory of Open Access Journals (Sweden)

    Robert Koncki

    2006-04-01

    Full Text Available Various planar technologies are employed for developing solid-state sensorshaving low cost, small size and high reproducibility; thin- and thick-film technologies aremost suitable for such productions. Screen-printing is especially suitable due to itssimplicity, low-cost, high reproducibility and efficiency in large-scale production. Thistechnology enables the deposition of a thick layer and allows precise pattern control.Moreover, this is a highly economic technology, saving large amounts of the used inks. Inthe course of repetitions of the film-deposition procedure there is no waste of material dueto additivity of this thick-film technology. Finally, the thick films can be easily and quicklydeposited on inexpensive substrates. In this contribution, thick-film ion-selective electrodesbased on ionophores as well as crystalline ion-selective materials dedicated forpotentiometric measurements are demonstrated. Analytical parameters of these sensors arecomparable with those reported for conventional potentiometric electrodes. All mentionedthick-film strip electrodes have been totally fabricated in only one, fully automated thick-film technology, without any additional manual, chemical or electrochemical steps. In allcases simple, inexpensive, commercially available materials, i.e. flexible, plastic substratesand easily cured polymer-based pastes were used.

  2. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  3. Thick-film effects in the oxidation and hydriding of zirconium alloys

    International Nuclear Information System (INIS)

    Johnson, A.B. Jr.

    1989-08-01

    One of the fundamental discoveries involving radiation effects on the oxidation of Zircaloy in low-oxygen aqueous environments is the influence of thick oxide films. Zircaloy oxidation rates in low-oxygen (hydrogen-rich) coolants initially proceed at relatively low rates, often almost uninfluenced by radiation. Marked upturns in oxidation rate have signaled the onset of radiation effects. The radiation effects appear to correlate with a threshold oxide thickness. Results of the test reactor experiments lead to formulation of the Thick-Film Hypothesis: beyond a threshold oxide thickness, radiolysis of water that infiltrates oxide cracks and pores controls the oxidation rate; radiation creates microenvironments inside the oxide film, producing highly oxidizing conditions, that are no longer suppressed by the coolant-borne hydrogen. Upturns in oxidation rate on high-exposure Zircaloy pressure tubes add confirmatory evidence for the thick-film effect. This paper summarizes the early evidence for thick-film behavior, including oxidation and hydriding trends, updates confirmatory evidence from Zircaloy reactor and fuel assembly components, and highlights other observations from the test reactor series that have potential fundamental significance to explanations of radiation effects on Zircaloy. 23 refs., 10 figs

  4. A study on the evolution of dielectric function of ZnO thin films with decreasing film thickness

    International Nuclear Information System (INIS)

    Li, X. D.; Chen, T. P.; Liu, P.; Liu, Y.; Liu, Z.; Leong, K. C.

    2014-01-01

    Dielectric function, band gap, and exciton binding energies of ultrathin ZnO films as a function of film thickness have been obtained with spectroscopic ellipsometry. As the film thickness decreases, both real (ε 1 ) and imaginary (ε 2 ) parts of the dielectric function decrease significantly, and ε 2 shows a blue shift. The film thickness dependence of the dielectric function is shown related to the changes in the interband absorption, discrete-exciton absorption, and continuum-exciton absorption, which can be attributed to the quantum confinement effect on both the band gap and exciton binding energies

  5. Structural, transport and microwave properties of 123/sapphire films: Thickness effect

    Energy Technology Data Exchange (ETDEWEB)

    Predtechensky, MR.; Smal, A.N.; Varlamov, Y.D. [Institute of Thermophysics, Novosibirsk (Russian Federation)] [and others

    1994-12-31

    The effect of thickness and growth conditions on the structure and microwave properties has been investigated for the 123/sapphire films. It has been shown that in the conditions of epitaxial growth and Al atoms do not diffuse from substrate into the film and the films with thickness up to 100nm exhibit the excellent DC properties. The increase of thickness of GdBaCuO films causes the formation of extended line-mesh defects and the increase of the surface resistance (R{sub S}). The low value of surface resistance R{sub S}(75GHz,77K)=20 mOhm has been obtained for the two layer YBaCuO/CdBaCuO/sapphire films.

  6. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  7. GaN microring waveguide resonators bonded to silicon substrate by a two-step polymer process.

    Science.gov (United States)

    Hashida, Ryohei; Sasaki, Takashi; Hane, Kazuhiro

    2018-03-20

    Using a polymer bonding technique, GaN microring waveguide resonators were fabricated on a Si substrate for future hybrid integration of GaN and Si photonic devices. The designed GaN microring consisted of a rib waveguide having a core of 510 nm in thickness, 1000 nm in width, and a clad of 240 nm in thickness. A GaN crystalline layer of 1000 nm in thickness was grown on a Si(111) substrate by metal organic chemical vapor deposition using a buffer layer of 300 nm in thickness for the compensation of lattice constant mismatch between GaN and Si crystals. The GaN/Si wafer was bonded to a Si(100) wafer by a two-step polymer process to prevent it from trapping air bubbles. The bonded GaN layer was thinned from the backside by a fast atom beam etching to remove the buffer layer and to generate the rib waveguides. The transmission characteristics of the GaN microring waveguide resonators were measured. The losses of the straight waveguides were measured to be 4.0±1.7  dB/mm around a wavelength of 1.55 μm. The microring radii ranged from 30 to 60 μm, where the measured free-spectral ranges varied from 2.58 to 5.30 nm. The quality factors of the microring waveguide resonators were from 1710 to 2820.

  8. The effects of film thickness on the electrical, optical, and structural properties of cylindrical, rotating, magnetron-sputtered ITO films

    Science.gov (United States)

    Kim, Jae-Ho; Seong, Tae-Yeon; Ahn, Kyung-Jun; Chung, Kwun-Bum; Seok, Hae-Jun; Seo, Hyeong-Jin; Kim, Han-Ki

    2018-05-01

    We report the characteristics of Sn-doped In2O3 (ITO) films intended for use as transparent conducting electrodes; the films were prepared via a five-generation, in-line type, cylindrical, rotating magnetron sputtering (CRMS) system as a function of film thickness. By using a rotating cylindrical ITO target with high usage (∼80%), we prepared high conductivity, transparent ITO films on five-generation size glass. The effects of film thickness on the electrical, optical, morphological, and structural properties of CRMS-grown ITO films are investigated in detail to correlate the thickness and performance of ITO films. The preferred orientation changed from the (2 2 2) to the (4 0 0) plane with increasing thickness of ITO is attributed to the stability of the (4 0 0) plane against resputtering during the CRMS process. Based on X-ray diffraction, surface field emission scanning electron microscopy, and cross-sectional transmission electron microscopy, we suggest a possible mechanism to explain the preferred orientation and effects of film thickness on the performance of CRMS-grown ITO films.

  9. Imaging and thickness measurement of amorphous intergranular films using TEM

    International Nuclear Information System (INIS)

    MacLaren, I.

    2004-01-01

    Fresnel fringe analysis is shown to be unreliable for grain boundaries in yttrium-doped alumina: the determined thicknesses do not agree well with those measured from high resolution transmission electron microscopy (HRTEM), the asymmetry between under- and overfocus is very large, and Fresnel fringes are sometimes shown at boundaries which contain no amorphous film. An alternative approach to the analysis of HRTEM images of grain boundary films is demonstrated: Fourier filtering is used to remove the lattice fringes from the image thereby significantly enhancing the visibility of the intergranular films. The apparent film thickness shows a discrepancy between measurements from the original HRTEM image and the filtered image. It was shown that fringe delocalisation and diffuseness of the amorphous/crystalline interfaces will lead to a significant underestimate of the thickness in unprocessed HRTEM images. In contrast to this, the average thickness can be much more accurately measured from the Fourier-filtered image, provided the boundary is oriented accurately edge-on

  10. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    Science.gov (United States)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  11. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  12. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  13. Fabrication and Characterization of PZT Thick Films for Sensing and Actuation

    Directory of Open Access Journals (Sweden)

    Kuo-Ching Kuo

    2007-04-01

    Full Text Available Lead Zirconate Titanate oxide (PZT thick films with thicknesses of up to 10 μmwere developed using a modified sol-gel technique. Usually, the film thickness is less than1 μm by conventional sol-gel processing, while the electrical charge accumulation whichreveals the direct effect of piezoelectricity is proportional to the film thickness and thereforerestricted. Two approaches were adopted to conventional sol-gel processing – precursorconcentration modulation and rapid thermal annealing. A 10 μm thick film was successfullyfabricated by coating 16 times via this technique. The thickness of each coating layer wasabout 0.6 μm and the morphology of the film was dense with a crack-free area as large as 16mm2. In addition, the structure, surface morphology and physical properties werecharacterized by X-ray diffraction (XRD, scanning electron microscopy (SEM and atomicforce microscopy (AFM and electrical performance. The dielectric constant and hysteresisloops were measured as electric characteristics. This study investigates the actuation andsensing performance of the vibrating structures with the piezoelectric thick film. Theactuation tests demonstrated that a 4 mm x 4 mm x 6.5 μm PZT film drove a 40 mm x 7 mmx 0.5 mm silicon beam as an actuator. Additionally, it generated an electrical signal of 60mVpp as a sensor, while vibration was input by a shaker. The frequencies of the first twomodes of the beam were compared with the theoretical values obtained by Euler-Bernoullibeam theory. The linearity of the actuation and sensing tests were also examined.

  14. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  15. Thickness-dependent radiative properties of Y-Ba-Cu-O thin films

    International Nuclear Information System (INIS)

    Phelan, P.E.; Chen, G.; Tien, C.L.

    1991-01-01

    Some applications of high-temperature superconductors where their thermal radiative behavior is important, such as bolometers, optically-triggered switches and gates, and space-cooled electronics, required the superconductor to be in the form of a very thin film whose radiative behavior cannot be adequately represented by a semi-infinite analysis. Two properties of particular importance are the film absorptance and the combined film/substrate absorptance, which are crucial to the operation of many devices. This paper reports on calculations of the absorptance of superconducting-state Y-Ba-Cu-O films on MgO substrates which suggest that for film thicknesses less than about 50 nm, a decrease in the film thickness leads to an increase in both the film absorptance and the film/substrate absorptance. Furthermore, the film absorptance is maximum at some optimal value of film thickness. Assuming the film to be a smooth, continuous slab with a refractive index equal to that of the bulk Y-Ba-Cu-O is verified, at least in the normal state and for films as thin as 35 nm, by room-temperature reflectance and transmittance measurements

  16. The investigation of stress in freestanding GaN crystals grown from Si substrates by HVPE.

    Science.gov (United States)

    Lee, Moonsang; Mikulik, Dmitry; Yang, Mino; Park, Sungsoo

    2017-08-17

    We investigate the stress evolution of 400 µm-thick freestanding GaN crystals grown from Si substrates by hydride vapour phase epitaxy (HVPE) and the in situ removal of Si substrates. The stress generated in growing GaN can be tuned by varying the thickness of the MOCVD AlGaN/AlN buffer layers. Micro Raman analysis shows the presence of slight tensile stress in the freestanding GaN crystals and no stress accumulation in HVPE GaN layers during the growth. Additionally, it is demonstrated that the residual tensile stress in HVPE GaN is caused only by elastic stress arising from the crystal quality difference between Ga- and N-face GaN. TEM analysis revealed that the dislocations in freestanding GaN crystals have high inclination angles that are attributed to the stress relaxation of the crystals. We believe that the understanding and characterization on the structural properties of the freestanding GaN crystals will help us to use these crystals for high-performance opto-electronic devices.

  17. Thickness dependence of Hall mobility of HWE grown PbTe films

    International Nuclear Information System (INIS)

    Vaya, P.R.; Majhi, J.; Gopalam, B.S.V.; Dattatreyan, C.

    1985-01-01

    Thin epitaxial n-PbTe films of various thicknesses are grown on KCl substrates by hot wall epitaxy (HWE) technique. The X-ray, SEM and TEM studies of these films revealed their single crystalline nature. The Hall mobility (μ/sub H/) of these films is measured by Van der Pauw technique and compared with the numerically calculated values of PbTe. It is observed that μ/sub H/ very strongly depends on thickness for thin films but becomes independent of film thickness beyond 5 μm approaching its bulk value. The constant value of Hall coefficient in the temperature range 77 to 300 K show the extrinsic nature of these films. It is also noticed that the rate of increase of mobility with decreasing temperature becomes higher with film thickness. The diffused scattering mobility due to the size effect is calculated and compared with experimental data. A large discrepancy observed between these two is explained on the basis of the residual mobility contribution. The residual mobility is attributed to overall scattering due to grain boundaries, dislocations, defects, cleavage steps, and other surface effects. (author)

  18. High hole mobility p-type GaN with low residual hydrogen concentration prepared by pulsed sputtering

    Science.gov (United States)

    Arakawa, Yasuaki; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2016-08-01

    We have grown Mg-doped GaN films with low residual hydrogen concentration using a low-temperature pulsed sputtering deposition (PSD) process. The growth system is inherently hydrogen-free, allowing us to obtain high-purity Mg-doped GaN films with residual hydrogen concentrations below 5 × 1016 cm-3, which is the detection limit of secondary ion mass spectroscopy. In the Mg profile, no memory effect or serious dopant diffusion was detected. The as-deposited Mg-doped GaN films showed clear p-type conductivity at room temperature (RT) without thermal activation. The GaN film doped with a low concentration of Mg (7.9 × 1017 cm-3) deposited by PSD showed hole mobilities of 34 and 62 cm2 V-1 s-1 at RT and 175 K, respectively, which are as high as those of films grown by a state-of-the-art metal-organic chemical vapor deposition apparatus. These results indicate that PSD is a powerful tool for the fabrication of GaN-based vertical power devices.

  19. Thickness of residual wetting film in liquid-liquid displacement

    Science.gov (United States)

    Beresnev, Igor; Gaul, William; Vigil, R. Dennis

    2011-08-01

    Core-annular flow is common in nature, representing, for example, how streams of oil, surrounded by water, move in petroleum reservoirs. Oil, typically a nonwetting fluid, tends to occupy the middle (core) part of a channel, while water forms a surrounding wall-wetting film. What is the thickness of the wetting film? A classic theory has been in existence for nearly 50 years offering a solution, although in a controversial manner, for moving gas bubbles. On the other hand, an acceptable, experimentally verified theory for a body of one liquid flowing in another has not been available. Here we develop a hydrodynamic, testable theory providing an explicit relationship between the thickness of the wetting film and fluid properties for a blob of one fluid moving in another, with neither phase being gas. In its relationship to the capillary number Ca, the thickness of the film is predicted to be proportional to Ca2 at lower Ca and to level off at a constant value of ˜20% the channel radius at higher Ca. The thickness of the film is deduced to be approximately unaffected by the viscosity ratio of the fluids. We have conducted our own laboratory experiments and compiled experimental data from other studies, all of which are mutually consistent and confirm the salient features of the theory. At the same time, the classic law, originally deduced for films surrounding moving gas bubbles but often believed to hold for liquids as well, fails to explain the observations.

  20. Modification of GaN(0001) growth kinetics by Mg doping

    International Nuclear Information System (INIS)

    Monroy, E.; Andreev, T.; Holliger, P.; Bellet-Amalric, E.; Shibata, T.; Tanaka, M.; Daudin, B.

    2004-01-01

    We have studied the effect of Mg doping on the surface kinetics of GaN during growth by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface of GaN, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN. The growth window is hence significantly reduced. Higher growth temperatures lead to an enhancement of Mg segregation and an improvement of the surface morphology

  1. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  2. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  3. Comparison of different methods for measuring the passive film thickness on metals

    International Nuclear Information System (INIS)

    Benoit, Marie; Bataillon, Christian; Gwinner, Benoit; Miserque, Frédéric; Orazem, Mark E.; Sánchez-Sánchez, Carlos M.; Tribollet, Bernard; Vivier, Vincent

    2016-01-01

    Highlights: • In situ EIS and ex situ XPS were used for the characterization of zirconium oxide films. • The film thicknesses can be obtained from the analysis of a single EIS diagram. • A convenient graphical method to extract film properties is proposed. - Abstract: In situ electrochemical impedance spectroscopy (EIS) and ex situ X-ray photoelectron spectroscopy (XPS) measurements on electrogenerated zirconium oxide films on zirconium (Zr/ZrO_2) were used to quantify the oxide film thickness and resistivity profiles through the oxide. The EIS analysis presented here takes advantage of the high-frequency domain at which the constant-phase element (CPE) behavior of the oxide film reverts to a capacitive response and the Cole-Cole representations of the complex capacitance to extract the high-frequency capacitance of the oxide film without reference to the nature of the time-constant distribution within the oxide film. The film thickness of the ZrO_2 samples measured from the high-frequency capacitance of EIS were in good agreement with the thickness obtained from XPS. Moreover, the EIS analysis presented is based on the use of the integral solution of the power law model, which allows to obtain in one single EIS experiment, both the film thickness and the resistivity profile in the ZrO_2 film. This work suggests a convenient graphical method to extract film properties and serves to validate a key assumption of the power-law model for interpretation of CPE parameters in terms of physical properties.

  4. Application of β plastic film thickness gauge in automatic production of agricultural film

    International Nuclear Information System (INIS)

    Liu Longzhi; Guo Juhao

    1996-01-01

    The author briefly explains the importance of agricultural film at home, and mainly explains the measuring principles of plastic film thickness, the design of β detector, the temperature compensation technology and the design of automatic control device

  5. The determination of the pressure-viscosity coefficient of a lubricant through an accurate film thickness formula and accurate film thickness measurements : part 2 : high L values

    NARCIS (Netherlands)

    Leeuwen, van H.J.

    2011-01-01

    The pressure-viscosity coefficient of a traction fluid is determined by fitting calculation results on accurate film thickness measurements, obtained at different speeds, loads, and temperatures. Through experiments, covering a range of 5.6 film thickness values are

  6. Angular multiplexing holograms of four images recorded on photopolymer films with recording-film-thickness-dependent holographic characteristics

    Science.gov (United States)

    Osabe, Keiichi; Kawai, Kotaro

    2017-03-01

    In this study, angular multiplexing hologram recording photopolymer films were studied experimentally. The films contained acrylamide as a monomer, eosin Y as a sensitizer, and triethanolamine as a promoter in a polyvinyl alcohol matrix. In order to determine the appropriate thickness of the photopolymer films for angular multiplexing, photopolymer films with thicknesses of 29-503 μm were exposed to two intersecting beams of a YVO laser at a wavelength of 532 nm to form a holographic grating with a spatial frequency of 653 line/mm. The diffraction efficiencies as a function of the incident angle of reconstruction were measured. A narrow angular bandwidth and high diffraction efficiency are required for angular multiplexing; hence, we define the Q value, which is the diffraction efficiency divided by half the bandwidth. The Q value of the films depended on the thickness of the films, and was calculated based on the measured diffraction efficiencies. The Q value of a 297-μm-thick film was the highest of the all films. Therefore, the angular multiplexing experiments were conducted using 300-μm-thick films. In the angular multiplexing experiments, the object beam transmitted by a square aperture was focused by a Fourier transform lens and interfered with a reference beam. The maximum order of angular multiplexing was four. The signal intensity that corresponds to the squared-aperture transmission and the noise intensity that corresponds to transmission without the square aperture were measured. The signal intensities decreased as the order of angular multiplexing increased, and the noise intensities were not dependent on the order of angular multiplexing.

  7. Planar Zeolite Film-Based Potentiometric Gas Sensors Manufactured by a Combined Thick-Film and Electroplating Technique

    Science.gov (United States)

    Marr, Isabella; Reiß, Sebastian; Hagen, Gunter; Moos, Ralf

    2011-01-01

    Zeolites are promising materials in the field of gas sensors. In this technology-oriented paper, a planar setup for potentiometric hydrocarbon and hydrogen gas sensors using zeolites as ionic sodium conductors is presented, in which the Pt-loaded Na-ZSM-5 zeolite is applied using a thick-film technique between two interdigitated gold electrodes and one of them is selectively covered for the first time by an electroplated chromium oxide film. The influence of the sensor temperature, the type of hydrocarbons, the zeolite film thickness, and the chromium oxide film thickness is investigated. The influence of the zeolite on the sensor response is briefly discussed in the light of studies dealing with zeolites as selectivity-enhancing cover layers. PMID:22164042

  8. Planar Zeolite Film-Based Potentiometric Gas Sensors Manufactured by a Combined Thick-Film and Electroplating Technique

    Directory of Open Access Journals (Sweden)

    Gunter Hagen

    2011-08-01

    Full Text Available Zeolites are promising materials in the field of gas sensors. In this technology-oriented paper, a planar setup for potentiometric hydrocarbon and hydrogen gas sensors using zeolites as ionic sodium conductors is presented, in which the Pt-loaded Na-ZSM-5 zeolite is applied using a thick-film technique between two interdigitated gold electrodes and one of them is selectively covered for the first time by an electroplated chromium oxide film. The influence of the sensor temperature, the type of hydrocarbons, the zeolite film thickness, and the chromium oxide film thickness is investigated. The influence of the zeolite on the sensor response is briefly discussed in the light of studies dealing with zeolites as selectivity-enhancing cover layers.

  9. Large electron capture-cross-section of the major nonradiative recombination centers in Mg-doped GaN epilayers grown on a GaN substrate

    Science.gov (United States)

    Chichibu, S. F.; Shima, K.; Kojima, K.; Takashima, S.; Edo, M.; Ueno, K.; Ishibashi, S.; Uedono, A.

    2018-05-01

    Complementary time-resolved photoluminescence and positron annihilation measurements were carried out at room temperature on Mg-doped p-type GaN homoepitaxial films for identifying the origin and estimating the electron capture-cross-section ( σ n ) of the major nonradiative recombination centers (NRCs). To eliminate any influence by threading dislocations, free-standing GaN substrates were used. In Mg-doped p-type GaN, defect complexes composed of a Ga-vacancy (VGa) and multiple N-vacancies (VNs), namely, VGa(VN)2 [or even VGa(VN)3], are identified as the major intrinsic NRCs. Different from the case of 4H-SiC, atomic structures of intrinsic NRCs in p-type and n-type GaN are different: VGaVN divacancies are the major NRCs in n-type GaN. The σ n value approximately the middle of 10-13 cm2 is obtained for VGa(VN)n, which is larger than the hole capture-cross-section (σp = 7 × 10-14 cm2) of VGaVN in n-type GaN. Combined with larger thermal velocity of an electron, minority carrier lifetime in Mg-doped GaN becomes much shorter than that of n-type GaN.

  10. Influence of film thickness on structural, optical, and electrical properties of spray deposited antimony doped SnO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, Abhijit A., E-mail: aay_physics@yahoo.co.in

    2015-09-30

    Transparent conducting antimony doped SnO{sub 2} thin films with varying thickness were deposited by chemical spray pyrolysis technique from non-aqueous solvent Propan-2-ol. The effect of film thickness on the properties of antimony doped SnO{sub 2} thin films have been studied. X-ray diffraction measurements showed tetragonal crystal structure of as-deposited antimony doped SnO{sub 2} films irrespective of film thickness. The surface morphology of antimony doped SnO{sub 2} thin film is spherical with the continuous distribution of grains. Electrical and optical properties were investigated by Hall Effect and optical measurements. The average optical transmittance of films decreased from 89% to 73% within the visible range (350–850 nm) with increase in film thickness. The minimum value of sheet resistance observed is 4.81 Ω/cm{sup 2}. The lowest resistivity found is 3.76 × 10{sup −4} Ω cm at 660 nm film thickness. - Highlights: • Effect of film thickness on the properties of antimony doped SnO{sub 2} thin films • Crystalline size in the range of 34–37 nm • Average transmittance decreased from 89% to 73% in the visible region. • Minimum sheet resistance of 4.81 Ω/cm{sup 2} • Lowest resistivity is found to be 3.76 × 10{sup −4} Ω cm at 660 nm film thickness.

  11. Screen-printed piezoceramic thick films for miniaturised devices

    DEFF Research Database (Denmark)

    Lou-Moeller, R.; Hindrichsen, Christian Carstensen; Thamdrup, Lasse Højlund

    2007-01-01

    machining. On the other hand, the process of screen printing thick films involves potential problems of thermal matching and chemical compatibility at the processing temperatures between the functional film, the substrate and the electrodes. As an example of such a miniaturised device, a MEMS accelerometer...

  12. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  13. Photoluminescence of Mg-doped m-plane GaN grown by MOCVD on bulk GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Monemar, Bo [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Paskov, Plamen; Pozina, Galia; Hemmingsson, Carl; Bergman, Peder [Department of Physics, Chemistry and Biology, Linkoeping University, 581 83 Linkoeping (Sweden); Lindgren, David; Samuelson, Lars [Solid State Physics-The Nanometer Structure Consortium, Lund University, Box 118, 221 00 Lund (Sweden); Ni, Xianfeng; Morkoc, Hadis [Department of Electrical and Computer Engineering, Virginia Commonwealth University, Richmond, Virginia 23284-3072 (United States); Paskova, Tanya [Kyma Technologies Inc., Raleigh, North Carolina 27617 (United States); Bi, Zhaoxia; Ohlsson, Jonas [Glo AB, Ideon Science Park, Scheelevaegen 17, 223 70 Lund (Sweden)

    2011-07-15

    Photoluminescence (PL) properties are reported for a set of m-plane GaN films with Mg doping varied from mid 10{sup 18} cm{sup -3} to above 10{sup 20} cm{sup -3}. The samples were grown with MOCVD at reduced pressure on low defect density bulk GaN templates. The sharp line near bandgap bound exciton (BE) spectra observed below 50 K, as well as the broader donor-acceptor pair (DAP) PL bands at 2.9-3.3 eV give evidence of several Mg related acceptors, similar to the case of c-plane GaN. The dependence of the BE spectra on excitation intensity as well as the transient decay behaviour demonstrate acoustic phonon assisted transfer between the acceptor BE states. The lower energy donor-acceptor pair spectra suggest the presence of deep acceptors, in addition to the two main shallower ones at about 0.23 eV. Similar spectra from Mg-doped GaN nanowires (NWs) grown by MOCVD are also briefly discussed. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. The Peculiarities of Strain Relaxation in GaN/AlN Superlattices Grown on Vicinal GaN (0001) Substrate: Comparative XRD and AFM Study.

    Science.gov (United States)

    Kuchuk, Andrian V; Kryvyi, Serhii; Lytvyn, Petro M; Li, Shibin; Kladko, Vasyl P; Ware, Morgan E; Mazur, Yuriy I; Safryuk, Nadiia V; Stanchu, Hryhorii V; Belyaev, Alexander E; Salamo, Gregory J

    2016-12-01

    Superlattices (SLs) consisting of symmetric layers of GaN and AlN have been investigated. Detailed X-ray diffraction and reflectivity measurements demonstrate that the relaxation of built-up strain in the films generally increases with an increasing number of repetitions; however, an apparent relaxation for subcritical thickness SLs is explained through the accumulation of Nagai tilt at each interface of the SL. Additional atomic force microscopy measurements reveal surface pit densities which appear to correlate with the amount of residual strain in the films along with the appearance of cracks for SLs which have exceeded the critical thickness for plastic relaxation. These results indicate a total SL thickness beyond which growth may be limited for the formation of high-quality coherent crystal structures; however, they may indicate a growth window for the reduction of threading dislocations by controlled relaxation of the epilayers.

  15. Influence of cement film thickness on the retention of implant-retained crowns.

    Science.gov (United States)

    Mehl, Christian; Harder, Sönke; Steiner, Martin; Vollrath, Oliver; Kern, Matthias

    2013-12-01

    The main goal of this study was to establish a new, high precision procedure to evaluate the influence of cement film thickness on the retention of cemented implant-retained crowns. Ninety-six tapered titanium abutments (6° taper, 4.3 mm diameter, Camlog) were shortened to 4 mm. Computer-aided design was used to design the crowns, and selective laser sintering, using a cobalt-chromium alloy, was used to produce the crowns. This method used a focused high-energy laser beam to fuse a localized region of metal powder to build up the crowns gradually. Before cementing, preset cement film thicknesses of 15, 50, 80, or 110 μm were established. Glass ionomer, polycarboxylate, or resin cements were used for cementation. After 3 days storage in demineralized water, the retention of the crowns was measured in tension using a universal testing machine. The cement film thicknesses could be achieved with a high level of precision. Interactions between the factors cement and cement film thickness could be found (p ≤ 0.001). For all cements, crown retention decreased significantly between a cement film thickness of 15 and 50 μm (p ≤ 0.001). At 15 μm cement film thickness, the resin cement was the most retentive cement, followed by the polycarboxylate and then the glass ionomer cement (p ≤ 0.05). The results suggest that cement film thickness has an influence on the retentive strength of cemented implant-retained crowns. © 2013 by the American College of Prosthodontists.

  16. GaN based nanorods for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Li Shunfeng; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, 38106 Braunschweig (Germany)

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  17. Fabrication of thick superconducting films by decantation

    Directory of Open Access Journals (Sweden)

    Julián Betancourt M.

    1991-07-01

    Full Text Available We have found superconducting behavior in thick films fabricated by decantation. In this paper we present the experimental method and results obtained using commercial copper substrates.

  18. Properties of conductive thick-film inks

    Science.gov (United States)

    Holtze, R. F.

    1972-01-01

    Ten different conductive inks used in the fabrication of thick-film circuits were evaluated for their physical and handling properties. Viscosity, solid contents, and spectrographic analysis of the unfired inks were determined. Inks were screened on ceramic substrates and fired for varying times at specified temperatures. Selected substrates were given additional firings to simulate the heat exposure received if thick-film resistors were to be added to the same substrate. Data are presented covering the (1) printing characteristics, (2) solderability using Sn-63 and also a 4 percent silver solder, (3) leach resistance, (4) solder adhesion, and (5) wire bonding properties. Results obtained using different firing schedules were compared. A comparison was made between the various inks showing general results obtained for each ink. The changes in firing time or the application of a simulated resistor firing had little effect on the properties of most inks.

  19. Effect of film thickness, type of buffer layer, and substrate temperature on the morphology of dicyanovinyl-substituted sexithiophene films

    Energy Technology Data Exchange (ETDEWEB)

    Levin, Alexandr A., E-mail: alexander.levin@iapp.de [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Levichkova, Marieta [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Heliatek GmbH, 01187 Dresden (Germany); Hildebrandt, Dirk; Klisch, Marina; Weiss, Andre [Heliatek GmbH, 01187 Dresden (Germany); Wynands, David; Elschner, Chris [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Pfeiffer, Martin [Heliatek GmbH, 01187 Dresden (Germany); Leo, Karl; Riede, Moritz [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany)

    2012-01-31

    The influence of film thickness, type of buffer underlayer, and deposition substrate temperature on the crystal structure, microstructure, and morphology of the films of dicyanovinyl-substituted sexithiophene with four butyl-chains (DCV6T-Bu{sub 4}) is investigated by means of X-ray diffraction (XRD) and X-ray reflectivity methods. A neat Si wafer or a Si wafer covered by a 15 nm buffer underlayer of fullerene C{sub 60} or 9,9-Bis[4-(N,N-bis-biphenyl-4-yl-amino)phenyl]-9H-fluorene (BPAPF) is used as a substrate. The crystalline nature and ordered molecular arrangement of the films are recorded down to 6 nm film thickness. By using substrates heated up to 90 Degree-Sign C during the film deposition, the size of the DCV6T-Bu{sub 4} crystallites in direction perpendicular to the film surface increases up to value of the film thickness. With increasing deposition substrate temperature or film thickness, the DCV6T-Bu{sub 4} film relaxes, resulting in reducing the interplane distances closer to the bulk values. For the films of the same thickness deposited at the same substrate temperature, the DCV6T-Bu{sub 4} film relaxes for growth on Si to BPAPF to C{sub 60}. Thicker films grown at heated substrates are characterized by smaller density, higher roughness and crystallinity and better molecular ordering. A thin (up to about 6 nm-thick) intermediate layer with linear density-gradient is formed at the C{sub 60}/DCV6T-Bu{sub 4} interface for the films with buffer C{sub 60} layer. The XRD pattern of the DCV6T-Bu{sub 4} powder is indexed using triclinic unit cell parameters.

  20. Optimization of phantom backscatter thickness and lateral scatter volume for radiographic film dosimetry

    International Nuclear Information System (INIS)

    Srivastava, R.P.; De Wagter, C.

    2012-01-01

    The aim of this study is to determine the optimal backscatter thickness and lateral phantom dimension beyond the irradiated volume for the dosimetric verification with radiographic film when applying large field sizes. Polystyrene and Virtual Water™ phantoms were used to study the influence of the phantom backscatter thickness. EDR2 and XV films were used in 6 and 18 MV photon beams. The results show 11.4% and 6.4% over-response of the XV2 film when compared to the ion chamber for 6 MV 30×30 and 10×10 cm 2 field sizes, respectively, when the phantom backscatter thickness is 5 cm. For the same setup, measurements with EDR2 films indicate 8.5% and 1.7% over-response. The XV2 film response in the polystyrene phantom is about 2.0% higher than in the Virtual Water™ phantom for the 6 MV beam and 20 cm backscatter thickness. Similar results were obtained for EDR2 film. In the lateral scatter study, film response was nearly constant within 5 cm of lateral thickness and it increases when lateral thickness increases due to more multiple scatter of low energy photons. The backscatter thickness of the phantom should be kept below 7 cm for the accuracy of the film dosimetry. The lateral extension of the phantom should not be more than 5 cm from the field boundary in case of large irradiated volumes.

  1. Synthesis of p-type GaN nanowires.

    Science.gov (United States)

    Kim, Sung Wook; Park, Youn Ho; Kim, Ilsoo; Park, Tae-Eon; Kwon, Byoung Wook; Choi, Won Kook; Choi, Heon-Jin

    2013-09-21

    GaN has been utilized in optoelectronics for two decades. However, p-type doping still remains crucial for realization of high performance GaN optoelectronics. Though Mg has been used as a p-dopant, its efficiency is low due to the formation of Mg-H complexes and/or structural defects in the course of doping. As a potential alternative p-type dopant, Cu has been recognized as an acceptor impurity for GaN. Herein, we report the fabrication of Cu-doped GaN nanowires (Cu:GaN NWs) and their p-type characteristics. The NWs were grown vertically via a vapor-liquid-solid (VLS) mechanism using a Au/Ni catalyst. Electrical characterization using a nanowire-field effect transistor (NW-FET) showed that the NWs exhibited n-type characteristics. However, with further annealing, the NWs showed p-type characteristics. A homo-junction structure (consisting of annealed Cu:GaN NW/n-type GaN thin film) exhibited p-n junction characteristics. A hybrid organic light emitting diode (OLED) employing the annealed Cu:GaN NWs as a hole injection layer (HIL) also demonstrated current injected luminescence. These results suggest that Cu can be used as a p-type dopant for GaN NWs.

  2. Effect of thickness on structural and electrical properties of Al-doped ZnO films

    Energy Technology Data Exchange (ETDEWEB)

    Garcés, F.A., E-mail: felipe.garces@santafe-conicet.gov.ar [Instituto de Física del Litoral (CONICET-UNL), Güemes 3450, Santa Fe S3000GLN (Argentina); Budini, N. [Instituto de Física del Litoral (CONICET-UNL), Güemes 3450, Santa Fe S3000GLN (Argentina); Arce, R.D.; Schmidt, J.A. [Instituto de Física del Litoral (CONICET-UNL), Güemes 3450, Santa Fe S3000GLN (Argentina); Facultad de Ingeniería Química, Universidad Nacional del Litoral, Santiago del Estero 2829, Santa Fe S3000AOM (Argentina)

    2015-01-01

    In this work, we have investigated the influence of thickness on structural and electrical properties of Al-doped ZnO films. Transparent conducting oxide films were grown by the spray pyrolysis technique from precursors prepared via the sol–gel method. We determined the structural properties of the films by performing X-ray diffraction and mosaicity measurements, which evidenced an increase of disorder and inhomogeneity between crystalline domains as the films thickened. This behavior was contrasted with results obtained from electrical measurements and was attributed to plastic deformation of the films as their thickness increased. As a result, the carrier mobility, the optical gap and the activation energy are affected due to emerging grain boundaries and a higher degree of disorder. - Highlights: • Al-doped ZnO thin films on glass with different thicknessesFilm thickness affects the morphological and electrical properties. • Increasing time deposition allows modification of resistivity and Hall mobility. • Mosaicity between crystalline domains increases with film thickness.

  3. Effect of thickness on structural and electrical properties of Al-doped ZnO films

    International Nuclear Information System (INIS)

    Garcés, F.A.; Budini, N.; Arce, R.D.; Schmidt, J.A.

    2015-01-01

    In this work, we have investigated the influence of thickness on structural and electrical properties of Al-doped ZnO films. Transparent conducting oxide films were grown by the spray pyrolysis technique from precursors prepared via the sol–gel method. We determined the structural properties of the films by performing X-ray diffraction and mosaicity measurements, which evidenced an increase of disorder and inhomogeneity between crystalline domains as the films thickened. This behavior was contrasted with results obtained from electrical measurements and was attributed to plastic deformation of the films as their thickness increased. As a result, the carrier mobility, the optical gap and the activation energy are affected due to emerging grain boundaries and a higher degree of disorder. - Highlights: • Al-doped ZnO thin films on glass with different thicknessesFilm thickness affects the morphological and electrical properties. • Increasing time deposition allows modification of resistivity and Hall mobility. • Mosaicity between crystalline domains increases with film thickness

  4. Metallic oxide switches using thick film technology

    Science.gov (United States)

    Patel, D. N.; Williams, L., Jr.

    1974-01-01

    Metallic oxide thick film switches were processed on alumina substrates using thick film technology. Vanadium pentoxide in powder form was mixed with other oxides e.g., barium, strontium copper and glass frit, ground to a fine powder. Pastes and screen printable inks were made using commercial conductive vehicles and appropriate thinners. Some switching devices were processed by conventional screen printing and firing of the inks and commercial cermet conductor terminals on 96% alumina substrates while others were made by applying small beads or dots of the pastes between platinum wires. Static, and dynamic volt-ampere, and pulse tests indicate that the switching and self-oscillatory characteristics of these devices could make them useful in memory element, oscillator, and automatic control applications.

  5. Persistent quantum-size effect in aluminum films up to twelve atoms thick

    International Nuclear Information System (INIS)

    Boettger, J.C.

    1996-01-01

    Total energies and work functions have been calculated for unrelaxed, free-standing Al(111) films, 1 endash 12 layers thick, using the all-electron, full-potential linear combination of Gaussian-type orbitals endash fitting-function technique. The work function exhibits a significant quantum-size effect (at least 0.1 eV) over the entire range of thickness considered. This result contradicts an old prediction that the work function of an Al(111) N-layer film will converge to within a few hundredths of an eV by N=6. The present result, which is consistent with earlier jellium calculations, demonstrates the risk of mistaking an accidental coincidence of work functions for two films, differing in thickness by one layer, for a true convergence with respect to thickness. The implications for thin-film calculations of surface properties are discussed. A linear fit to the film binding energy vs thickness is used to extract the Al(111) surface energy (0.45 eV) and the binding energy of bulk Al (4.06 eV). copyright 1996 The American Physical Society

  6. Mems-based pzt/pzt bimorph thick film vibration energy harvester

    DEFF Research Database (Denmark)

    Xu, Ruichao; Lei, Anders; Dahl-Petersen, Christian

    2011-01-01

    We describe fabrication and characterization of a significantly improved version of a MEMS-based PZT/PZT thick film bimorph vibration energy harvester with an integrated silicon proof mass. The main advantage of bimorph vibration energy harvesters is that strain energy is not lost in mechanical...... support materials since only PZT is strained, and thus it has a potential for significantly higher output power. An improved process scheme for the energy harvester resulted in a robust fabrication process with a record high fabrication yield of 98.6%. Moreover, the robust fabrication process allowed...... a high pressure treatment of the screen printed PZT thick films prior to sintering, improving the PZT thick film performance and harvester power output reaches 37.1 μW at 1 g....

  7. Correlation of Gear Surface Fatigue Lives to Lambda Ratio (Specific Film Thickness)

    Science.gov (United States)

    Krantz, Timothy Lewis

    2013-01-01

    The effect of the lubrication regime on gear performance has been recognized, qualitatively, for decades. Often the lubrication regime is characterized by the specific film thickness being the ratio of lubricant film thickness to the composite surface roughness. Three studies done at NASA to investigate gearing pitting life are revisited in this work. All tests were done at a common load. In one study, ground gears were tested using a variety of lubricants that included a range of viscosities, and therefore the gears operated with differing film thicknesses. In a second and third study, the performance of gears with ground teeth and superfinished teeth were assessed. Thicker oil films provided longer lives as did improved surface finish. These datasets were combined into a common dataset using the concept of specific film thickness. This unique dataset of more 258 tests provides gear designers with some qualitative information to make gear design decisions.

  8. The research of device for measuring film thickness of intelligent coating machine

    Directory of Open Access Journals (Sweden)

    Wang Wanjun

    2015-01-01

    Full Text Available Ion beam sputtering machine uses computer to real time monitor the change of film thickness in the preparation process of soft X ray multilayer element fabrication. It solves the problems of uneven film thickness and too thick film thickness and so on, which exist in the original preparation process. The high-precision quartz crystal converts film thickness measurement into frequency measurement. The equal precision frequency meter based on FPGA measures the frequency. It can reduce the signal delay and interference signal of discrete components, accordingly improving the accuracy of measurement. Then it sents the count value to the host computer through the single chip microcomputer serial port. It calculates and displays the value by the GUI of LabVIEW. The experimental results show that, the relative measurement error can be decreased to 1/10, i.e., the measurement accuracy can be improved by more than ten times.

  9. Size dictated thermal conductivity of GaN

    Science.gov (United States)

    Beechem, Thomas E.; McDonald, Anthony E.; Fuller, Elliot J.; Talin, A. Alec; Rost, Christina M.; Maria, Jon-Paul; Gaskins, John T.; Hopkins, Patrick E.; Allerman, Andrew A.

    2016-09-01

    The thermal conductivity of n- and p-type doped gallium nitride (GaN) epilayers having thicknesses of 3-4 μm was investigated using time domain thermoreflectance. Despite possessing carrier concentrations ranging across 3 decades (1015-1018 cm-3), n-type layers exhibit a nearly constant thermal conductivity of 180 W/mK. The thermal conductivity of p-type epilayers, in contrast, reduces from 160 to 110 W/mK with increased doping. These trends—and their overall reduction relative to bulk—are explained leveraging established scattering models where it is shown that, while the decrease in p-type layers is partly due to the increased impurity levels evolving from its doping, size effects play a primary role in limiting the thermal conductivity of GaN layers tens of microns thick. Device layers, even of pristine quality, will therefore exhibit thermal conductivities less than the bulk value of 240 W/mK owing to their finite thickness.

  10. CdO Doped Indium Oxide Thick Film as a Low Temperature H2S Gas Sensor

    Directory of Open Access Journals (Sweden)

    D. N. CHAVAN

    2011-06-01

    Full Text Available The thick films of AR grade In2O3 were prepared by standard screen-printing technique. The gas sensing performance of thick film was tested for various gases. It showed maximum gas response to ethanol vapor at 350 oC for 80 ppm. To improve the gas response and selectivity of the film towards a particular gas, In2O3 thick films were modified by dipping them in an aqueous solution of 0.1 M CdCl2 for different intervals of time. The surface modified (10 min In2O3 thick film showed maximum response to H2S gas (10 ppm than pure In2O3 thick film at 150 oC. Cadmium oxide on the surface of the film shifts the gas response from ethanol vapor to H2S gas. A systematic study of sensing performance of the thick films indicates the key role played by cadmium oxide on the surface of thick films. The selectivity, gas response and recovery time of the thick films were measured and presented.

  11. Hydroxyapatite screen-printed thick films: optical and electrical properties

    International Nuclear Information System (INIS)

    Silva, C.C.; Rocha, H.H.B.; Freire, F.N.A.; Santos, M.R.P.; Saboia, K.D.A.; Goes, J.C.; Sombra, A.S.B.

    2005-01-01

    In this paper, we did a study on the structural and electrical properties of bioceramic hydroxiapatite (HA) thick films. The films were prepared in two layers using the screen-printing technique on Al 2 O 3 substrates. Mechanical alloying has been used successfully to produce nanocrystalline powders of hydroxyapatite to be used in the films. We also look for the effect of the grain size of the HA in the final properties of the film. The samples were studied using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy-dispersive spectroscopy (EDS), infrared and Raman scattering spectroscopy and electrical measurements. We did a study of the dielectric permittivity and the loss of the films in the radio-frequency of the spectra. The X-ray diffraction patterns of the films indicate that all the peaks associated to HA phase is present in the films. One can notice that, for all the films there is a decrease of the DC (dielectric constant) with the increase of the frequency. The values of the dielectric constant of the films are in between 4 and 9 (at 1 kHz), as a function of the flux concentration. The loss is decreasing as we increase the frequency for all the films. These results strongly suggest that the screen-printing HA thick films are good candidates for applications in biocompatible coatings of implant materials

  12. Hydroxyapatite screen-printed thick films: optical and electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Silva, C.C. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Rocha, H.H.B. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Freire, F.N.A. [Departamento de Quimica Orga-circumflex nica e Inorga-circumflex nica-UFC, Caixa Postal 6030, CEP 60455-760, Fortaleza, Ceara (Brazil); Santos, M.R.P. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Saboia, K.D.A. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Goes, J.C. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil); Sombra, A.S.B. [Laboratorio de Telecomunicaco-tilde es e Ciencia e Engenharia de Materiais (LOCEM), Departamento de Fisica, Universidade Federal do Ceara, Campus do Pici, Caixa Postal 6030, 60455-760 Fortaleza, Ceara (Brazil)]. E-mail: sombra@fisica.ufc.br

    2005-07-15

    In this paper, we did a study on the structural and electrical properties of bioceramic hydroxiapatite (HA) thick films. The films were prepared in two layers using the screen-printing technique on Al{sub 2}O{sub 3} substrates. Mechanical alloying has been used successfully to produce nanocrystalline powders of hydroxyapatite to be used in the films. We also look for the effect of the grain size of the HA in the final properties of the film. The samples were studied using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy-dispersive spectroscopy (EDS), infrared and Raman scattering spectroscopy and electrical measurements. We did a study of the dielectric permittivity and the loss of the films in the radio-frequency of the spectra. The X-ray diffraction patterns of the films indicate that all the peaks associated to HA phase is present in the films. One can notice that, for all the films there is a decrease of the DC (dielectric constant) with the increase of the frequency. The values of the dielectric constant of the films are in between 4 and 9 (at 1 kHz), as a function of the flux concentration. The loss is decreasing as we increase the frequency for all the films. These results strongly suggest that the screen-printing HA thick films are good candidates for applications in biocompatible coatings of implant materials.

  13. Thick-Film and LTCC Passive Components for High-Temperature Electronics

    Directory of Open Access Journals (Sweden)

    A. Dziedzic

    2013-04-01

    Full Text Available At this very moment an increasing interest in the field of high-temperature electronics is observed. This is a result of development in the area of wide-band semiconductors’ engineering but this also generates needs for passives with appropriate characteristics. This paper presents fabrication as well as electrical and stability properties of passive components (resistors, capacitors, inductors made in thick-film or Low-Temperature Co-fired Ceramics (LTCC technologies fulfilling demands of high-temperature electronics. Passives with standard dimensions usually are prepared by screen-printing whereas combination of standard screen-printing with photolithography or laser shaping are recommenced for fabrication of micropassives. Attainment of proper characteristics versus temperature as well as satisfactory long-term high-temperature stability of micropassives is more difficult than for structures with typical dimensions for thick-film and LTCC technologies because of increase of interfacial processes’ importance. However it is shown that proper selection of thick-film inks together with proper deposition method permit to prepare thick-film micropassives (microresistors, air-cored microinductors and interdigital microcapacitors suitable for the temperature range between 150°C and 400°C.

  14. Gas Sensing Performance of Pure and Modified BST Thick Film Resistor

    Directory of Open Access Journals (Sweden)

    G. H. JAIN

    2008-04-01

    Full Text Available Barium Strontium Titanate (BST-(Ba0.87Sr0.13TiO3 ceramic powder was prepared by mechanochemical process. The thick films of different thicknesses of BST were prepared by screen-printing technique and gas-sensing performance of these films was tested for various gases. The films showed highest response and selectivity to ammonia gas. The pure BST film was surface modified by surfactant CrO3 by using dipping technique. The surface modified film suppresses the response to ammonia and enhances to H2S gas. The surface modification of films changes the adsorption-desorption relationship with the target gas and shifts its selectivity. The gas response, selectivity, response and recovery time of the pure and modified films were measured and presented.

  15. Studies on Gas Sensing Performance of Cr-doped Indium Oxide Thick Film Sensors

    Directory of Open Access Journals (Sweden)

    D. N. Chavan

    2011-02-01

    Full Text Available A series of In1-xCrxO3 composites, with x ranging from 0.01 to 0.5wt% were prepared by mechanochemically starting from InCl3 and CrO3. Structural and micro structural characteristics of the sample were investigated by XRD, SEM with EDAX. Thick films of pure Indium Oxide and composites were prepared by standard screen printing technique. The gas sensitivity of these thick films was tested for various gases. The pure Indium Oxide thick film (x=0 shows maximum sensitivity to ethanol vapour (80 ppm at 350 oC, but composite-A (x=0.01 thick film shows maximum sensitivity to H2S gas (40 ppm at 250 oC, composite-B (x=0.1 thick film shows higher sensitivity to NH3 gas (80 ppm at 250 oC and composite-C (x=0.5 thick film shows maximum sensitivity to Cl2 gas (80 ppm at 350 oC. A systematic study of gas sensing performance of the sensors indicates the key role played by concentration variation of Cr doped species. The sensitivity, selectivity and recovery time of the sensor were measured and presented.

  16. Thickness-dependence of optical constants for Ta2O5 ultrathin films

    International Nuclear Information System (INIS)

    Zhang, Dong-Xu; Zheng, Yu-Xiang; Cai, Qing-Yuan; Lin, Wei; Wu, Kang-Ning; Mao, Peng-Hui; Zhang, Rong-Jun; Zhao, Hai-bin; Chen, Liang-Yao

    2012-01-01

    An effective method for determining the optical constants of Ta 2 O 5 thin films deposited on crystal silicon (c-Si) using spectroscopic ellipsometry (SE) measurement with a two-film model (ambient-oxide-interlayer-substrate) was presented. Ta 2 O 5 thin films with thickness range of 1-400 nm have been prepared by the electron beam evaporation (EBE) method. We find that the refractive indices of Ta 2 O 5 ultrathin films less than 40 nm drop with the decreasing thickness, while the other ones are close to those of bulk Ta 2 O 5 . This phenomenon was due to the existence of an interfacial oxide region and the surface roughness of the film, which was confirmed by the measurement of atomic force microscopy (AFM). Optical properties of ultrathin film varying with the thickness are useful for the design and manufacture of nano-scaled thin-film devices. (orig.)

  17. Thickness-dependence of optical constants for Ta2O5 ultrathin films

    Science.gov (United States)

    Zhang, Dong-Xu; Zheng, Yu-Xiang; Cai, Qing-Yuan; Lin, Wei; Wu, Kang-Ning; Mao, Peng-Hui; Zhang, Rong-Jun; Zhao, Hai-bin; Chen, Liang-Yao

    2012-09-01

    An effective method for determining the optical constants of Ta2O5 thin films deposited on crystal silicon (c-Si) using spectroscopic ellipsometry (SE) measurement with a two-film model (ambient-oxide-interlayer-substrate) was presented. Ta2O5 thin films with thickness range of 1-400 nm have been prepared by the electron beam evaporation (EBE) method. We find that the refractive indices of Ta2O5 ultrathin films less than 40 nm drop with the decreasing thickness, while the other ones are close to those of bulk Ta2O5. This phenomenon was due to the existence of an interfacial oxide region and the surface roughness of the film, which was confirmed by the measurement of atomic force microscopy (AFM). Optical properties of ultrathin film varying with the thickness are useful for the design and manufacture of nano-scaled thin-film devices.

  18. Epitaxial growth of SrTiO3 (001) films on multilayer buffered GaN (0002) by pulsed laser deposition

    International Nuclear Information System (INIS)

    Luo, W B; Jing, J; Shuai, Y; Zhu, J; Zhang, W L; Zhou, S; Gemming, S; Du, N; Schmidt, H

    2013-01-01

    SrTiO 3 films were grown on CeO 2 /YSZ/TiO 2 multilayer buffered GaN/Al 2 O 3 (0001) substrates with and without the YBa 2 Cu 3 O 7-x (YBCO) bridge layer by pulsed laser deposition (PLD). The deposition process of the buffer layers was in situ monitored by reflection high-energy electron diffraction. The crystallographical orientation of the heterostructure was studied by x-ray diffraction (XRD). With the introduction of the YBCO (001) layer, the STO (001) film was epitaxially grown on the GaN substrate. There were three sets of inplane domains separated from each other by 30° in both STO and YBCO buffer layers. The epitaxial relationship was STO (002)[110]∥YBCO(001)[110]∥CeO 2 (002)[010]∥YSZ (002)[010]∥GaN(0001)[1 1 -2 0] according to XRD results. By comparing the orientation of STO grown on GaN with and without the YBCO top buffer layer, the surface chemical bonding was found to be a very important factor in determining the orientation relationship of STO.

  19. Thin dielectric film thickness determination by advanced transmission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Diebold, A.C.; Foran, B.; Kisielowski, C.; Muller, D.; Pennycook, S.; Principe, E.; Stemmer, S.

    2003-09-01

    High Resolution Transmission Electron Microscopy (HR-TEM) has been used as the ultimate method of thickness measurement for thin films. The appearance of phase contrast interference patterns in HR-TEM images has long been confused as the appearance of a crystal lattice by non-specialists. Relatively easy to interpret crystal lattice images are now directly observed with the introduction of annular dark field detectors for scanning TEM (STEM). With the recent development of reliable lattice image processing software that creates crystal structure images from phase contrast data, HR-TEM can also provide crystal lattice images. The resolution of both methods was steadily improved reaching now into the sub Angstrom region. Improvements in electron lens and image analysis software are increasing the spatial resolution of both methods. Optimum resolution for STEM requires that the probe beam be highly localized. In STEM, beam localization is enhanced by selection of the correct aperture. When STEM measurement is done using a highly localized probe beam, HR-TEM and STEM measurement of the thickness of silicon oxynitride films agree within experimental error. In this paper, the optimum conditions for HR-TEM and STEM measurement are discussed along with a method for repeatable film thickness determination. The impact of sample thickness is also discussed. The key result in this paper is the proposal of a reproducible method for film thickness determination.

  20. Misfit strain-film thickness phase diagrams and related electromechanical properties of epitaxial ultra-thin lead zirconate titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Q.Y.; Mahjoub, R. [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia); Alpay, S.P. [Materials Science and Engineering Program and Institute of Materials Science, University of Connecticut, Storrs, CT 06269 (United States); Nagarajan, V., E-mail: nagarajan@unsw.edu.au [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia)

    2010-02-15

    The phase stability of ultra-thin (0 0 1) oriented ferroelectric PbZr{sub 1-x}Ti{sub x}O{sub 3} (PZT) epitaxial thin films as a function of the film composition, film thickness, and the misfit strain is analyzed using a non-linear Landau-Ginzburg-Devonshire thermodynamic model taking into account the electrical and mechanical boundary conditions. The theoretical formalism incorporates the role of the depolarization field as well as the possibility of the relaxation of in-plane strains via the formation of microstructural features such as misfit dislocations at the growth temperature and ferroelastic polydomain patterns below the paraelectric-ferroelectric phase transformation temperature. Film thickness-misfit strain phase diagrams are developed for PZT films with four different compositions (x = 1, 0.9, 0.8 and 0.7) as a function of the film thickness. The results show that the so-called rotational r-phase appears in a very narrow range of misfit strain and thickness of the film. Furthermore, the in-plane and out-of-plane dielectric permittivities {epsilon}{sub 11} and {epsilon}{sub 33}, as well as the out-of-plane piezoelectric coefficients d{sub 33} for the PZT thin films, are computed as a function of misfit strain, taking into account substrate-induced clamping. The model reveals that previously predicted ultrahigh piezoelectric coefficients due to misfit-strain-induced phase transitions are practically achievable only in an extremely narrow range of film thickness, composition and misfit strain parameter space. We also show that the dielectric and piezoelectric properties of epitaxial ferroelectric films can be tailored through strain engineering and microstructural optimization.

  1. Influence of growth temperature and temperature ramps on deep level defect incorporation in m-plane GaN

    International Nuclear Information System (INIS)

    Armstrong, A. M.; Kelchner, K.; Nakamura, S.; DenBaars, S. P.; Speck, J. S.

    2013-01-01

    The dependence of deep level defect incorporation in m-plane GaN films grown by metal-organic chemical vapor deposition on bulk m-plane GaN substrates as a function of growth temperature (T g ) and T g ramping method was investigated using deep level optical spectroscopy. Understanding the influence of T g on GaN deep level incorporation is important for InGaN/GaN multi-quantum well (MQW) light emitting diodes (LEDs) and laser diodes (LDs) because GaN quantum barrier (QB) layers are grown much colder than thin film GaN to accommodate InGaN QW growth. Deep level spectra of low T g (800 °C) GaN films grown under QB conditions were compared to deep level spectra of high T g (1150 °C) GaN. Reducing T g , increased the defect density significantly (>50×) through introduction of emergent deep level defects at 2.09 eV and 2.9 eV below the conduction band minimum. However, optimizing growth conditions during the temperature ramp when transitioning from high to low T g substantially reduced the density of these emergent deep levels by approximately 40%. The results suggest that it is important to consider the potential for non-radiative recombination in QBs of LED or LD active regions, and tailoring the transition from high T g GaN growth to active layer growth can mitigate such non-radiative channels

  2. Formation process of graphite film on Ni substrate with improved thickness uniformity through precipitation control

    Science.gov (United States)

    Kim, Seul-Gi; Hu, Qicheng; Nam, Ki-Bong; Kim, Mun Ja; Yoo, Ji-Beom

    2018-04-01

    Large-scale graphitic thin film with high thickness uniformity needs to be developed for industrial applications. Graphitic films with thicknesses ranging from 3 to 20 nm have rarely been reported, and achieving the thickness uniformity in that range is a challenging task. In this study, a process for growing 20 nm-thick graphite films on Ni with improved thickness uniformity is demonstrated and compared with the conventional growth process. In the film grown by the process, the surface roughness and coverage were improved and no wrinkles were observed. Observations of the film structure reveal the reasons for the improvements and growth mechanisms.

  3. Realistic reflectance spectrum of thin films covering a transparent optically thick substrate

    Energy Technology Data Exchange (ETDEWEB)

    Cesaria, M., E-mail: maura.cesaria@le.infn.it; Caricato, A. P.; Martino, M. [Department of Mathematics and Physics “Ennio De Giorgi,” University of Salento, Via Arnesano, I-73100 Lecce (Italy)

    2014-07-21

    A spectrophotometric strategy is presented and discussed for calculating realistically the reflectance spectrum of an absorbing film deposited over a thick transparent or semi-transparent substrate. The developed route exploits simple mathematics, has wide range of applicability (high-to-weak absorption regions and thick-to-ultrathin films), rules out numerical and curve-fitting procedures as well as model-functions, inherently accounts for the non-measurable contribution of the film-substrate interface as well as substrate backside, and describes the film reflectance spectrum as determined by the experimental situation (deposition approach and parameters). The reliability of the method is tested on films of a well-known material (indium tin oxide) by deliberately changing film thickness and structural quality through doping. Results are found consistent with usual information yielded by reflectance, its inherent relationship with scattering processes and contributions to the measured total reflectance.

  4. Solvent annealing induced phase separation and dewetting in PMMA∕SAN blend film: film thickness and solvent dependence.

    Science.gov (United States)

    You, Jichun; Zhang, Shuangshuang; Huang, Gang; Shi, Tongfei; Li, Yongjin

    2013-06-28

    The competition between "dewetting" and "phase separation" behaviors in polymer blend films attracts significant attention in the last decade. The simultaneous phase separation and dewetting in PMMA∕SAN [poly(methyl methacrylate) and poly(styrene-ran-acrylonitrile)] blend ultrathin films upon solvent annealing have been observed for the first time in our previous work. In this work, film thickness and annealing solvent dependence of phase behaviors in this system has been investigated using atomic force microscopy and grazing incidence small-angle X-ray scattering (GISAXS). On one hand, both vertical phase separation and dewetting take place upon selective solvent vapor annealing, leading to the formation of droplet∕mimic-film structures with various sizes (depending on original film thickness). On the other hand, the whole blend film dewets the substrate and produces dispersed droplets on the silicon oxide upon common solvent annealing. GISAXS results demonstrate the phase separation in the big dewetted droplets resulted from the thicker film (39.8 nm). In contrast, no period structure is detected in small droplets from the thinner film (5.1 nm and 9.7 nm). This investigation indicates that dewetting and phase separation in PMMA∕SAN blend film upon solvent annealing depend crucially on the film thickness and the atmosphere during annealing.

  5. Flexoelectricity induced increase of critical thickness in epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Zhou Hao; Hong Jiawang; Zhang Yihui; Li Faxin; Pei Yongmao; Fang Daining

    2012-01-01

    Flexoelectricity describes the coupling between polarization and strain/stress gradients in insulating crystals. In this paper, using the Landau-Ginsburg-Devonshire phenomenological approach, we found that flexoelectricity could increase the theoretical critical thickness in epitaxial BaTiO 3 thin films, below which the switchable spontaneous polarization vanishes. This increase is remarkable in tensile films while trivial in compressive films due to the electrostriction caused decrease of potential barrier, which can be easily destroyed by the flexoelectricity, between the ferroelectric state and the paraelectric state in tensile films. In addition, the films are still in a uni-polar state even below the critical thickness due to the flexoelectric effect.

  6. Flexoelectricity induced increase of critical thickness in epitaxial ferroelectric thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Hao [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Hong Jiawang; Zhang Yihui [Department of Engineering Mechanics, Tsinghua University, Beijing 100084 (China); Li Faxin [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Pei Yongmao, E-mail: peiym@pku.edu.cn [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Fang Daining, E-mail: fangdn@pku.edu.cn [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Department of Engineering Mechanics, Tsinghua University, Beijing 100084 (China)

    2012-09-01

    Flexoelectricity describes the coupling between polarization and strain/stress gradients in insulating crystals. In this paper, using the Landau-Ginsburg-Devonshire phenomenological approach, we found that flexoelectricity could increase the theoretical critical thickness in epitaxial BaTiO{sub 3} thin films, below which the switchable spontaneous polarization vanishes. This increase is remarkable in tensile films while trivial in compressive films due to the electrostriction caused decrease of potential barrier, which can be easily destroyed by the flexoelectricity, between the ferroelectric state and the paraelectric state in tensile films. In addition, the films are still in a uni-polar state even below the critical thickness due to the flexoelectric effect.

  7. Magnetic properties and microstructure investigation of electrodeposited FeNi/ITO films with different thickness

    International Nuclear Information System (INIS)

    Cao, Derang; Wang, Zhenkun; Feng, Erxi; Wei, Jinwu; Wang, Jianbo; Liu, Qingfang

    2013-01-01

    Highlights: •FeNi alloy thin films with different thickness deposited on Indium Tin Oxides (ITOs) conductive glass substrates by electrodeposition method. •A columnar crystalline microstructure and domain structure were obtained in FeNi thin films. •Particular FMR spectra of FeNi alloy with different thickness were studied. -- Abstract: FeNi alloy thin films with different thickness deposited on Indium Tin Oxides (ITOs) conductive glass substrates from the electrolytes by electrodeposition method have been studied by magnetic force microscopy (MFM), scanning electron microscopy (SEM) and ferromagnetic resonance (FMR) technique. For these films possessing an in-plane isotropy, the remanence decreases with the increasing of film thickness and the critical thickness that a stripe domain structure emerges is about 116 nm. Characteristic differences of the FMR spectra of different thickness are also observed. The results show that the resonance field at high measured angle increases firstly then decreases with increasing thickness, which may be related to the striped domain structure

  8. Ethanol vapour sensing properties of screen printed WO 3 thick films

    Indian Academy of Sciences (India)

    The ethanol vapour sensing properties of these thick films were investigated at different operating temperatures and ethanol vapour concentrations. The WO3 thick films exhibit excellent ethanol vapour sensing properties with a maximum sensitivity of ∼1424.6% at 400°C in air atmosphere with fast response and recovery ...

  9. N-polar InGaN-based LEDs fabricated on sapphire via pulsed sputtering

    OpenAIRE

    Kohei Ueno; Eiji Kishikawa; Jitsuo Ohta; Hiroshi Fujioka

    2017-01-01

    High-quality N-polar GaN epitaxial films with an atomically flat surface were grown on sapphire (0001) via pulsed sputtering deposition, and their structural and electrical properties were investigated. The crystalline quality of N-polar GaN improves with increasing film thickness and the full width at half maximum values of the x-ray rocking curves for 0002 and 101¯2 diffraction were 313 and 394 arcsec, respectively, at the film thickness of 6μm. Repeatable p-type doping in N-polar GaN films...

  10. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  11. Towards a new thickness-independent gamma radiation plastic film dosimeter

    International Nuclear Information System (INIS)

    Vieira, Marli Barbosa; Araujo, Patricia L.; Araujo, Elma S.

    2013-01-01

    A 100% national single-use gamma radiation plastic film dosimeter is presented in this work. A new approach for the development of this material allowed a step forward in the performance of poly (methyl metacrylate) films (PMMA) colored with bromothymol blue (BTB) acid-base indicator. We manage to improve dosimeter performance by introducing a gamma radiation insensitive dye to compensate film thickness variations. By doing so, we were able to obtain consistent dose-response correlations within a set of samples presenting 46 to 110 micrometers in thickness. Hence, our PMMA/BTB-P film dosimeter is suitable to measure absorbed dose in the 2-100kGy range even when film thickness undergoes more than 100% of variation. In addition, dose response data remain practically unaltered for four months after the exposure, when dosimeter films are kept in dark conditions and under refrigeration. The radiation effects on the optical properties were evaluated for Ultraviolet-Visible (UV-Vis) spectrophotometric analysis. Data of characteristic dose-response correlation in terms of changes in the maximum UV-Vis absorption due to radiation, and stability in time are also described. This potential new product is a promising tool for industrial radiation facilities, especially in gamma sterilization of medical supplies. (author)

  12. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  13. Electro-physical properties of superconducting ceramic thick film prepared by partial melting method.

    Science.gov (United States)

    Lee, Sang Heon

    2013-05-01

    BiSrCaCuO superconductor thick films were prepared at several curing temperatures, and their electro-physical properties were determined to find an optimum fabrication conditions. Critical temperatures of the superconductors were decreased with increasing melting temperature, which was related to the amount of equilibrium phases of the superconducting materials with temperature. The critical temperature of BiSrCaCuO bulk and thick film superconductors were 107 K and 96 K, respectively. The variation of susceptibility of the superconductor thick film formed at 950 degrees C had multi-step-type curve for 70 G externally applied field, whereas, a superconductor thick film formed at 885 degrees C had a single step-type curve like a bulk BiSrCaCuO ceramic superconductor in the temperature-susceptibility curves. A partial melting at 865 degrees C is one of optimum conditions for making a superconductor thick film with a relatively homogeneous phase.

  14. Thickness control in electrophoretic deposition of WO3 nanofiber thin films for solar water splitting

    International Nuclear Information System (INIS)

    Fang, Yuanxing; Lee, Wei Cheat; Canciani, Giacomo E.; Draper, Thomas C.; Al-Bawi, Zainab F.; Bedi, Jasbir S.; Perry, Christopher C.; Chen, Qiao

    2015-01-01

    Graphical abstract: - Highlights: • A novel method combining electrospinning and electrophoretic deposition was established for the creation of nanostructured semiconductor thin films. • The created thin films displayed a high chemical stability with a controllable thickness. • The PEC water splitting performance of the thin films was optimized by fine-tuning the thickness of the films. • A maximum photoconversion efficiency was achieved by 18 μm nanofibrous thin films. - Abstract: Electrophoretic deposition (EPD) of ground electrospun WO 3 nanofibers was applied to create photoanodes with controlled morphology for the application of photoelectrochemical (PEC) water splitting. The correlations between deposition parameters and film thicknesses were investigated with theoretical models to precisely control the morphology of the nanostructured porous thin film. The photoconversion efficiency was further optimized as a function of film thickness. A maximum photoconversion efficiency of 0.924% from electrospun WO 3 nanofibers that EPD deposited on a substrate was achieved at a film thickness of 18 μm.

  15. High-performance piezoelectric thick film based energy harvesting micro-generators for MEMS

    DEFF Research Database (Denmark)

    Zawada, Tomasz; Hansen, Karsten; Lou-Moeller, Rasmus

    2010-01-01

    and are transformed by the energy harvesting micro-generator into usable electrical signal. The micro-generator comprises a silicon cantilever with integrated InSensor® TF2100 PZT thick film deposited using screen-printing. The output power versus frequency and electrical load has been investigated. Furthermore......, devices based on modified, pressure treated thick film materials have been tested and compared with the commercial InSensor® TF2100 PZT thick films. It has been found that the structures based on the pressure treated materials exhibit superior properties in terms of energy output....

  16. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    Science.gov (United States)

    Ueno, Kohei; Fudetani, Taiga; Arakawa, Yasuaki; Kobayashi, Atsushi; Ohta, Jitsuo; Fujioka, Hiroshi

    2017-12-01

    We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD) technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm-3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V-1 s-1 at a carrier concentration of 3.9 × 1020 cm-3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  17. Electron transport properties of degenerate n-type GaN prepared by pulsed sputtering

    Directory of Open Access Journals (Sweden)

    Kohei Ueno

    2017-12-01

    Full Text Available We report a systematic investigation of the transport properties of highly degenerate electrons in Ge-doped and Si-doped GaN epilayers prepared using the pulsed sputtering deposition (PSD technique. Secondary-ion mass spectrometry and Hall-effect measurements revealed that the doping efficiency of PSD n-type GaN is close to unity at electron concentrations as high as 5.1 × 1020 cm−3. A record low resistivity for n-type GaN of 0.16 mΩ cm was achieved with an electron mobility of 100 cm2 V−1 s−1 at a carrier concentration of 3.9 × 1020 cm−3. We explain this unusually high electron mobility of PSD n-type GaN within the framework of conventional scattering theory by modifying a parameter related to nonparabolicity of the conduction band. The Ge-doped GaN films show a slightly lower electron mobility compared with Si-doped films with the same carrier concentrations, which is likely a consequence of the formation of a small number of compensation centers. The excellent electrical properties presented in this letter clearly demonstrate the striking advantages of the low-temperature PSD technique for growing high-quality and highly conductive n-type GaN.

  18. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  19. Characterization of GaN films grown on GaAs by AP-MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Vilchis, H; Sanchez-R, V M; Escobosa, A, E-mail: heber_vil@hotmail.co [Department of Electrical Engineering, CINVESTAV-IPN, Av. Instituto Politecnico Nacional 2508 Col. San Pedro Zacatenco C.P. 07360 Mexico D.F (Mexico)

    2009-05-01

    In this paper we present the results of the synthesis of GaN in an AP-MOVPE system heated by infrared lamps starting from gallium nitride films obtained by nitridation of gallium arsenide. Although dependence of the characteristics of the different parameters of the deposition process on the properties of the layers has been widely studied, the influence of the nature and design of the heating source has been only scarcely reported. We show that the ratio between the two phases depends on the characteristics of the heating source, as well as on other growth parameters. Our results show a compromise between the characteristics of the photoluminescence spectra, the surface morphology and the cubic phase to hexagonal phase ratio. The growth conditions can be adjusted for optimal performance.

  20. BaF2 POST-DEPOSITION REACTION PROCESS FOR THICK YBCO FILMS

    International Nuclear Information System (INIS)

    SUENAGA, M.; SOLOVYOV, V.F.; WU, L.; WIESMANN, H.J.; ZHU, Y.

    2001-01-01

    The basic processes of the so-called BaF 2 process for the formation of YBa 2 Cu 3 O 7 , YBCO, films as well as its advantages over the in situ formation processes are discussed in the previous chapter. The process and the properties of YBCO films by this process were also nicely described in earlier articles by R. Feenstra, (et al.) Here, we will discuss two pertinent subjects related to fabrication of technologically viable YBCO conductors using this process. These are (1) the growth of thick (>> 1 microm) c-axis-oriented YBCO films and (2) their growth rates. Before the detail discussions of these subjects are given, we first briefly discuss what geometrical structure a YBCO-coated conductor should be. Then, we will provide examples of simple arguments for how thick the YBCO films and how fast their growth rates need to be. Then, the discussions in the following two sections are devoted to: (1) the present understanding of the nucleation and the growth process for YBCO, and why it is so difficult to grow thick c-axis-oriented films (> 3 microm), and (2) our present understanding of the YBCO growth-limiting mechanism and methods to increase the growth rates. The values of critical-current densities J c in these films are of primary importance for the applications,. and the above two subjects are intimately related to the control of J c of the films. In general, the lower the temperatures of the YBCO formation are the higher the values of J c of the films. Thus, the present discussion is limited to those films which are reacted at ∼735 C. This is the lowest temperature at which c-axis-oriented YBCO films (1-3 microm thick) are comfortably grown. It is also well known that the non-c-axis oriented YBCO platelets are extremely detrimental to the values of J c such that their effects on J c dwarf essentially all of other microstructural effects which control J c . Hence, the discussion given below is mainly focused on how to avoid the growth of these crystallites

  1. Study of lead free ferroelectrics using overlay technique on thick film microstrip ring resonator

    Directory of Open Access Journals (Sweden)

    Shridhar N. Mathad

    2016-03-01

    Full Text Available The lead free ferroelectrics, strontium barium niobates, were synthesized via the low cost solid state reaction method and their fritless thick films were fabricated by screen printing technique on alumina substrate. The X band response (complex permittivity at very high frequencies of Ag thick film microstrip ring resonator perturbed with strontium barium niobates (SrxBa1-xNb2O6 in form of bulk and thick film was measured. A new approach for determination of complex permittivity (ε′ and ε′′ in the frequency range 8–12 GHz, using perturbation of Ag thick film microstrip ring resonator (MSRR, was applied for both bulk and thick film of strontium barium niobates (SrxBa1-xNb2O6. The microwave conductivity of the bulk and thick film lie in the range from 1.779 S/cm to 2.874 S/cm and 1.364 S/cm to 2.296 S/cm, respectively. The penetration depth of microwave in strontium barium niobates is also reported.

  2. Studies on Gas Sensing Performance of Pure and Surface Chrominated Indium Oxide Thick Film Resistors

    Directory of Open Access Journals (Sweden)

    D. N. CHAVAN

    2010-12-01

    Full Text Available The thick films of AR grade In2O3 were prepared by standard screen-printing technique. The gas sensing performance of thick film was tested for various gases. It showed maximum gas response to ethanol vapor at 350 oC for 80 ppm. To improve the gas response and selectivity of the film towards a particular gas, In2O3 thick films were modified by dipping them in an aqueous solution of 0.1 M CrO3 for different intervals of time. The surface chrominated (20 min In2O3 thick film showed maximum response to H2S gas (40 ppm than pure In2O3 thick film at 250 oC. Chromium oxide on the surface of the film shifts the gas response from ethanol vapor to H2S gas. A systematic study of sensing performance of the sensor indicates the key role played by chromium oxide on the surface of thick film. The selectivity, gas response and recovery time of the sensor were measured and presented.

  3. Synthesis and characterization of silicon-doped polycrystalline GaN ...

    Indian Academy of Sciences (India)

    Silicon-doped polycrystalline GaN films were successfully deposited at temperatures ranging from 300 to 623 K on fused silica and silicon substrates by radio frequency (r.f.) magnetron sputtering at a system pressure of ~ 5 Pa. The films were characterized by optical as well as microstructural measurements. The optical ...

  4. Attempt to produce both thick and thinned flowing superfluid films

    International Nuclear Information System (INIS)

    Kwoh, D.S.W.; Goodstein, D.L.

    1977-01-01

    As discussed in the preceding paper by Graham, a controversy has arisen over conflicting reports of whether a superfluid film becomes thinned when it is set into motion. We have performed an experiment designed to reproduce as nearly as possible two previous measurements giving opposite results. Our experiment is also designed to test directly a theory proposed by Goodstein and Saffman which would have reconciled the apparently contradictory observations. We are unable to reproduce the thick-film result, finding kinetic thinning in all cases, even where the Goodstein--Saffman theory would lead us to expect a thick film. We conclude, in agreement with Graham, that the film is always thinned when it flows, and that the theory is therefore unnecessary

  5. Laser Cutting of Thick Diamond Films Using Low-Power Laser

    Energy Technology Data Exchange (ETDEWEB)

    Park, Y.J.; Baik, Y.J. [Korea Institute of Science and Technology, Seoul (Korea)

    2000-02-01

    Laser cutting of thick diamond films is studied rising a low-power(10 W) copper vapor laser. Due to the existence of the saturation depth in laser cutting, thick diamond films are not easily cut by low-power lasers. In this study, we have adopted a low thermal- conductivity underlayer of alumina and a heating stage (up to 500 deg. C in air) to prevent the laser energy from consuming-out and, in turn, enhance the cutting efficiency. Aspect ratio increases twice from 3.5 to 7 when the alumina underlayer used. Adopting a heating stage also increases aspect ratio and more than 10 is obtained at higher temperatures than 400 deg. C. These results show that thick diamond films can be cut, with low-power lasers, simply by modifying the thermal property of underlayer. (author). 13 refs., 5 figs.

  6. Optically active centers in Eu implanted, Eu in situ doped GaN, and Eu doped GaN quantum dots

    International Nuclear Information System (INIS)

    Bodiou, L.; Braud, A.; Doualan, J.-L.; Moncorge, R.; Park, J. H.; Munasinghe, C.; Steckl, A. J.; Lorenz, K.; Alves, E.; Daudin, B.

    2009-01-01

    A comparison is presented between Eu implanted and Eu in situ doped GaN thin films showing that two predominant Eu sites are optically active around 620 nm in both types of samples with below and above bandgap excitation. One of these sites, identified as a Ga substitutional site, is common to both types of Eu doped GaN samples despite the difference in the GaN film growth method and in the doping technique. High-resolution photoluminescence (PL) spectra under resonant excitation reveal that in all samples these two host-sensitized sites are in small amount compared to the majority of Eu ions which occupy isolated Ga substitutional sites and thus cannot be excited through the GaN host. The relative concentrations of the two predominant host-sensitized Eu sites are strongly affected by the annealing temperature for Eu implanted samples and by the group III element time opening in the molecular beam epitaxy growth. Red luminescence decay characteristics for the two Eu sites reveal different excitation paths. PL dynamics under above bandgap excitation indicate that Eu ions occupying a Ga substitutional site are either excited directly into the 5 D 0 level or into higher excited levels such as 5 D 1 , while Eu ions sitting in the other site are only directly excited into the 5 D 0 level. These differences are discussed in terms of the spectral overlap between the emission band of a nearby bound exciton and the absorption bands of Eu ions. The study of Eu doped GaN quantum dots reveals the existence of only one type of Eu site under above bandgap excitation, with Eu PL dynamics features similar to Eu ions in Ga substitutional sites

  7. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  8. Nucleation and Growth of GaN on GaAs (001) Substrates

    International Nuclear Information System (INIS)

    Drummond, Timothy J.; Hafich, Michael J.; Heller, Edwin J.; Lee, Stephen R.; Liliental-Weber, Zuzanna; Ruvimov, Sergei; Sullivan, John P.

    1999-01-01

    The nucleation of GaN thin films on GaAs is investigated for growth at 620 ''C. An rf plasma cell is used to generate chemically active nitrogen from N 2 . An arsenic flux is used in the first eight monolayer of nitride growth to enhance nucleation of the cubic phase. Subsequent growth does not require an As flux to preserve the cubic phase. The nucleation of smooth interfaces and GaN films with low stacking fault densities is dependent upon relative concentrations of active nitrogen species in the plasma and on the nitrogen to gallium flux ratio

  9. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation

    International Nuclear Information System (INIS)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chen, Han-Wei; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-01-01

    Enhanced photoelectrochemical (PEC) performances of Ga 2 O 3 and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga 2 O 3 and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga 2 O 3 NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga 2 O 3 . These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga 2 O 3 NWs, or by incorporation of indium to form InGaN NWs. (paper)

  10. Growth of β-Ga2O3 and GaN nanowires on GaN for photoelectrochemical hydrogen generation.

    Science.gov (United States)

    Hwang, Jih-Shang; Liu, Tai-Yan; Chattopadhyay, Surjit; Hsu, Geng-Ming; Basilio, Antonio M; Chen, Han-Wei; Hsu, Yu-Kuei; Tu, Wen-Hsun; Lin, Yan-Gu; Chen, Kuei-Hsien; Li, Chien-Cheng; Wang, Sheng-Bo; Chen, Hsin-Yi; Chen, Li-Chyong

    2013-02-08

    Enhanced photoelectrochemical (PEC) performances of Ga(2)O(3) and GaN nanowires (NWs) grown in situ from GaN were demonstrated. The PEC conversion efficiencies of Ga(2)O(3) and GaN NWs have been shown to be 0.906% and 1.09% respectively, in contrast to their 0.581% GaN thin film counterpart under similar experimental conditions. A low crystallinity buffer layer between the grown NWs and the substrate was found to be detrimental to the PEC performance, but the layer can be avoided at suitable growth conditions. A band bending at the surface of the GaN NWs generates an electric field that drives the photogenerated electrons and holes away from each other, preventing recombination, and was found to be responsible for the enhanced PEC performance. The enhanced PEC efficiency of the Ga(2)O(3) NWs is aided by the optical absorption through a defect band centered 3.3 eV above the valence band of Ga(2)O(3). These findings are believed to have opened up possibilities for enabling visible absorption, either by tailoring ion doping into wide bandgap Ga(2)O(3) NWs, or by incorporation of indium to form InGaN NWs.

  11. Energetics and magnetism of Co-doped GaN(0001) surfaces: A first-principles study

    International Nuclear Information System (INIS)

    Qin, Zhenzhen; Xiong, Zhihua; Chen, Lanli; Qin, Guangzhao

    2014-01-01

    A comprehensive first-principles study of the energetics, electronic, and magnetic properties of Co-doped GaN(0001) thin films are presented and the effect of surface structure on the magnetic coupling between Co atoms is demonstrated. It is found that Co atoms prefer to substitute the surface Ga sites in different growth conditions. In particular, a CoN/GaN interface structure with Co atoms replacing the first Ga layer is preferred under N-rich and moderately Ga-rich conditions, while CoGa x /GaN interface is found to be energetically stable under extremely Ga-rich conditions. It is worth noted that the antiferromagnetic coupling between Co atoms is favorable in clean GaN(0001) surface, but the existence of ferromagnetism would be expected to occur as Co concentration increased in Ga-bilayer GaN(0001) surface. Our study provides the theoretical understanding for experimental research on Co-doped GaN films and might promise the Co:GaN system potential applications in spin injection devices

  12. Surface functionalization by fine ultraviolet-patterning of nanometer-thick liquid lubricant films

    International Nuclear Information System (INIS)

    Lu, Renguo; Zhang, Hedong; Komada, Suguru; Mitsuya, Yasunaga; Fukuzawa, Kenji; Itoh, Shintaro

    2014-01-01

    Highlights: • We present fine UV-patterning of nm-thick liquid films for surface functionalization. • The patterned films exhibit both a morphological pattern and a functional pattern of different surface properties. • The finest pattern linewidth was 0.5 μm. • Fine patterning is crucial for improving surface and tribological properties. - Abstract: For micro/nanoscale devices, surface functionalization is essential to achieve function and performance superior to those that originate from the inherent bulk material properties. As a method of surface functionalization, we dip-coated nanometer-thick liquid lubricant films onto solid surfaces and then patterned the lubricant films with ultraviolet (UV) irradiation through a photomask. Surface topography, adhesion, and friction measurements demonstrated that the patterned films feature a concave–convex thickness distribution with thicker lubricant in the irradiated regions and a functional distribution with lower adhesion and friction in the irradiated convex regions. The pattern linewidth ranged from 100 to as fine as 0.5 μm. The surface functionalization effect of UV-patterning was investigated by measuring the water contact angles, surface energies, friction forces, and depletion of the patterned, as-dipped, and full UV-irradiated lubricant films. The full UV-irradiated lubricant film was hydrophobic with a water contact angle of 102.1°, and had lower surface energy, friction, and depletion than the as-dipped film, which was hydrophilic with a water contact angle of 80.7°. This demonstrates that UV irradiation substantially improves the surface and tribological properties of the nanometer-thick liquid lubricant films. The UV-patterned lubricant films exhibited superior surface and tribological properties than the as-dipped film. The water contact angle increased and the surface energy, friction, and depletion decreased as the pattern linewidth decreased. In particular, the 0.5-μm patterned lubricant

  13. Vacancy-type defects in Al2O3/GaN structure probed by monoenergetic positron beams

    Science.gov (United States)

    Uedono, Akira; Nabatame, Toshihide; Egger, Werner; Koschine, Tönjes; Hugenschmidt, Christoph; Dickmann, Marcel; Sumiya, Masatomo; Ishibashi, Shoji

    2018-04-01

    Defects in the Al2O3(25 nm)/GaN structure were probed by using monoenergetic positron beams. Al2O3 films were deposited on GaN by atomic layer deposition at 300 °C. Temperature treatment above 800 °C leads to the introduction of vacancy-type defects in GaN due to outdiffusion of atoms from GaN into Al2O3. The width of the damaged region was determined to be 40-50 nm from the Al2O3/GaN interface, and some of the vacancies were identified to act as electron trapping centers. In the Al2O3 film before and after annealing treatment at 300-900 °C, open spaces with three different sizes were found to coexist. The density of medium-sized open spaces started to decrease above 800 °C, which was associated with the interaction between GaN and Al2O3. Effects of the electron trapping/detrapping processes of interface states on the flat band voltage and the defects in GaN were also discussed.

  14. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    International Nuclear Information System (INIS)

    Deen, David A.; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C.; Gougousi, Theodosia; Evans, Keith R.

    2014-01-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm 2 /V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  15. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C. [Electronics Science and Technology Division, Naval Research Laboratory, Washington, DC 20375-5347 (United States); Gougousi, Theodosia [Physics Department, University of Maryland Baltimore County, Baltimore, Maryland 21250 (United States); Evans, Keith R. [Kyma Technologies, Raleigh, North Carolina 27617 (United States)

    2014-09-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm{sup 2}/V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  16. Effect of Temperature on Film Thickness of Two Types of Commonly used Luting Cements.

    Science.gov (United States)

    Kumar, M Praveen; Priyadarshini, Reddy; Kumar, Yasangi M; Priya, K Shanthi; Chunchuvyshnavi, Chunchuvyshnavi; Yerrapragada, Harika

    2017-12-01

    The aim of this study is to evaluate the effect of temperature change on film thickness of both types of cements. Totally, 60 samples were prepared with 10 in each subgroup, thus comprising 30 in each group. Materials tested were glass ionomer cement (GIC) type I and zinc phosphate type I. Samples were manipulated with manufacturer's instructions and tested according to American Dental Association (ADA) guidelines. The mean values of film thickness were recorded for both groups I and II. In intragroup comparison of group 1, subgroup III (26.560 ± 0.489 urn) was found to have the highest film thickness followed by subgroup II (24.182 ± 0.576 urn) and the lowest in subgroup I (20.209 ± 0.493 urn). In intragroup comparison of group II, the film thickness recorded in subgroup III (25.215 ± 0.661 urn) was the highest followed by subgroup II (21.471 ± 0.771 urn) and the least in subgroup I (17.951 ± 0.654 urn; p film thickness than group I (23.650 ± 0.271). The results were found to be statistically significant (p film thickness. Zinc phosphate has less film thickness than GIC. Zinc phosphate should be preferred over GIC in clinical practice, and more stress should be given in mechanical preparation of crowns for better retentive quality of prosthesis.

  17. Structural analysis of GaN using high-resolution X-ray diffraction at variable temperatures; Analyse struktureller Eigenschaften von GaN mittels hochaufloesender Roentgenbeugung bei variabler Messtemperatur

    Energy Technology Data Exchange (ETDEWEB)

    Roder, C.

    2007-02-26

    The main topic of this thesis was the study of stress phenomena in GaN layers by application of high-resolution X-ray diffractometry at variable measurement temperature. For this a broad spectrum of different GaN samples was studied, which extended from bulk GaN crystals as well as thick c-plane oriented HVPE-GaN layers on c-plane sapphire over laterlaly overgrown c-plane GaN Layers on Si(111) substrates toon-polar a-plnae GaN layers on r-plane sapphire. The main topic of the measurements was the determination of the lattice parameters. Supplementarily the curvature of the waver as well as the excitonic resosance energies were studied by means of photoluminescence respectively photoreflection spectroscopy. By the measurement of the temperature-dependent lattice parameters of different GaN bulk crystals for the first time a closed set of thermal-expansion coefficients of GaN was determined from 12 to 1205 K with large accuracy. Analoguously the thermal-expansion coefficents of the substrate material sapphire were determinde over a temperature range from 10 to 1166 K.

  18. Effect of thickness on electrical properties of SILAR deposited SnS thin films

    Science.gov (United States)

    Akaltun, Yunus; Astam, Aykut; Cerhan, Asena; ćayir, Tuba

    2016-03-01

    Tin sulfide (SnS) thin films of different thickness were prepared on glass substrates by successive ionic layer adsorption and reaction (SILAR) method at room temperature using tin (II) chloride and sodium sulfide aqueous solutions. The thicknesses of the films were determined using spectroscopic ellipsometry measurements and found to be 47.2, 65.8, 111.0, and 128.7nm for 20, 25, 30 and 35 deposition cycles respectively. The electrical properties of the films were investigated using d.c. two-point probe method at room temperature and the results showed that the resistivity was found to decrease with increasing film thickness.

  19. The application of the barrier-type anodic oxidation method to thickness testing of aluminum films

    Science.gov (United States)

    Chen, Jianwen; Yao, Manwen; Xiao, Ruihua; Yang, Pengfei; Hu, Baofu; Yao, Xi

    2014-09-01

    The thickness of the active metal oxide film formed from a barrier-type anodizing process is directly proportional to its formation voltage. The thickness of the consumed portion of the metal film is also corresponding to the formation voltage. This principle can be applied to the thickness test of the metal films. If the metal film is growing on a dielectric substrate, when the metal film is exhausted in an anodizing process, because of the high electrical resistance of the formed oxide film, a sudden increase of the recorded voltage during the anodizing process would occur. Then, the thickness of the metal film can be determined from this voltage. As an example, aluminum films are tested and discussed in this work. This method is quite simple and is easy to perform with high precision.

  20. Thick film heater for sensor application

    International Nuclear Information System (INIS)

    Milewski, J; Borecki, M; Kalenik, J; Król, K

    2014-01-01

    A thick film microheater was elaborated. The microheater is intended for fast heating of small volume samples under measurement in optical based system. Thermal analysis of microheater was carried out using finite element method (FEM) for heat transfer calculation as a function of time and space. A nodal heat transfer function was calculated in classical form including all basics mechanisms of heat exchange – heat conduction, convection and radiation were considered. Work focuses on the influence of some construction parameters (ex. length, thermal conductivity of substrate, substrate thickness) on microheater performance. The results show that application of thin substrate of low thermal conductivity and low thickness for miroheater construction and resistor of optimum dimensions leads to significant power consumption decrease and increase of overall optical measurement system performance.

  1. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  2. Screen printed nanosized ZnO thick film

    Indian Academy of Sciences (India)

    Unknown

    The ex- tracted powder was screen printed on glass substrates using ethyl cellulose as binder and turpinol as solvent. ... racterized and a thick film paste is prepared by adding suitable .... UV peak and a broad green emission which is usually.

  3. Multifunctional thick-film structures based on spinel ceramics for environment sensors

    International Nuclear Information System (INIS)

    Vakiv, M; Hadzaman, I; Klym, H; Shpotyuk, O; Brunner, M

    2011-01-01

    Temperature sensitive thick films based on spinel-type NiMn 2 O 4 -CuMn 2 O 4 -MnCo 2 O 4 manganites with p- and p + -types of electrical conductivity and their multilayer p + -p structures were studied. These thick-film elements possess good electrophysical characteristics before and after long-term ageing test at 170 deg. C. It is shown that degradation processes connected with diffusion of metallic Ag into film grain boundaries occur in one-layer p-and p + -conductive films. Some part of the p + -p structures were of high stability, the relative electrical drift being no more than 1 %.

  4. A wrinkling-based method for investigating glassy polymer film relaxation as a function of film thickness and temperature.

    Science.gov (United States)

    Chung, Jun Young; Douglas, Jack F; Stafford, Christopher M

    2017-10-21

    We investigate the relaxation dynamics of thin polymer films at temperatures below the bulk glass transition T g by first compressing polystyrene films supported on a polydimethylsiloxane substrate to create wrinkling patterns and then observing the slow relaxation of the wrinkled films back to their final equilibrium flat state by small angle light scattering. As with recent relaxation measurements on thin glassy films reported by Fakhraai and co-workers, we find the relaxation time of our wrinkled films to be strongly dependent on film thickness below an onset thickness on the order of 100 nm. By varying the temperature between room temperature and T g (≈100 °C), we find that the relaxation time follows an Arrhenius-type temperature dependence to a good approximation at all film thicknesses investigated, where both the activation energy and the relaxation time pre-factor depend appreciably on film thickness. The wrinkling relaxation curves tend to cross at a common temperature somewhat below T g , indicating an entropy-enthalpy compensation relation between the activation free energy parameters. This compensation effect has also been observed recently in simulated supported polymer films in the high temperature Arrhenius relaxation regime rather than the glassy state. In addition, we find that the film stress relaxation function, as well as the height of the wrinkle ridges, follows a stretched exponential time dependence and the short-time effective Young's modulus derived from our modeling decreases sigmoidally with increasing temperature-both characteristic features of glassy materials. The relatively facile nature of the wrinkling-based measurements in comparison to other film relaxation measurements makes our method attractive for practical materials development, as well as fundamental studies of glass formation.

  5. Residual stress analysis in thick uranium films

    International Nuclear Information System (INIS)

    Hodge, A.M.; Foreman, R.J.; Gallegos, G.F.

    2005-01-01

    Residual stress analysis was performed on thick, 1-25 μm, depleted uranium (DU) films deposited on an Al substrate by magnetron sputtering. Two distinct characterization techniques were used to measure substrate curvature before and after deposition. Stress evaluation was performed using the Benabdi/Roche equation, which is based on beam theory of a bi-layer material. The residual stress evolution was studied as a function of coating thickness and applied negative bias voltage (0, -200, -300 V). The stresses developed were always compressive; however, increasing the coating thickness and applying a bias voltage presented a trend towards more tensile stresses and thus an overall reduction of residual stresses

  6. Optical Properties and Lasing in GaN

    National Research Council Canada - National Science Library

    Song, J

    2001-01-01

    .... In the second article. femtosecond pump-probe transmission spectroscopy was used to study the nonequilibrium carrier dynamics in a GaN thin film at 10 K with carrier densities ranging from 4 x 10(exp 17) to 10(exp 19)/cu cm...

  7. The film thickness dependent thermal stability of Al{sub 2}O{sub 3}:Ag thin films as high-temperature solar selective absorbers

    Energy Technology Data Exchange (ETDEWEB)

    Xiao Xiudi; Xu Gang, E-mail: xiudixiao@163.com; Xiong Bin; Chen Deming; Miao Lei [Chinese Academy of Sciences, Key Laboratory of Renewable Energy and Gas Hydrates, Guangzhou Institute of Energy Conversion (China)

    2012-03-15

    The monolayer Al{sub 2}O{sub 3}:Ag thin films were prepared by magnetron sputtering. The microstructure and optical properties of thin film after annealing at 700 Degree-Sign C in air were characterized by transmission electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, and spectrophotometer. It revealed that the particle shape, size, and distribution across the film were greatly changed before and after annealing. The surface plasmon resonance absorption and thermal stability of the film were found to be strongly dependent on the film thickness, which was believed to be associated with the evolution process of particle diffusion, agglomeration, and evaporation during annealing at high temperature. When the film thickness was smaller than 90 nm, the film SPR absorption can be attenuated until extinct with increasing annealing time due to the evaporation of Ag particles. While the film thickness was larger than 120 nm, the absorption can keep constant even after annealing for 64 h due to the agglomeration of Ag particles. On the base of film thickness results, the multilayer Al{sub 2}O{sub 3}:Ag solar selective thin films were prepared and the thermal stability test illustrated that the solar selectivity of multilayer films with absorbing layer thickness larger than 120 nm did not degrade after annealing at 500 Degree-Sign C for 70 h in air. It can be concluded that film thickness is an important factor to control the thermal stability of Al{sub 2}O{sub 3}:Ag thin films as high-temperature solar selective absorbers.

  8. Thickness, morphology, and optoelectronic characteristics of pristine and surfactant-modified DNA thin films

    International Nuclear Information System (INIS)

    Arasu, Velu; Reddy Dugasani, Sreekantha; Son, Junyoung; Gnapareddy, Bramaramba; Ha Park, Sung; Jeon, Sohee; Jeong, Jun-Ho

    2017-01-01

    Although the preparation of DNA thin films with well-defined thicknesses controlled by simple physical parameters is crucial for constructing efficient, stable, and reliable DNA-based optoelectronic devices and sensors, it has not been comprehensively studied yet. Here, we construct DNA and surfactant-modified DNA thin films by drop-casting and spin-coating techniques. The DNA thin films formed with different control parameters, such as drop-volume and spin-speed at given DNA concentrations, exhibit characteristic thickness, surface roughness, surface potential, and absorbance, which are measured by a field emission scanning electron microscope, a surface profilometer, an ellipsometer, an atomic force microscope, a Kelvin probe force microscope, and an UV–visible spectroscope. From the observations, we realized that thickness significantly affects the physical properties of DNA thin films. This comprehensive study of thickness-dependent characteristics of DNA and surfactant-modified DNA thin films provides insight into the choice of fabrication techniques in order for the DNA thin films to have desired physical characteristics in further applications, such as optoelectronic devices and sensors. (paper)

  9. Thickness-Dependent Surfactant Behavior in Trilayer Polymer Films

    Science.gov (United States)

    Sun, Yan; Shull, Kenneth; Wang, Jin

    2010-03-01

    The ability for thin liquid films to wet and remain thermodynamically stable on top of one another is a fundamental challenge in developing high quality paints, coatings, adhesives, and other industrial products. Since intermolecular interactions and interfacial energies dominate in the film thickness regime from tens to hundreds of nanometers, it is desirable to tune these long-range and short-range forces in a simple, controllable manner. Starting from an unstable model homopolymer bilayer (poly(styrene)/poly(4-vinylpyridine)), we demonstrate that sandwiching an additional homopolymer layer (poly(4-bromostyrene)) between the two layers can provide needed surfactancy. As the thickness of this center layer is increased, the full trilayer transitions from unstable (thin) to stable (moderate) to unstable (thick). We experimentally show using x-ray standing waves generated via total external reflection (TER-XSW), atomic force microscopy (AFM), and time-of-flight secondary ion mass spectroscopy (ToF-SIMS) that this behavior can be directly attributed to the autophobic dewetting phenomenon, in which the surfactant layer is thin enough to remain stable but thick enough to shield the neighboring layers, highlighting a general approach to stabilizing multilayer systems.

  10. Kinetics of sub-spinodal dewetting of thin films of thickness dependent viscosity.

    Science.gov (United States)

    Kotni, Tirumala Rao; Khanna, Rajesh; Sarkar, Jayati

    2017-05-04

    An alternative explanation of the time varying and very low growth exponents in dewetting of polymer films like polystyrene films is presented based on non-linear simulations. The kinetics of these films is explored within the framework of experimentally observed thickness dependent viscosity. These films exhibit sub-spinodal dewetting via formation of satellite holes in between primary dewetted holes under favorable conditions of excess intermolecular forces and film thicknesses. We find that conditions responsible for sub-spinodal dewetting concurrently lead to remarkable changes in the kinetics of dewetting of even primary holes. For example, the radius of the hole grows in time with a power-law growth exponent sequence of [Formula: see text], in contrast to the usual  ∼4/5. This is due to the cumulative effect of reduced rim mobility due to thickness dependent viscosity and hindrance created by satellite holes.

  11. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  12. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  13. Ceramic thick film humidity sensor based on MgTiO3 + LiF

    International Nuclear Information System (INIS)

    Kassas, Ahmad; Bernard, Jérôme; Lelièvre, Céline; Besq, Anthony; Guhel, Yannick; Houivet, David; Boudart, Bertrand; Lakiss, Hassan; Hamieh, Tayssir

    2013-01-01

    Graphical abstract: - Highlights: • The fabricated sensor based on MgTiO 3 + LiF materials used the spin coating technology. • The response time is 70 s to detect variation between 5 and 95% relative humidity. • The addition of Scleroglucan controls the viscosity and decreases the roughness of thick film surface. • This humidity sensor is a promising, low-cost, high-quality, reliable ceramic films, that is highly sensitive to humidity. - Abstract: The feasibility of humidity sensor, consisting of a thick layer of MgTiO 3 /LiF materials on alumina substrate, was studied. The thermal analysis TGA-DTGA and dilatometric analysis worked out to confirm the sintering temperature. An experimental plan was applied to describe the effects of different parameters in the development of the thick film sensor. Structural and microstructural characterizations of the developed thick film were made. Rheological study with different amounts of a thickener (scleroglucan “sclg”), showing the behavior variation, as a function of sclg weight % was illustrated and rapprochement with the results of thickness variation as a function of angular velocity applied in the spin coater. The electrical and dielectric measurements confirmed the sensitivity of the elaborated thick film against moisture, along with low response time

  14. Influence of Liquid Petroleum Gas on the Electrical Parameters of the WO3 Thick Film

    Directory of Open Access Journals (Sweden)

    R. S. KHADAYATE

    2007-02-01

    Full Text Available In this work, the WO3 thick films were prepared by standard screen-printing technology. These films were characterized by x-ray diffraction (XRD measurements and scanning electron microscopy (SEM. Influence of LPG on the electrical properties of the prepared WO3 thick film is reported. It was observed that the slope of the Arrhenius curves of the WO3 thick film decreased as the medium changed from pure air to 100 ppm LPG in air. From I-V characteristics, it was observed that the WO3 thick film exhibit highest sensitivity to 50 ppm LPG in air at 400oC.

  15. A method for reducing the sloughing of thick blood films for malaria diagnosis.

    Science.gov (United States)

    Norgan, Andrew P; Arguello, Heather E; Sloan, Lynne M; Fernholz, Emily C; Pritt, Bobbi S

    2013-07-08

    The gold standard for malaria diagnosis is the examination of thick and thin blood films. Thick films contain 10 to 20 times more blood than thin films, correspondingly providing increased sensitivity for malaria screening. A potential complication of thick film preparations is sloughing of the blood droplet from the slide during staining or rinsing, resulting in the loss of sample. In this work, two methods for improving thick film slide adherence ('scratch' (SCM) and 'acetone dip' (ADM) methods) were compared to the 'standard method' (SM) of thick film preparation. Standardized blood droplets from 26 previously examined EDTA whole blood specimens (22 positive and four negative) were concurrently spread on glass slides using the SM, ADM, and SCM. For the SM and ADM prepared slides, the droplet was gently spread to an approximate 22 millimeters in diameter spot on the slide using the edge of a second glass slide. For the SCM, the droplet was spread by carefully grinding (or scratching) it into the slide with the point of a second glass slide. Slides were dried for one hour in a laminar flow hood. For the ADM, slides were dipped once in an acetone filled Coplin jar and allowed to air dry. All slides were then Giemsa-stained and examined in a blinded manner. Adherence was assessed by blinded reviewers. No significant or severe defects were observed for slides prepared with the SCM. In contrast, 8 slides prepared by the ADM and 3 prepared using the SM displayed significant or severe defects. Thick films prepared by the three methods were microscopically indistinguishable and concordant results (positive or negative) were obtained for the three methods. Estimated parasitaemia of the blood samples ranged from 25 to 429,169 parasites/μL of blood. The SCM is an inexpensive, rapid, and simple method that improves the adherence of thick blood films to standard glass slides without altering general slide preparation, microscopic appearance or interpretability. Using the SCM

  16. Simultaneous reflectometry and interferometry for measuring thin-film thickness and curvature

    Science.gov (United States)

    Arends, A. A.; Germain, T. M.; Owens, J. F.; Putnam, S. A.

    2018-05-01

    A coupled reflectometer-interferometer apparatus is described for thin-film thickness and curvature characterization in the three-phase contact line region of evaporating fluids. Validation reflectometry studies are provided for Au, Ge, and Si substrates and thin-film coatings of SiO2 and hydrogel/Ti/SiO2. For interferometry, liquid/air and solid/air interferences are studied, where the solid/air samples consisted of glass/air/glass wedges, cylindrical lenses, and molded polydimethylsiloxane lenses. The liquid/air studies are based on steady-state evaporation experiments of water and isooctane on Si and SiO2/Ti/SiO2 wafers. The liquid thin-films facilitate characterization of both (i) the nano-scale thickness of the absorbed fluid layer and (ii) the macro-scale liquid meniscus thickness, curvature, and curvature gradient profiles. For our validation studies with commercial lenses, the apparatus is shown to measure thickness profiles within 4.1%-10.8% error.

  17. Characterization and comparison of thermistor thick films. Topical report

    International Nuclear Information System (INIS)

    Gehman, R.W.

    1981-09-01

    Four thermistor thick film inks were evaluated for HMC production use. The physical, chemical and electrical properties of the wet inks and fired films were measured. Variations in the physical and chemical properties of the inks were used to explain variations in thermistor electrical resistance and temperature coefficient of resistance

  18. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Influence of colorant and film thickness on thermal aging characteristics of oxo-biodegradable plastic bags

    Science.gov (United States)

    Leuterio, Giselle Lou D.; Pajarito, Bryan B.; Domingo, Carla Marie C.; Lim, Anna Patricia G.

    2016-05-01

    Functional, lightweight, strong and cheap plastic bags incorporated with pro-oxidants undergo accelerated degradation under exposure to heat and oxygen. This work investigated the effect of colorant and film thickness on thermal aging characteristics of commercial oxo-biodegradable plastic bag films at 70 °C. Degradation is monitored through changes in infrared absorption, weight, and tensile properties of thermally aged films. The presence of carbonyl band in infrared spectrum after 672 h of thermal aging supports the degradation behavior of exposed films. Results show that incorporation of colorant and increasing thickness exhibit low maximum weight uptake. Titanium dioxide as white colorant in films lowers the susceptibility of films to oxygen uptake but enhances physical degradation. Higher amount of pro-oxidant loading also contributes to faster degradation. Opaque films are characterized by low tensile strength and high elastic modulus. Decreasing the thickness contributes to lower tensile strength of films. Thermally aged films with colorant and low thickness promote enhanced degradation.

  20. Thickness control in electrophoretic deposition of WO{sub 3} nanofiber thin films for solar water splitting

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Yuanxing; Lee, Wei Cheat; Canciani, Giacomo E.; Draper, Thomas C.; Al-Bawi, Zainab F. [Department of Chemistry, School of Life Sciences, University of Sussex, Brighton BN1 9QJ (United Kingdom); Bedi, Jasbir S. [School of Public Health & Zoonoses, Guru Angad Dev Veterinary and Animal Sciences University, Ludhiana 141004 Punjab (India); Perry, Christopher C. [Division of Biochemistry, School of Medicine, Loma Linda University, Loma Linda, CA 92350 (United States); Chen, Qiao, E-mail: qiao.chen@sussex.ac.uk [Department of Chemistry, School of Life Sciences, University of Sussex, Brighton BN1 9QJ (United Kingdom)

    2015-12-15

    Graphical abstract: - Highlights: • A novel method combining electrospinning and electrophoretic deposition was established for the creation of nanostructured semiconductor thin films. • The created thin films displayed a high chemical stability with a controllable thickness. • The PEC water splitting performance of the thin films was optimized by fine-tuning the thickness of the films. • A maximum photoconversion efficiency was achieved by 18 μm nanofibrous thin films. - Abstract: Electrophoretic deposition (EPD) of ground electrospun WO{sub 3} nanofibers was applied to create photoanodes with controlled morphology for the application of photoelectrochemical (PEC) water splitting. The correlations between deposition parameters and film thicknesses were investigated with theoretical models to precisely control the morphology of the nanostructured porous thin film. The photoconversion efficiency was further optimized as a function of film thickness. A maximum photoconversion efficiency of 0.924% from electrospun WO{sub 3} nanofibers that EPD deposited on a substrate was achieved at a film thickness of 18 μm.

  1. Multifunctional thick-film structures based on spinel ceramics for environment sensors

    Energy Technology Data Exchange (ETDEWEB)

    Vakiv, M; Hadzaman, I; Klym, H; Shpotyuk, O [Institute of Materials of SRC ' Carat' , 202 Stryjska str., Lviv, 79031 (Ukraine); Brunner, M, E-mail: shpotyuk@novas.lviv.ua, E-mail: klymha@yahoo.com [Fachhochschule Koeln/University of Applied Sciences, 2 Betzdorfer str., Koeln, 50679 (Germany)

    2011-04-01

    Temperature sensitive thick films based on spinel-type NiMn{sub 2}O{sub 4}-CuMn{sub 2}O{sub 4}-MnCo{sub 2}O{sub 4} manganites with p- and p{sup +}-types of electrical conductivity and their multilayer p{sup +}-p structures were studied. These thick-film elements possess good electrophysical characteristics before and after long-term ageing test at 170 deg. C. It is shown that degradation processes connected with diffusion of metallic Ag into film grain boundaries occur in one-layer p-and p{sup +}-conductive films. Some part of the p{sup +}-p structures were of high stability, the relative electrical drift being no more than 1 %.

  2. Exciton emission from bare and hybrid plasmonic GaN nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kunert, Gerd; Hommel, Detlef; Ge, Jingxuan; Duscher, Gerd; Schmitzer, Heidrun; Wagner, Hans Peter

    We study the exciton emission of hybrid gold nanoparticle/Alq3 (aluminiumquinoline)/wurtzite GaN nanorods. GaN nanorods of 1.5 μm length and 250 nm diameter were grown by plasma assisted MBE. Hybrid GaN nanorods were synthesized by organic molecular beam deposition. Temperature and power dependent time integrated (TI) and time resolved (TR) photoluminescence (PL) measurements were performed on bare and hybrid structures. Bare nanorods show donor (D0,X) and acceptor bound (A0,X) exciton emission at 3.473 eV and at 3.463 eV, respectively. TR-PL trace modeling reveal lifetimes of 240 ps and 1.4 ns for the (D0,X) and (A0,X) transition. 10 nm gold coated GaN nanorods show a significant PL quenching and (D0,X) lifetime shortening which is tentatively attributed to impact ionization of (D0,X) due to hot electron injection from the gold nanoparticles. This is supported by electron energy loss spectroscopy that shows a redshift of a midgap state transition indicating a reduction of a preexisting band-bending at the nanorod surface due to positive charging of the gold nanoparticles. Inserting a nominally 5 nm thick Alq3 spacer between the nanorod and the gold reduces the PL quenching and lifetime shortening. Plasmonic nanorods with a 30 nm thick Alq3 spacer reveal lifetimes which are nearly identical to uncoated GaN nanorods.

  3. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  4. Thickness determination of large-area films of yttria-stabilized zirconia produced by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Pryds, N. [Materials Research Department, Riso National Laboratory, DK-4000 Roskilde (Denmark)]. E-mail: nini.pryds@risoe.dk; Toftmann, B. [Department of Optics and Plasma Research, Riso National Laboratory, DK-4000 Roskilde (Denmark); Bilde-Sorensen, J.B. [Materials Research Department, Riso National Laboratory, DK-4000 Roskilde (Denmark); Schou, J. [Department of Optics and Plasma Research, Riso National Laboratory, DK-4000 Roskilde (Denmark); Linderoth, S. [Materials Research Department, Riso National Laboratory, DK-4000 Roskilde (Denmark)

    2006-04-30

    Films of yttria-stabilized zirconia (YSZ) on a polished silicon substrate of diameter up to 125 mm have been produced in a large-area pulsed laser deposition (PLD) setup under typical PLD conditions. The film thickness over the full film area has been determined by energy-dispersive X-ray spectrometry in a scanning electron microscope (SEM) with use of a method similar to one described by Bishop and Poole. The attenuation of the electron-induced X-rays from the Si wafer by the film was monitored at a number of points along a diameter and the thickness was determined by Monte Carlo simulations of the attenuation for various values of film thickness with the program CASINO. These results have been compared with direct measurements in the SEM of the film thickness on a cross-section on one of the wafers. The results of these measurements demonstrate the ability of this technique to accurately determine the thickness of a large film, i.e. up to diameters of 125 mm, in a relatively short time, without destroying the substrate, without the need of a standard sample and without the need of a flat substrate. We have also demonstrated that by controlling the deposition parameters large-area YSZ films with uniform thickness can be produced.

  5. Thickness determination of large-area films of yttria-stabilized zirconia produced by pulsed laser deposition

    International Nuclear Information System (INIS)

    Pryds, N.; Toftmann, B.; Bilde-Sorensen, J.B.; Schou, J.; Linderoth, S.

    2006-01-01

    Films of yttria-stabilized zirconia (YSZ) on a polished silicon substrate of diameter up to 125 mm have been produced in a large-area pulsed laser deposition (PLD) setup under typical PLD conditions. The film thickness over the full film area has been determined by energy-dispersive X-ray spectrometry in a scanning electron microscope (SEM) with use of a method similar to one described by Bishop and Poole. The attenuation of the electron-induced X-rays from the Si wafer by the film was monitored at a number of points along a diameter and the thickness was determined by Monte Carlo simulations of the attenuation for various values of film thickness with the program CASINO. These results have been compared with direct measurements in the SEM of the film thickness on a cross-section on one of the wafers. The results of these measurements demonstrate the ability of this technique to accurately determine the thickness of a large film, i.e. up to diameters of 125 mm, in a relatively short time, without destroying the substrate, without the need of a standard sample and without the need of a flat substrate. We have also demonstrated that by controlling the deposition parameters large-area YSZ films with uniform thickness can be produced

  6. Micro-machined high-frequency (80 MHz) PZT thick film linear arrays.

    Science.gov (United States)

    Zhou, Qifa; Wu, Dawei; Liu, Changgeng; Zhu, Benpeng; Djuth, Frank; Shung, K

    2010-10-01

    This paper presents the development of a micromachined high-frequency linear array using PZT piezoelectric thick films. The linear array has 32 elements with an element width of 24 μm and an element length of 4 mm. Array elements were fabricated by deep reactive ion etching of PZT thick films, which were prepared from spin-coating of PZT sol-gel composite. Detailed fabrication processes, especially PZT thick film etching conditions and a novel transferring-and-etching method, are presented and discussed. Array designs were evaluated by simulation. Experimental measurements show that the array had a center frequency of 80 MHz and a fractional bandwidth (-6 dB) of 60%. An insertion loss of -41 dB and adjacent element crosstalk of -21 dB were found at the center frequency.

  7. Self-assembled GaN nano-column grown on Si(111) substrate using Au+Ga alloy seeding method by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Shim, Byung-Young; Ko, Eun-A; Song, Jae-Chul; Kang, Dong-Hun; Kim, Dong-Wook; Lee, In-Hwan; Kannappan, Santhakumar; Lee, Cheul-Ro

    2007-01-01

    Single-crystal GaN nano-column arrays were grown on Au-coated silicon (111) substrate by Au-Ga alloy seeding method using metalorganic chemical vapor deposition (MOCVD). The nano-column arrays were studied as a function of growth parameters and Au thin film thickness. The diameter and length of the as-grown nano-column vary from 100 to 500 nm and 4 to 6 μm, respectively. The surface morphology and optical properties of the nano-columns were investigated using scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDX), cathodoluminescence (CL) and photoluminescence (PL). The Au+Ga alloy droplets were found to be uniformly distributed on silicon surface. Further, SEM image reveals a vertical growth and cylindrical in shape GaN nano-column. The chemical composition of the nano-column, which composed of gallium and nitrogen ions, was estimated by EDX. CL reveals a strong band edge emission from the GaN nano-column. PL spectra show a peak at 365.7 nm with a full-width half maximum (FWHM) of 65 meV which indicates good optical quality GaN nano-column with low dislocation density. Our results suggest that single crystal GaN nano-column can be grown on Au+Ga alloy on silicon substrate with a low dislocation density for better device performances. (author)

  8. Indium-Nitrogen Codoped Zinc Oxide Thin Film Deposited by Ultrasonic Spray Pyrolysis on n-(111 Si Substrate: The Effect of Film Thickness

    Directory of Open Access Journals (Sweden)

    Cheng-Chang Yu

    2014-01-01

    Full Text Available Indium-nitrogen codoped zinc oxide (INZO thin films were fabricated by spray pyrolysis deposition technique on n-(111 Si substrate with different film thicknesses at 450°C using a precursor containing zinc acetate, ammonium acetate, and indium nitrate with 1 : 3 : 0.05 at.% concentration. The morphology and structure studies were carried out by scanning electron microscopy (SEM and X-ray diffraction (XRD. The grain size of the films increased when increasing the film thickness. From XRD spectra, polycrystalline ZnO structure can be observed and the preferred orientation behavior varied from (002 to (101 as the film thickness increased. The concentration and mobility were investigated by Hall effect measurement. the p-type films with a hole mobility around 3 cm2V−1s−1 and hole concentration around 3×1019 cm−3 can be achieved with film thickness less than 385 nm. The n-type conduction with concentration 1×1020 cm−3 is observed for film with thickness 1089 nm. The defect states were characterized by photoluminescence. With temperature-dependent conductivity analysis, acceptor state with activation energy 0.139 eV dominate the p type conduction for thin INZO film. And the Zn-related shallow donors with activation energy 0.029 eV dominate the n-type conduction for the thick INZO film.

  9. Comb-drive GaN micro-mirror on a GaN-on-silicon platform

    International Nuclear Information System (INIS)

    Wang, Yongjin; Sasaki, Takashi; Wu, Tong; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here a double-sided process for the fabrication of a comb-drive GaN micro-mirror on a GaN-on-silicon platform. A silicon substrate is first patterned from the backside and removed by deep reactive ion etching, resulting in totally suspended GaN slabs. GaN microstructures including the torsion bars, movable combs and mirror plate are then defined on a freestanding GaN slab by the backside alignment technique and generated by fast atom beam etching with Cl 2 gas. Although the fabricated comb-drive GaN micro-mirrors are deflected by the residual stress in GaN thin films, they can operate on a high resistivity silicon substrate without introducing any additional isolation layer. The optical rotation angles are experimentally characterized in the rotation experiments. This work opens the possibility of producing GaN optical micro-electro-mechanical-system (MEMS) devices on a GaN-on-silicon platform.

  10. Comparison of functional parameters of CsI:Tl crystals and thick films

    International Nuclear Information System (INIS)

    Fedorov, A.; Gektin, A.; Lebedynskiy, A.; Mateychenko, P.; Shkoropatenko, A.

    2013-01-01

    500 mkm thick CsI:Tl columnar films can be produced using thermal evaporation in vacuum by sublimation of the same bulk crystal. Comparison of afterglow and radiation stability of deposited CsI:Tl films with source crystal was the aim of current work. It is shown that the afterglow in the films is always below its level in initial single crystal. It was ascertained that the annealing atmospheres influence the processes leading to the activator depletion of the films during the thermal processing. -- Highlights: ► Thick CsI:Tl columnar films were obtained by thermal evaporation in vacuum. ► Radiation stability of such CsI:Tl films appears to be better than that of crystal. ► CsI:Tl film parameters can be modified by annealing in different atmospheres

  11. Thickness and microstructure effects in the optical and electrical properties of silver thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Guowen, E-mail: gding@intermolecular.com; Clavero, César; Schweigert, Daniel; Le, Minh [Intermolecular, Inc., 3011 North First Street, San Jose, CA 95134 (United States)

    2015-11-15

    The optical and electrical response of metal thin films approaching thicknesses in the range of the electron mean free path is highly affected by electronic scattering with the interfaces and defects. Here, we present a theoretical and experimental study on how thickness and microstructure affect the properties of Ag thin films. We are able to successfully model the electrical resistivity and IR optical response using a thickness dependent electronic scattering time. Remarkably, the product of electronic scattering time and resistivity remains constant regardless of the thickness (τx ρ = C), with a value of 59 ± 2 μΩ cm ⋅ fs for Ag films in the investigated range from 3 to 74 nm. Our findings enable us to develop a theoretically framework that allows calculating the optical response of metal thin films in the IR by using their measured thickness and resistivity. An excellent agreement is found between experimental measurements and predicted values. This study also shows the theoretical lower limit for emissivity in Ag thin films according to their microstructure and thickness. Application of the model presented here will allow rapid characterization of the IR optical response of metal thin films, with important application in a broad spectrum of fundamental and industrial applications, including optical coatings, low-emissivity windows and semiconductor industry.

  12. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    Science.gov (United States)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  13. Structural, magnetic and transport properties of Co2FeAl Heusler films with varying thickness

    International Nuclear Information System (INIS)

    Wang, Xiaotian; Li, Yueqing; Du, Yin; Dai, Xuefang; Liu, Guodong; Liu, Enke; Liu, Zhongyuan; Wang, Wenhong; Wu, Guangheng

    2014-01-01

    We report on a systematic study of the structural, magnetic properties and the anomalous Hall effect, in the Heusler alloy Co 2 FeAl (CFA) epitaxial films on MgO (001), as a function of film thickness. It was found that the epitaxial CFA films show a highly ordered B2 structure with an in-plane uniaxial magnetic anisotropy. The electrical transport properties reveal that the lattice and magnon scattering contributions to the longitudinal resistivity. Independent on the thickness of films, the anomalous Hall resistivity of CFA films is found to be dominated by skew scattering only. Moreover, the anomalous Hall resistivity shows weakly temperature dependent behavior, and its absolute value increases as the thickness decreases. We attribute this temperature insensitivity in the anomalous Hall resistivity to the weak temperature dependent of tunneling spin-polarization in the CFA films, while the thickness dependence behavior is likely due to the increasing significance of interface or free surface electronic states. - Highlights: ●Highly ordered CFA films with various thicknesses were prepared on MgO substrates. ●The magnon scattering contributions to the longitudinal resistivity in the CFA films. ●The anomalous Hall resistivity of the CFA films shows weakly temperature dependent. ●The CFA films show weak temperature dependent of tunneling spin-polarization

  14. Algan/Gan Hemt By Magnetron Sputtering System

    Science.gov (United States)

    Garcia Perez, Roman

    In this thesis, the growth of the semiconductor materials AlGaN and GaN is achieved by magnetron sputtering for the fabrication of High Electron Mobility Transistors (HEMTs). The study of the deposited nitrides is conducted by spectroscopy, diffraction, and submicron scale microscope methods. The preparation of the materials is performed using different parameters in terms of power, pressure, temperature, gas, and time. Silicon (Si) and Sapphire (Al2O3) wafers are used as substrates. The chemical composition and surface topography of the samples are analyzed to calculate the materials atomic percentages and to observe the devices surface. The instruments used for the semiconductors characterization are X-ray Photoelectron Spectroscopy (XPS), X-ray Diffraction (XRD), Scanning Electron Microscopy (SEM), and Atomic Force Microscope (AFM). The project focused its attention on the reduction of impurities during the deposition, the controlled thicknesses of the thin-films, the atomic configuration of the alloy AlxGa1-xN, and the uniformity of the surfaces.

  15. Thickness-Dependent Order-to-Order Transitions of Bolaform-like Giant Surfactant in Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Hsu, Chih-Hao; Yue, Kan; Wang, Jing; Dong, Xue-Hui; Xia, Yanfeng; Jiang, Zhang [X-ray; Thomas, Edwin L. [Department; Cheng, Stephen Z. D.

    2017-09-07

    Controlling self-assembled nanostructures in thin films allows the bottom-up fabrication of ordered nanoscale patterns. Here we report the unique thickness-dependent phase behavior in thin films of a bolaform-like giant surfactant, which consists of butyl- and hydroxyl-functionalized polyhedral oligomeric silsesquioxane (BPOSS and DPOSS) cages telechelically located at the chain ends of a polystyrene (PS) chain with 28 repeating monomers on average. In the bulk, BPOSS-PS28-DPOSS forms a double gyroid (DG) phase. Both grazing incidence small angle X-ray scattering and transmission electron microscopy techniques are combined to elucidate the thin film structures. Interestingly, films with thicknesses thinner than 200 nm exhibit an irreversible phase transition from hexagonal perforated layer (HPL) to compressed hexagonally packed cylinders (c-HEX) at 130 °C, while films with thickness larger than 200 nm show an irreversible transition from HPL to DG at 200 °C. The thickness-controlled transition pathway suggests possibilities to obtain diverse patterns via thin film self-assembly.

  16. Critical current density and microstructure of YBa2Cu3O7-x films as a function of film thickness

    International Nuclear Information System (INIS)

    Mogro-Campero, A.; Turner, L.G.; Hall, E.L.; Lewis, N.

    1990-01-01

    Thin films of nominal composition YBa 2 Cu 3 O 7-x (YBCO) were produced on (100) SrTiO 3 substrates by coevaporation and furnace annealing. Film thicknesses in the range of 0.2 to 2.4 μm were analyzed. Microstructural investigations by cross sectional transmission electron microscopy (TEM) reveal a continuous layer of about 0.4 μm thickness adjacent to the substrate with c-axis normal to the substrate plane. In thicker films the remaining top portion has the c-axis in the film plane. The critical current density (J c ) at 77 K decreases with increasing thickness in the thickness range exceeding 0.4 μm, qualitatively consistent with the microstructural observation, but quantitatively inconsistent with a simple model based on the microstructural data

  17. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  18. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  19. Single phase semipolar (11 anti 22) GaN on (10 anti 10) sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Ploch, S.; Stellmach, J.; Schwaner, T.; Frentrup, M.; Wernicke, T.; Pristovsek, M.; Kneissl, M. [Institute of Solid States Physics, (Germany); Park, J.B.; Niermann, T.; Lehmann, M. [Institute of Optics and Atomic Physics, TU Berlin, Hardenbergstr. 36, 10623 Berlin (Germany)

    2011-07-01

    InGaN quantum well based light emitters grown on (0001) GaN suffer from poor quantum efficiencies with increasing indium mole fraction due to strong polarization fields along the polar crystal orientation. This effect can be greatly reduced by growing on semi- and non-polar GaN orientations. Semipolar (11 anti 22) GaN layers were deposited by metalorganic vapour phase epitaxy on (10 anti 10) sapphire. After sapphire substrate nitridation at 1000 C, a GaN nucleation layer was deposited at high temperature, followed by the deposition of 1.5 nm thick GaN buffer layers. The samples show predominantly (11 anti 22) orientation with a small fraction of (10 anti 13) oriented domains. With increasing nitridation layer thickness the (10 anti 13) phase is suppressed leading to a very smooth surface morphology (rms roughness < 4nm). PL measurements show dominant basel plane stacking fault (BSF) I{sub 1} luminescence without any other defects. Transmission electron microscopy measurements reveal a high BSF density. The FWHM of the X-ray diffraction rocking curve measurements of the (1122) reflection decreases to 1193 arcsec and 739 arcsec along [1 anti 100] and [11 anti 23] respectively with increasing nucleation temperature. Using high temperature nucleation smooth and homogeneous (11 anti 22) phase GaN layers have been obtained.

  20. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  1. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  2. Effects of rework on adhesion of Pb-In soldered gold thick films

    International Nuclear Information System (INIS)

    Gehman, R.W.; Becka, G.A.; Losure, J.A.

    1982-02-01

    The feasibility of repeatedly reworking Pb-In soldered joints on gold thick films was evaluated. Nailhead adhesion tests on soldered thick films typically resulted in failure within the bulk solder (50 In-50 Pb). Average strengths increased with each rework, and the failure mode changed. An increase in metalization lift-off occurred with successive reworks. An investigation was initiated to determine why these changes occurred. Based on this work, the thick film adhesion to the substrate appeared to be lowered by indium reduction of cadmium oxide and by formation of a weak, brittle intermetallic compound, Au 9 In 4 . It was concluded that two solder reworks could be conducted without significant amounts of metallization lift-off during nailhead testing

  3. Effects of copolymer composition, film thickness, and solvent vapor annealing time on dewetting of ultrathin block copolymer films.

    Science.gov (United States)

    Huang, Changchun; Wen, Gangyao; Li, Jingdan; Wu, Tao; Wang, Lina; Xue, Feifei; Li, Hongfei; Shi, Tongfei

    2016-09-15

    Effects of copolymer composition, film thickness, and solvent vapor annealing time on dewetting of spin-coated polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) films (dewetting of the films with different thicknesses occur via the spinodal dewetting and the nucleation and growth mechanisms, respectively. The PS-b-PMMA films rupture into droplets which first coalesce into large ones to reduce the surface free energy. Then the large droplets rupture into small ones to increase the contact area between PMMA blocks and acetone molecules resulting from ultimate migration of PMMA blocks to droplet surface, which is a novel dewetting process observed in spin-coated films for the first time. Copyright © 2016 Elsevier Inc. All rights reserved.

  4. Influence of substrate and film thickness on polymer LIPSS formation

    Energy Technology Data Exchange (ETDEWEB)

    Cui, Jing; Nogales, Aurora; Ezquerra, Tiberio A. [Instituto de Estructura de la Materia (IEM-CSIC), Serrano 121, Madrid 28006 (Spain); Rebollar, Esther, E-mail: e.rebollar@csic.es [Instituto de Química Física Rocasolano (IQFR-CSIC), Serrano 119, Madrid 28006 (Spain)

    2017-02-01

    Highlights: • The estimation of temperature upon pulse accumulation shows that a small positive offset is caused by each individual pulse. • Number of pulses needed for LIPSS formation in PS thin films depends on polymer thickness. • Thermal conductivity and diffusivity of supporting substrate influence the onset for LIPSS formation and their quality. • Quality of LIPSS is affected by the substrate optical properties. - Abstract: Here we focus on the influence of both, substrate and film thickness on polymer Laser Induced Periodic Surface Structures (LIPSS) formation in polymer films. For this aim a morphological description of ripples structures generated on spin-coated polystyrene (PS) films by a linearly polarized laser beam with a wavelength of 266 nm is presented. The influence of different parameters on the quality and characteristics of the formed laser-induced periodic surface structures (LIPSS) was investigated. We found that well-ordered LIPSS are formed either on PS films thinner than 200 nm or thicker than 400 nm supported on silicon substrates as well as on thicker free standing films. However less-ordered ripples are formed on silicon supported films with intermediate thicknesses in the range of 200–380 nm. The effect of the thermal and optical properties of the substrate on the quality of LIPSS was analyzed. Differences observed in the fluence and number of pulses needed for the onset of surface morphological modifications is explained considering two main effects which are: (1) The temperature increase on polymer surface induced by the action of cumulative laser irradiation and (2) The differences in thermal conductivity between the polymer and the substrate which strongly affect the heat dissipation generated by irradiation.

  5. Electron beam curable polymer thick film

    International Nuclear Information System (INIS)

    Nagata, Hidetoshi; Kobayashi, Takashi

    1988-01-01

    Currently, most printed circuit boards are produced by the selective etching of copper clads laminated on dielectric substrates such as paper/phenolic resion or nonwoven glass/epoxy resin composites. After the etchig, various components such as transistors and capacitors are mounted on the boards by soldering. But these are troublesome works, therefore, as an alternative, printing method has been investigated recently. In the printing method, conductor circuits and resistors can be made by printing and curing of the specially prepared paste on dielectric substrates. In the near future, also capacitors are made by same method. Usually, conductor paste, resistor paste and dielectric paste are employed, and in this case, the printing is screen printing, and the curing is done thermally. In order to avoid heating and the deterioration of substrates, attention was paid to electron beam curing, and electron beam curable polymer thick film system was developed. The electron beam curable paste is the milled mixture of a filler and an electron beam curable binder of oligomer/monomer. The major advantage of electron beam curable polymer thick film, the typical data of a printed resistor of this type and its trial are reported. (K.I.)

  6. Investigation of structure, adhesion strength, wear performance and corrosion behavior of platinum/ruthenium/nitrogen doped diamond-like carbon thin films with respect to film thickness

    Energy Technology Data Exchange (ETDEWEB)

    Khun, N.W. [School of Mechanical and Aerospace Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Liu, E., E-mail: MEJLiu@ntu.edu.sg [School of Mechanical and Aerospace Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2011-03-15

    Research highlights: {yields} Sputtered PtRuN-DLC thin films were fabricated with different film thicknesses. {yields} The graphitization of the films increased with increased film thickness. {yields} The wear resistance of the films increased though their adhesion strength decreased. {yields} The corrosion potentials of the films shifted to more negative values. {yields} However, the corrosion currents of the films decreased. - Abstract: In this study, the corrosion performance of platinum/ruthenium/nitrogen doped diamond-like carbon (PtRuN-DLC) thin films deposited on p-Si substrates using a DC magnetron sputtering deposition system in a 0.1 M NaCl solution was investigated using potentiodynamic polarization test in terms of film thickness. The effect of the film thickness on the chemical composition, bonding structure, surface morphology, adhesion strength and wear resistance of the PtRuN-DLC films was studied using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM), micro-scratch test and ball-on-disc tribotest, respectively. It was found that the wear resistance of the PtRuN-DLC films apparently increased with increased film thickness though the adhesion strength of the films decreased. The corrosion results revealed that the increased concentration of sp{sup 2} bonds in the PtRuN-DLC films with increased film thickness shifted the corrosion potentials of the films to more negative values but the decreased porosity density in the films significantly decreased the corrosion currents of the films.

  7. Investigation of structure, adhesion strength, wear performance and corrosion behavior of platinum/ruthenium/nitrogen doped diamond-like carbon thin films with respect to film thickness

    International Nuclear Information System (INIS)

    Khun, N.W.; Liu, E.

    2011-01-01

    Research highlights: → Sputtered PtRuN-DLC thin films were fabricated with different film thicknesses. → The graphitization of the films increased with increased film thickness. → The wear resistance of the films increased though their adhesion strength decreased. → The corrosion potentials of the films shifted to more negative values. → However, the corrosion currents of the films decreased. - Abstract: In this study, the corrosion performance of platinum/ruthenium/nitrogen doped diamond-like carbon (PtRuN-DLC) thin films deposited on p-Si substrates using a DC magnetron sputtering deposition system in a 0.1 M NaCl solution was investigated using potentiodynamic polarization test in terms of film thickness. The effect of the film thickness on the chemical composition, bonding structure, surface morphology, adhesion strength and wear resistance of the PtRuN-DLC films was studied using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM), micro-scratch test and ball-on-disc tribotest, respectively. It was found that the wear resistance of the PtRuN-DLC films apparently increased with increased film thickness though the adhesion strength of the films decreased. The corrosion results revealed that the increased concentration of sp 2 bonds in the PtRuN-DLC films with increased film thickness shifted the corrosion potentials of the films to more negative values but the decreased porosity density in the films significantly decreased the corrosion currents of the films.

  8. Effect of diffusion on percolation threshold in thick-film resistors

    International Nuclear Information System (INIS)

    Abdurakhmanov, G.

    2009-01-01

    Resistivity ρ(C) of thick-film resistors doped by metal oxides is simulated as a function of volume content C of the ligature, firing temperature T f and firing time τ. It is proved that the doping of a glass during firing of the thick film resistor is rather uniform. It is shown also, that conductance takes place in the whole volume of the sample, but not through the sole infinite cluster only, even the content of a conductive phase is below than the theoretical percolation threshold value.

  9. Thickness measurement of SiO2 films thinner than 1 nm by X-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Joong Kim, Kyung; Park, Ki Tae; Lee, Jong Wan

    2006-01-01

    The thickness measurement of ultra-thin SiO 2 films thinner than 1 nm was studied by X-ray photoelectron spectroscopy (XPS). Amorphous SiO 2 thin films were grown on amorphous Si films to avoid the thickness difference due to the crystalline structure of a substrate. SiO 2 thin films were grown by ion beam sputter deposition under oxygen gas flow and the thickness was measured by in situ XPS. The attenuation length was determined experimentally by a SiO 2 film with a known thickness. The straight line fit between the measured thickness using XPS and the nominal thickness showed a good linear relation with a gradient of 0.969 and a small offset of 0.126 nm. The gradient measured at the range of 3.4-0.28 nm was very close to that measured at sub-nanometer range of 1.13-0.28 nm. This result means that the reliable measurement of SiO 2 film thickness below 1 nm is possible by XPS

  10. Film Thickness and Friction Relationship in Grease Lubricated Rough Contacts

    Directory of Open Access Journals (Sweden)

    David Gonçalves

    2017-08-01

    Full Text Available The relationship between the film generation and the coefficient of friction in grease lubricated contacts was investigated. Ball-on-disc tests were performed under different operating conditions: entrainment speed, lubricant temperature and surface roughness. The tests were performed with fully formulated greases and their base oils. The greases were formulated with different thickener types and also different base oils natures and viscosities. Film thickness measurements were performed in ball-on-glass disc tests, and Stribeck curves were measured in ball-on-steel disc tests with discs of different roughness. The role of the thickener and the base oil nature/viscosity on the film thickness and coefficient of friction was addressed and the greases’ performance was compared based on their formulation.

  11. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    Science.gov (United States)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  12. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  13. Thickness and roughness measurements of nano thin films by interference

    Directory of Open Access Journals (Sweden)

    A Sabzalipour

    2011-06-01

    Full Text Available In the standard optical interference fringes approach, by measuring shift of the interference fringes due to step edge of thin film on substrate, thickness of the layer has already been measured. In order to improve the measurement precision of this popular method, the interference fringes intensity curve was extracted and analyzed before and after the step preparation. By this method, one can measure a few nanometers films thickness. In addition, using the interference fringes intensity curve and its fluctuations, the roughness of surface is measured within a few nanometers accuracy. Comparison of our results with some direct methods of thickness and roughness measurements, i.e. using surface profilemeter and atomic force microscopy confirms the accuracy of the suggested improvements.

  14. Effect of the thickness of a fluoropolymer film on the radiotically prepared fuel cell membranes

    Energy Technology Data Exchange (ETDEWEB)

    Ko, Beom Seok; Sohn, Joon Yong; Nho, Young Chang; Shin, Jun Hwa [Korea Atomic Energy Research Institute, Jeongeup (Korea, Republic of); Kim, Jong Il [Chonbuk National University, Jeonju (Korea, Republic of)

    2010-06-15

    To observe the effect of the thickness of a fluoropolymer film on the radiotically prepared fuel cell membranes, fuel cell membranes with various thickness were prepared by simultaneous radiation grafting of styrene into polyethylene-co-tetrafluoroethylene (ETFE) with various thicknesses (25, 50 and 100 {mu}m) and subsequent sulfonation. The physico-chemical properties of the prepared membranes such as ion exchange capacity, water uptake, distribution of sulfonic acid group were evaluated in the correlation with the thickness of ETFE film. In additions, proton conductivity and methanol permeability of the prepared membranes were also evaluated. The results revealed that the proton conductivity and methanol permeability of the prepared membranes were largely affected by the thickness of ETFE film utilized as a base film.

  15. Effect of the thickness of a fluoropolymer film on the radiotically prepared fuel cell membranes

    International Nuclear Information System (INIS)

    Ko, Beom Seok; Sohn, Joon Yong; Nho, Young Chang; Shin, Jun Hwa; Kim, Jong Il

    2010-01-01

    To observe the effect of the thickness of a fluoropolymer film on the radiotically prepared fuel cell membranes, fuel cell membranes with various thickness were prepared by simultaneous radiation grafting of styrene into polyethylene-co-tetrafluoroethylene (ETFE) with various thicknesses (25, 50 and 100 μm) and subsequent sulfonation. The physico-chemical properties of the prepared membranes such as ion exchange capacity, water uptake, distribution of sulfonic acid group were evaluated in the correlation with the thickness of ETFE film. In additions, proton conductivity and methanol permeability of the prepared membranes were also evaluated. The results revealed that the proton conductivity and methanol permeability of the prepared membranes were largely affected by the thickness of ETFE film utilized as a base film

  16. Sputtering of Thick Deuterium Films by KeV Electrons

    DEFF Research Database (Denmark)

    Thestrup Nielsen, Birgitte; Svendsen, Winnie Edith; Schou, Jørgen

    1994-01-01

    Sputtering of thick films of solid deuterium up to several μm by keV electrons is reported for the first time. The sputtering yield increases within a narrow range of thicknesses around 1.6 μm by about 2 orders of magnitude for 1.5 keV electrons. A similar behavior has not been observed for ion...

  17. The X-ray sensitivity of semi-insulating polycrystalline CdZnTe thick films

    International Nuclear Information System (INIS)

    Won, Jae Ho; Kim, Ki Hyun; Suh, Jong Hee; Cho, Shin Hang; Cho, Pyong Kon; Hong, Jin Ki; Kim, Sun Ung

    2008-01-01

    The X-ray sensitivity is one of the important parameters indicating the detector performance. The X-ray sensitivity of semi-insulating polycrystalline CdZnTe:Cl thick films was investigated as a function of electric field, mean photon energy, film thickness, and charge carrier transport parameters and, compared with another promising detector materials. The X-ray sensitivities of the polycrystalline CdZnTe films with 350 μm thickness were about 2.2 and 6.2 μC/cm 2 /R in the ohmic-type and Schottky-type detector at 0.83 V/μm, respectively

  18. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Thickness and microstructure effects in the optical and electrical properties of silver thin films

    Directory of Open Access Journals (Sweden)

    Guowen Ding

    2015-11-01

    Full Text Available The optical and electrical response of metal thin films approaching thicknesses in the range of the electron mean free path is highly affected by electronic scattering with the interfaces and defects. Here, we present a theoretical and experimental study on how thickness and microstructure affect the properties of Ag thin films. We are able to successfully model the electrical resistivity and IR optical response using a thickness dependent electronic scattering time. Remarkably, the product of electronic scattering time and resistivity remains constant regardless of the thickness (τx ρ = C, with a value of 59 ± 2 μΩ cm ⋅ fs for Ag films in the investigated range from 3 to 74 nm. Our findings enable us to develop a theoretically framework that allows calculating the optical response of metal thin films in the IR by using their measured thickness and resistivity. An excellent agreement is found between experimental measurements and predicted values. This study also shows the theoretical lower limit for emissivity in Ag thin films according to their microstructure and thickness. Application of the model presented here will allow rapid characterization of the IR optical response of metal thin films, with important application in a broad spectrum of fundamental and industrial applications, including optical coatings, low-emissivity windows and semiconductor industry.

  20. Magnetic properties of permalloy films with different thicknesses deposited onto obliquely sputtered Cu underlayers

    International Nuclear Information System (INIS)

    Li, Xiaoyu; Sun, Xiaojun; Wang, Jianbo; Liu, Qingfang

    2015-01-01

    In this work, the influence of obliquely sputtered Cu underlayer of 10 nm on the magnetic properties of normally sputtered Permalloy thin films with different thicknesses from 10 nm to 150 nm has been investigated. It has been found that the samples with the Permalloy layer thickness ranging from 10 nm to 70 nm exhibit a good in-plane uniaxial magnetic anisotropy, and the increase of the film thickness leads to a decrease of the anisotropy field and the natural resonance frequency. The critical Permalloy layer thickness for stripe domain initiation of these films is about 80 nm, which is thinner than that of obliquely sputtered Permalloy thin films without an underlayer. The characteristic shapes of hysteresis loops which can be called ''transcritical'' are observed above the critical thickness. The condition and mechanism of appearing stripe domain structure were discussed and it has been found that the frequency response of permeability of the anisotropic films shows the characteristics of multi-peak resonance. - Highlights: • Py films were fabricated on obliquely sputtered Cu underlayers by RF magnetron sputtering. • Effects of Py layer thickness on anisotropy, ferromagnetic resonance frequency have been studied. • Samples with Py layer (<70 nm) show a good in-plane uniaxial magnetic anisotropy. • Samples with Py layer (>80 nm) show stripe domains and multi-peaks in permeability spectra

  1. Study on Gas Sensing Performance of TiO2 Screen Printed Thick Films

    Directory of Open Access Journals (Sweden)

    C. G. DIGHAVKAR

    2009-02-01

    Full Text Available Titanium dioxide (TiO2 thick films were prepared on alumina substrate by using screen printing technique. After preparation, the films were fired at temperature range 600 -1000 ºC for two hour. Morphological, compositional and structural properties of the film samples were performed by means of several techniques, including scanning electron microscopy (SEM, Energy dispersive spectroscopy (EDS, X-ray diffraction techniques. We explore the various gases to study the sensing performance of the TiO2 thick films. The maximum response was reported to film fired at 800 0C for LPG gas at 350 0C operating temperature.

  2. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  3. Texture change through film thickness and off-axis accommodation of (0 0 2) planes

    International Nuclear Information System (INIS)

    Shetty, A.R.; Karimi, A.

    2011-01-01

    We present our recent experimental results on the formation of off-axis texture and crystallographic tilting of crystallites that take place in thin film of transition metal nitrides. For this purpose, the microstructural development of TiAlN film was studied, specially the change in texture with film thickness. Fiber texture was measured using θ-2θ and pole figure X-ray diffraction (XRD), while scanning electron microscopy (SEM) and transmission electron microscopy (TEM) were used to observe the microstructure and changes in texture with thickness. The sin 2 ψ method was applied to determine the stresses on (1 1 1) and (0 0 2) plane. With deposition parameters chosen, the growth texture mechanism is discussed in three different stages of film growth. Surface energy minimization at low thickness leads to the development of (0 0 2) orientation. On the other hand, the competitive growth promotes the growth of (1 1 1) planes parallel to film surface at higher thickness. However, contrary to the prediction of growth models, the (0 0 2) grains are not completely overlapped by (1 1 1) grains at higher thickness. Rather the (0 0 2) grains still constitute the surface, but are tilted away from the substrate normal showing substantial in-plane alignment to allow the (1 1 1) planes remain parallel to film surface. Intrinsic stress along (1 1 1) and (0 0 2) shows a strong dependence with preferred orientation. The stress level in (0 0 2) grains which was compressive at low thickness changes to tensile at higher thickness. This change in the nature of stress allows the (0 0 2) planes to tilt away in order to promote the growth of 〈1 1 1〉 parallel to film normal and to minimize the overall energy of system due to high compressive stress stored in the (1 1 1) grains. The change in surface morphology with thickness was observed using SEM. An increase in surface roughness with film thickness was observed which indicates the development of (1 1 1) texture parallel to film

  4. Microscopic image processing system for measuring nonuniform film thickness profiles: Image scanning ellipsometry

    International Nuclear Information System (INIS)

    Liu, A.H.; Plawsky, J.L.; Wayner, P.C. Jr.

    1993-01-01

    The long-term objective of this research program is to determine the stability and heat transfer characteristics of evaporating thin films. The current objective is to develop and use a microscopic image-processing system (IPS) which has two parts: an image analyzing interferometer (IAI) and an image scanning ellipsometer (ISE). The primary purpose of this paper is to present the basic concept of ISE, which is a novel technique to measure the two dimensional thickness profile of a non-uniform, thin film, from several nm up to several μm, in a steady state as well as in a transient state. It is a full-field imaging technique which can study every point on the surface simultaneously with high spatial resolution and thickness sensitivity, i.e., it can measure and map the 2-D film thickness profile. The ISE was tested by measuring the thickness profile and the refractive index of a nonuniform solid film

  5. Low-temperature technique for thick film resist stabilization and curing

    Science.gov (United States)

    Minter, Jason P.; Wong, Selmer S.; Marlowe, Trey; Ross, Matthew F.; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    For a range of thick film photoresist applications, including MeV ion implant processing, thin film head manufacturing, and microelectromechanical systems processing, there is a need for a low-temperature method for resist stabilization and curing. Traditional methods of stabilizing or curing resist films have relied on thermal cycling, which may not be desirable due to device temperature limitations or thermally-induced distortion of the resist features.

  6. Preparation and spectroscopic analysis of zinc oxide nanorod thin films of different thicknesses

    Directory of Open Access Journals (Sweden)

    Mia Nasrul Haque

    2017-10-01

    Full Text Available Zinc oxide thin films with different thicknesses were prepared on microscopic glass slides by sol-gel spin coating method, then hydrothermal process was applied to produce zinc oxide nanorod arrays. The nanorod thin films were characterized by various spectroscopic methods of analysis. From the images of field emission scanning electron microscope (FESEM, it was observed that for the film thickness up to 200 nm the formed nanorods with wurtzite hexagonal structure were uniformly distributed over the entire surface substrate. From X-ray diffraction analysis it was revealed that the thin films had good polycrystalline nature with highly preferred c-axis orientation along (0 0 2 plane. The optical characterization done by UV-Vis spectrometer showed that all the films had high transparency of 83 % to 96 % in the visible region and sharp cut off at ultraviolet region of electromagnetic spectrum. The band gap of the films decreased as their thickness increased. Energy dispersive X-ray spectroscopy (EDS showed the presence of zinc and oxygen elements in the films and Fourier transform infrared spectroscopy (FT-IR revealed the chemical composition of ZnO in the film.

  7. Thickness-dependent dispersion parameters, energy gap and nonlinear refractive index of ZnSe thin films

    International Nuclear Information System (INIS)

    Prakash, Deo; Shaaban, E.R.; Shapaan, M.; Mohamed, S.H.; Othman, A.A.; Verma, K.D.

    2016-01-01

    Highlights: • Combined experimental and theoretical researches on ZnSe Thin Films. • The film thickness and refractive index were determined using envelope method. • The absorption coefficient and the energy gap were calculated. • Dispersion parameters were determined using Wemple-DiDomenico relation. • The third order susceptibility and nonlinear refractive index were calculated. - Abstract: Zinc selenide (ZnSe) thin films with different thicknesses were evaporated onto glass substrates using the thermal evaporation technique. X-ray diffraction analysis confirmed that both the film and powder have cubic zinc-blende structure. The fundamental optical parameters like absorption coefficient, extinction coefficient and band gap were evaluated in transparent region of transmittance and reflectance spectrum. The optical transition of the films was found to be allowed, where the energy gap increased from 2.576 to 2.702 eV with increasing film thickness. Also, the refractive index value increase with increasing film thickness. The refractive indices evaluated through envelope method were extrapolated by Cauchy dispersion relationship over the whole spectra range. Additionally, the dispersion of refractive index was determined in terms of Wemple-DiDomenico single oscillator model. Third order susceptibility and nonlinear refractive index were determined for different thickness of ZnSe thin films.

  8. Thickness-dependent dispersion parameters, energy gap and nonlinear refractive index of ZnSe thin films

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, Deo [School of Computer Science & Engineering, Faculty of Engineering, SMVD University, Kakryal, Katra 182320, J& K (India); Shaaban, E.R., E-mail: esam_ramadan2008@yahoo.com [Physics Department, Faculty of Science, Al-Azhar University, Assiut 71542 (Egypt); Shapaan, M. [Department of Physics, Faculty of Science, Al-Azahar University, Cairo (Egypt); Mohamed, S.H. [Physics Department, Faculty of Science, Sohag University, 82524 Sohag (Egypt); Othman, A.A. [Physics Department, Faculty of Science, Assiut University, Assiut 71516 (Egypt); Verma, K.D., E-mail: kdverma1215868@gmail.com [Material Science Research Laboratory, Department of Physics, S. V. College, Aligarh 202001, U.P. (India)

    2016-08-15

    Highlights: • Combined experimental and theoretical researches on ZnSe Thin Films. • The film thickness and refractive index were determined using envelope method. • The absorption coefficient and the energy gap were calculated. • Dispersion parameters were determined using Wemple-DiDomenico relation. • The third order susceptibility and nonlinear refractive index were calculated. - Abstract: Zinc selenide (ZnSe) thin films with different thicknesses were evaporated onto glass substrates using the thermal evaporation technique. X-ray diffraction analysis confirmed that both the film and powder have cubic zinc-blende structure. The fundamental optical parameters like absorption coefficient, extinction coefficient and band gap were evaluated in transparent region of transmittance and reflectance spectrum. The optical transition of the films was found to be allowed, where the energy gap increased from 2.576 to 2.702 eV with increasing film thickness. Also, the refractive index value increase with increasing film thickness. The refractive indices evaluated through envelope method were extrapolated by Cauchy dispersion relationship over the whole spectra range. Additionally, the dispersion of refractive index was determined in terms of Wemple-DiDomenico single oscillator model. Third order susceptibility and nonlinear refractive index were determined for different thickness of ZnSe thin films.

  9. Orientation and magnetic properties of the thick multilayered [NdFeBxTby]n films

    International Nuclear Information System (INIS)

    Liu, Weifang; Suzuki, Shunji; Machida, Kenichi

    2007-01-01

    Multilayered [NdFeB x /Tb y ] n films were prepared by a three-demensional sputtering system. From the thickness of NdFeB layer dependence on the orientation and magnetic properties of multilayered [NdFeB (xμm)/Tb (50nm)] n films with 7.2μm as a total thickness of NdFeB layers, it was found that the orientation of NdFeB grains was maintained. However, the coercivity was enhanced with decreasing the thickness of each NdFeB thin layer. The (BH) max value of 240kJ/m 3 was obtained on the layered [NdFeB (1.2μm)/Tb (50 nm)] 6 film as an optimal value. For the multilayered [NdFeB (1.2μm)/Tb (50 nm)] n films with various multiple layer sets (n), the coercivity value increased with the film thickness without any deterioration of the c-axis texture and consequently, multilayered NdFeB/Tb film magnets with total thickness values around 70μm showed the superior magnetic properties (H cj approx. = 1360kA/m, I r approx.= 1.05T, and (BH) max approx.= 202kJ/m 3 ). (author)

  10. Synthesis of thick diamond films by direct current hot-cathode plasma chemical vapour deposition

    CERN Document Server

    Jin Zeng Sun; Bai Yi Zhen; Lu Xian Yi

    2002-01-01

    The method of direct current hot-cathode plasma chemical vapour deposition has been established. A long-time stable glow discharge at large discharge current and high gas pressure has been achieved by using a hot cathode in the temperature range from 1100 degree C to 1500 degree C and non-symmetrical configuration of the poles, in which the diameter of the cathode is larger than that of anode. High-quality thick diamond films, with a diameter of 40-50 mm and thickness of 0.5-4.2 mm, have been synthesized by this method. Transparent thick diamond films were grown over a range of growth rates between 5-10 mu m/h. Most of the thick diamond films have thermal conductivities of 10-12 W/K centre dot cm. The thick diamond films with high thermal conductivity can be used as a heat sink of semiconducting laser diode array and as a heat spreading and isolation substrate of multichip modules. The performance can be obviously improved

  11. Ceramic thick film humidity sensor based on MgTiO{sub 3} + LiF

    Energy Technology Data Exchange (ETDEWEB)

    Kassas, Ahmad, E-mail: a.kassas.mcema@ul.edu.lb [Faculty of Agricultural Engineering and Veterinary Medicine, Laboratory of Materials, Catalysis, Environment and Analytical Methods (MCEMA), Faculty of Sciences and Doctoral School of Sciences and Technology (EDST), Lebanese University, Hariri Campus, Hadath, Beirut (Lebanon); Laboratoire Universitaire des Sciences Appliquées de Cherbourg (LUSAC), 50130 Cherbourg-Octeville (France); Bernard, Jérôme; Lelièvre, Céline; Besq, Anthony; Guhel, Yannick; Houivet, David; Boudart, Bertrand [Laboratoire Universitaire des Sciences Appliquées de Cherbourg (LUSAC), 50130 Cherbourg-Octeville (France); Lakiss, Hassan [Faculty of Agricultural Engineering and Veterinary Medicine, Laboratory of Materials, Catalysis, Environment and Analytical Methods (MCEMA), Faculty of Sciences and Doctoral School of Sciences and Technology (EDST), Lebanese University, Hariri Campus, Hadath, Beirut (Lebanon); Faculty of Engineering, Section III, Hariri Campus, Hadath, Beirut (Lebanon); Hamieh, Tayssir [Faculty of Agricultural Engineering and Veterinary Medicine, Laboratory of Materials, Catalysis, Environment and Analytical Methods (MCEMA), Faculty of Sciences and Doctoral School of Sciences and Technology (EDST), Lebanese University, Hariri Campus, Hadath, Beirut (Lebanon)

    2013-10-15

    Graphical abstract: - Highlights: • The fabricated sensor based on MgTiO{sub 3} + LiF materials used the spin coating technology. • The response time is 70 s to detect variation between 5 and 95% relative humidity. • The addition of Scleroglucan controls the viscosity and decreases the roughness of thick film surface. • This humidity sensor is a promising, low-cost, high-quality, reliable ceramic films, that is highly sensitive to humidity. - Abstract: The feasibility of humidity sensor, consisting of a thick layer of MgTiO{sub 3}/LiF materials on alumina substrate, was studied. The thermal analysis TGA-DTGA and dilatometric analysis worked out to confirm the sintering temperature. An experimental plan was applied to describe the effects of different parameters in the development of the thick film sensor. Structural and microstructural characterizations of the developed thick film were made. Rheological study with different amounts of a thickener (scleroglucan “sclg”), showing the behavior variation, as a function of sclg weight % was illustrated and rapprochement with the results of thickness variation as a function of angular velocity applied in the spin coater. The electrical and dielectric measurements confirmed the sensitivity of the elaborated thick film against moisture, along with low response time.

  12. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  13. Tape casting and partial melting of Bi-2212 thick films

    Energy Technology Data Exchange (ETDEWEB)

    Buhl, D.; Lang, T.; Heeb, B. [Nichtmetallische Werkstoffe, Zuerich (Switzerland)] [and others

    1994-12-31

    To produce Bi-2212 thick films with high critical current densities tape casting and partial melting is a promising fabrication method. Bi-2212 powder and organic additives were mixed into a slurry and tape casted onto glass by the doctor blade tape casting process. The films were cut from the green tape and partially molten on Ag foils during heat treatment. We obtained almost single-phase and well-textured films over the whole thickness of 20 {mu}m. The orientation of the (a,b)-plane of the grains were parallel to the substrate with a misalignment of less than 6{degrees}. At 77K/OT a critical current density of 15`000 A/cm{sup 2} was reached in films of the dimension 1cm x 2cm x 20{mu}m (1{mu}V/cm criterion, resistively measured). At 4K/OT the highest value was 350`000 A/cm{sup 2} (1nV/cm criterion, magnetically measured).

  14. Atomic structures and mechanical properties of single-crystal GaN nanotubes

    International Nuclear Information System (INIS)

    Xu, B.; Lu, A.J.; Pan, B.C.; Yu, Q.X.

    2005-01-01

    An approach is proposed to theoretically construct a realistic single-crystal GaN nanotube at atomic scale. The generated atomic structures of the single-crystal GaN nanotubes match the structural aspects from experiment very well. Our energetic calculations show that a single-crystal GaN nanotube with [100]-oriented lateral facets is more stable than that with [110]-oriented lateral facets, when they have around the same wall thickness. For a specified orientation of the lateral facets on the single-crystal GaN nanotubes, the energetic stabilities of the tubes obey a P rule, in which P is the ratio of the number of four-coordinated atoms to the number of three-coordinated atoms. Furthermore, the Young's modulus of the considered GaN nanotubes decrease with increasing the ratio of the number of bulk atoms to the number of surface atoms in each type of tube. Our calculations and analysis demonstrate that the surface effect of a single-crystal nanotube enhances its Young's modulus significantly

  15. The effect of Cr buffer layer thickness on voltage generation of thin-film thermoelectric modules

    International Nuclear Information System (INIS)

    Mizoshiri, Mizue; Mikami, Masashi; Ozaki, Kimihiro

    2013-01-01

    The effect of Cr buffer layer thickness on the open-circuit voltage generated by thin-film thermoelectric modules of Bi 0.5 Sb 1.5 Te 3 (p-type) and Bi 2 Te 2.7 Se 0.3 (n-type) materials was investigated. A Cr buffer layer, whose thickness generally needs to be optimized to improve adhesion depending on the substrate surface condition, such as roughness, was deposited between thermoelectric thin films and glass substrates. When the Cr buffer layer was 1 nm thick, the Seebeck coefficients and electrical conductivity of 1 µm thermoelectric thin films with the buffer layers were approximately equal to those of the thermoelectric films without the buffer layers. When the thickness of the Cr buffer layer was 1 µm, the same as the thermoelectric films, the Seebeck coefficients of the bilayer films were reduced by an electrical current flowing inside the Cr buffer layer and the generation of Cr 2 Te 3 . The open-circuit voltage of the thin-film thermoelectric modules decreased with an increase in the thickness of the Cr buffer layer, which was primarily induced by the electrical current flow. The reduction caused by the Cr 2 Te 3 generation was less than 10% of the total voltage generation of the modules without the Cr buffer layers. The voltage generation of thin-film thermoelectric modules could be controlled by the Cr buffer layer thickness. (paper)

  16. Relation between film thickness and surface doping of MoS2 based field effect transistors

    Science.gov (United States)

    Lockhart de la Rosa, César J.; Arutchelvan, Goutham; Leonhardt, Alessandra; Huyghebaert, Cedric; Radu, Iuliana; Heyns, Marc; De Gendt, Stefan

    2018-05-01

    Ultra-thin MoS2 film doping through surface functionalization with physically adsorbed species is of great interest due to its ability to dope the film without reduction in the carrier mobility. However, there is a need for understanding how the thickness of the MoS2 film is related to the induced surface doping for improved electrical performance. In this work, we report on the relation of MoS2 film thickness with the doping effect induced by the n-dopant adsorbate poly(vinyl-alcohol). Field effect transistors built using MoS2 films of different thicknesses were electrically characterized, and it was observed that the ION/OFF ratio after doping in thin films is more than four orders of magnitudes greater when compared with thick films. Additionally, a semi-classical model tuned with the experimental devices was used to understand the spatial distribution of charge in the channel and explain the observed behavior. From the simulation results, it was revealed that the two-dimensional carrier density induced by the adsorbate is distributed rather uniformly along the complete channel for thin films (<5.2 nm) contrary to what happens for thicker films.

  17. Electronic Transport Mechanism for Schottky Diodes Formed by Au/HVPE a-Plane GaN Templates Grown via In Situ GaN Nanodot Formation

    Directory of Open Access Journals (Sweden)

    Moonsang Lee

    2018-06-01

    Full Text Available We investigate the electrical characteristics of Schottky contacts for an Au/hydride vapor phase epitaxy (HVPE a-plane GaN template grown via in situ GaN nanodot formation. Although the Schottky diodes present excellent rectifying characteristics, their Schottky barrier height and ideality factor are highly dependent upon temperature variation. The relationship between the barrier height, ideality factor, and conventional Richardson plot reveals that the Schottky diodes exhibit an inhomogeneous barrier height, attributed to the interface states between the metal and a-plane GaN film and to point defects within the a-plane GaN layers grown via in situ nanodot formation. Also, we confirm that the current transport mechanism of HVPE a-plane GaN Schottky diodes grown via in situ nanodot formation prefers a thermionic field emission model rather than a thermionic emission (TE one, implying that Poole–Frenkel emission dominates the conduction mechanism over the entire range of measured temperatures. The deep-level transient spectroscopy (DLTS results prove the presence of noninteracting point-defect-assisted tunneling, which plays an important role in the transport mechanism. These electrical characteristics indicate that this method possesses a great throughput advantage for various applications, compared with Schottky contact to a-plane GaN grown using other methods. We expect that HVPE a-plane GaN Schottky diodes supported by in situ nanodot formation will open further opportunities for the development of nonpolar GaN-based high-performance devices.

  18. Formulation and Characterization of Cu Doped ZnO Thick Films as LPG Gas Sensor

    Directory of Open Access Journals (Sweden)

    A. V. PATIL

    2010-12-01

    Full Text Available Thick films of pure and various concentrations (1 wt. %, 3 wt. %, 5 wt. %, 7 wt. % and 10 wt. % of Cu-doped ZnO were prepared on alumina substrates using a screen printing technique. These films were fired at a temperature of 700ºC for two hours in an air atmosphere. Morphological, compositional and structural properties of the samples were obtained using the scanning electron microscopy (SEM, Energy dispersive spectroscopy (EDAX and X-ray diffraction techniques respectively. The LPG gas sensing properties of these thick films were investigated at different operating temperatures and LPG gas concentrations. The surface resistance of thick films decreases when exposed to LPG gas. The Cu doped films show significant sensitivity to LPG gas than pure ZnO film. 5 wt. % Cu-doped ZnO film was found to be more sensitive (87.3 % to LPG gas exposed at 300 oC than other doping concentrations with fast response and recovery time.

  19. Structural, magnetic and transport properties of Co{sub 2}FeAl Heusler films with varying thickness

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xiaotian [School of Material Sciences and Engineering, Hebei University Technology, Tianjin 300130 (China); Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Li, Yueqing [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); State Key Laboratory of Metastable Material Sciences and Technology, Yanshan University, Qinhuangdao 066004 (China); Du, Yin [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Dai, Xuefang; Liu, Guodong [School of Material Sciences and Engineering, Hebei University Technology, Tianjin 300130 (China); Liu, Enke [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Liu, Zhongyuan [State Key Laboratory of Metastable Material Sciences and Technology, Yanshan University, Qinhuangdao 066004 (China); Wang, Wenhong, E-mail: wenhong.wang@iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Wu, Guangheng [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-08-01

    We report on a systematic study of the structural, magnetic properties and the anomalous Hall effect, in the Heusler alloy Co{sub 2}FeAl (CFA) epitaxial films on MgO (001), as a function of film thickness. It was found that the epitaxial CFA films show a highly ordered B2 structure with an in-plane uniaxial magnetic anisotropy. The electrical transport properties reveal that the lattice and magnon scattering contributions to the longitudinal resistivity. Independent on the thickness of films, the anomalous Hall resistivity of CFA films is found to be dominated by skew scattering only. Moreover, the anomalous Hall resistivity shows weakly temperature dependent behavior, and its absolute value increases as the thickness decreases. We attribute this temperature insensitivity in the anomalous Hall resistivity to the weak temperature dependent of tunneling spin-polarization in the CFA films, while the thickness dependence behavior is likely due to the increasing significance of interface or free surface electronic states. - Highlights: ●Highly ordered CFA films with various thicknesses were prepared on MgO substrates. ●The magnon scattering contributions to the longitudinal resistivity in the CFA films. ●The anomalous Hall resistivity of the CFA films shows weakly temperature dependent. ●The CFA films show weak temperature dependent of tunneling spin-polarization.

  20. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  1. Quantum transition and decoherence of levitating polaron on helium film thickness under an electromagnetic field

    Science.gov (United States)

    Kenfack, S. C.; Fotue, A. J.; Fobasso, M. F. C.; Djomou, J.-R. D.; Tiotsop, M.; Ngouana, K. S. L.; Fai, L. C.

    2017-12-01

    We have studied the transition probability and decoherence time of levitating polaron in helium film thickness. By using a variational method of Pekar type, the ground and the first excited states of polaron are calculated above the liquid-helium film placed on the polar substrate. It is shown that the polaron transits from the ground to the excited state in the presence of an external electromagnetic field in the plane. We have seen that, in the helium film, the effects of the magnetic and electric fields on the polaron are opposite. It is also shown that the energy, transition probability and decoherence time of the polaron depend sensitively on the helium film thickness. We found that decoherence time decreases as a function of increasing electron-phonon coupling strength and the helium film thickness. It is seen that the film thickness can be considered as a new confinement in our system and can be adjusted in order to reduce decoherence.

  2. Thickness-dependent piezoelectric behaviour and dielectric properties of lanthanum modified BiFeO3 thin films

    Directory of Open Access Journals (Sweden)

    Glenda Biasotto

    2011-03-01

    Full Text Available Bi0.85La0.15FeO3 (BLFO thin films were deposited on Pt(111/Ti/SiO2 /Si substrates by the soft chemical method. Films with thicknesses ranging from 140 to 280 nm were grown on platinum coated silicon substrates at 500°C for 2 hours. The X-ray diffraction analysis of BLFO films evidenced a hexagonal structure over the entire thickness range investigated. The grain size of the film changes as the number of the layers increases, indicating thickness dependence. It is found that the piezoelectric response is strongly influenced by the film thickness. It is shown that the properties of BiFeO3 thin films, such as lattice parameter, dielectric permittivity, piezoeletric coefficient etc., are functions of misfit strains.

  3. Thickness dependence of electrical properties in (0 0 1) oriented lead zirconate titanate films by laser ablation

    International Nuclear Information System (INIS)

    Zhu, T.J.; Lu, L.; Lai, M.O.; Soh, A.K.

    2007-01-01

    Highly (0 0 1)-oriented Pb(Zr 0.52 Ti 0.48 )O 3 (PZT) thin films with LaNiO 3 (LNO) bottom electrodes have been fabricated on amorphous TiN buffered Si substrates by pulsed laser deposition. The polarization-electric field (P-E) hysteresis of the deposited PZT films with different thickness ranging from 25 to 850 nm was measured. Results showed that the coercive field increases with the film thickness scaling down. No P-E loops could be obtained for the film of thickness of 25 nm. The deterioration of ferroelectric property in the thinnest film was attributed to extrinsic effect other than intrinsic size effect. Current-voltage (I-V) characteristics measurement showed the increase in leakage current of the PZT films with the decrease in the thickness of the films under the same bias voltage. At a high field regime, the leakage current of the PZT films of 25 nm thickness remained unchanged with increasing applied voltage. A totally depleted back-to-back Shottky barrier model was used to explain the effect of electrode interfaces on leakage current in the PZT films. It is believed that ferroelectric/electrode interfaces play an important role in the electrical properties of ferroelectric thin films with thickness at nanometer level

  4. Thickness dependence of magnetization reversal mechanism in perpendicularly magnetized L1{sub 0} FePt films

    Energy Technology Data Exchange (ETDEWEB)

    Bi, Mei; Wang, Xin, E-mail: xinwang@uestc.edu.cn; Lu, Haipeng; Zhang, Li; Deng, Longjiang; Xie, Jianliang

    2017-04-15

    We have studied the magnetic switching behavior of L1{sub 0}-ordered FePt films with varying thickness. It was found that coercivity is strongly dependent on the film thickness. The obvious variations of the coercivity in the thin films are confirmed by the measurements of structural and magnetic properties. With increasing thickness, the degree of L1{sub 0} chemical ordering increased, while the magnetization reversal process transforms from a pinned two-steps magnetization reversal to a comparatively smooth domain wall motion behavior. Although considering anisotropy, exchange interaction and applied magnetic field, the switching behavior in films is quite complex, the main features of the magnetization reversal mechanism can be understood by performing detailed investigation on the effect of the deposition temperature and the angle of magnetic field. - Highlights: • Series of FePt films with L1{sub 0} phase have been prepared. • We focused on the magnetization reversal mechanism with varying thicknesses. • The angle-dependence of switching process is revealed in the FePt films. • Different switching mechanisms were found by increasing the film thickness.

  5. Humidity sensing properties of WO3 thick film resistor prepared by screen printing technique

    International Nuclear Information System (INIS)

    Garde, Arun S

    2014-01-01

    Highlights: • Polycrystalline WO 3 Thick films are fabricated by screen printing technique. • Monoclinic phases were the majority in formation of films. • The peak at 1643 cm −1 shows stretching vibrations attributed to W-OH of adsorbed H 2 O. • Absorption peaks in the range 879–650 cm −1 are attributed to the stretching W-O-W bonds. • Increase in resistance with decrease in RH when exposed to 20–100% RH. - Abstract: Thick films of tungsten oxide based were prepared using standard screen printing technique. To study the effect of temperature on the thick films were fired at different temperature for 30 min in air atmosphere. The WO 3 thick films were characterized with X-ray diffraction, scanning electron microscopy and EDAX for elemental analysis. The formation of mixed phases of the film together with majority of monoclinic phase was observed. IR spectra confirm the peak at 1643 cm −1 clearly shows stretching vibrations attributed to the W-OH bending vibration mode of the adsorbed water molecules. The absorption peaks in the range 879–650 cm −1 are attributed to the stretching W-O-W bonds (i.e. ν [W-O inter -W]). The peak located at 983 cm −1 belong to W=O terminal of cluster boundaries. A change in the resistance was observed with respect to the relative humidity when the WO 3 thick films were exposed to a wide humidity range of 20–100%. An increasing firing temperature of WO 3 film increases with the sensitivity. The parameters such as sensitivity and hysteresis of the WO 3 film sensors have been evaluated

  6. Thickness Measurement of V2O5 Nanometric Thin Films Using a Portable XRF

    Directory of Open Access Journals (Sweden)

    Fabio Lopes

    2016-01-01

    Full Text Available Nanometric thin films have always been chiefly used for decoration; however they are now being widely used as the basis of high technology. Among the various physical qualities that characterize them, the thickness strongly influences their properties. Thus, a new procedure is hereby proposed and developed for determining the thickness of V2O5 nanometric thin films deposited on the glass surface using Portable X-Ray Fluorescence (PXRF equipment and the attenuation of the radiation intensity Kα of calcium present in the glass. It is shown through the present paper that the radiation intensity of calcium Kα rays is proportional to film thickness in nanometric films of vanadium deposited on the glass surface.

  7. Thickness dependent structural, optical and electrical properties of Se85In12Bi3 nanochalcogenide thin films

    Science.gov (United States)

    Tripathi, Ravi P.; Zulfequar, M.; Khan, Shamshad A.

    2018-04-01

    Our aim is to study the thickness dependent effects on structure, electrical and optical properties of Se85In12Bi3 nanochalcogenide thin films. Bulk alloy of Se85In12Bi3 was synthesized by melt-quenching technique. The amorphous as well as glassy nature of Se85In12Bi3 chalcogenide was confirmed by non-isothermal Differential Scanning Calorimetry (DSC) measurements. The nanochalcogenide thin films of thickness 30, 60 and 90 nm were prepared on glass/Si wafer substrate using Physical Vapour Condensation Technique (PVCT). From XRD studies it was found that thin films have amorphous texture. The surface morphology and particle size of films were studied by Field Emission Scanning Electron Microscope (FESEM). From optical studies, different optical parameters were estimated for Se85In12Bi3 thin films at different thickness. It was found that the absorption coefficient (α) and extinction coefficient (k) increases with photon energy and decreases with film thickness. The optical absorption process followed the rule of indirect transitions and optical band gap were found to be increase with film thickness. The value of Urbach energy (Et) and steepness parameter (σ) were also calculated for different film thickness. For electrical studies, dc-conductivity measurement was done at different temperature and activation energy (ΔEc) were determined and found to be increase with film thickness.

  8. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  9. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    Science.gov (United States)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  10. Advanced engineering materials and thick film hybrid circuit technology

    International Nuclear Information System (INIS)

    Faisal, S.; Aslam, M.; Mehmood, K.

    2006-01-01

    The use of Thick Film hybrid Technology to manufacture electronic circuits and passive components continues to grow at rapid rate. Thick Film Technology can be viewed as a means of packaging active devices, spanning the gap between monolithic integrated circuit chips and printed circuit boards with attached active and passive components. An advancement in engineering materials has moved from a formulating art to a base of greater understanding of relationship of material chemistry to the details of electrical and mechanical performance. This amazing advancement in the field of engineering materials has brought us up to a magnificent standard that we are able to manufacture small size, low cost and sophisticated electronic circuits of Military, Satellite systems, Robotics, Medical and Telecommunications. (author)

  11. Screen printed thick film based pMUT arrays

    DEFF Research Database (Denmark)

    Hedegaard, Tobias; Pedersen, T; Thomsen, Erik Vilain

    2008-01-01

    This article reports on the fabrication and characterization of lambda-pitched piezoelectric micromachined ultrasound transducer (pMUT) arrays fabricated using a unique process combining conventional silicon technology and low cost screen printing of thick film PZT. The pMUTs are designed as 8...

  12. Double-Grating Displacement Structure for Improving the Light Extraction Efficiency of LEDs

    Directory of Open Access Journals (Sweden)

    Zhibin Wang

    2012-01-01

    Full Text Available To improve the light extraction efficiency of light-emitting diodes (LEDs, grating patterns were etched on GaN and silver film surfaces. The grating-patterned surface etching enabled the establishment of an LED model with a double-grating displacement structure that is based on the surface plasmon resonance principle. A numerical simulation was conducted using the finite difference time domain method. The influence of different grating periods for GaN surface and silver film thickness on light extraction efficiency was analyzed. The light extraction efficiency of LEDs was highest when the grating period satisfied grating coupling conditions. The wavelength of the highest value was also close to the light wavelength of the medium. The plasmon resonance frequencies on both sides of the silver film were affected by silver film thickness. With increasing film thickness, plasmon resonance frequency tended toward the same value and light extraction efficiency reached its maximum. When the grating period for the GaN surface was 365 nm and the silver film thickness was 390 nm, light extraction efficiency reached a maximum of 55%.

  13. Thickness of Residual Wetting Film in Liquid-Liquid Displacement in Capillary Channels

    Science.gov (United States)

    Beresnev, I. A.; Gaul, W.; Vigil, D.

    2010-12-01

    Core-annular flow is common in nature, representing, for example, how streams of oil, surrounded by water, move in petroleum reservoirs. Oil, typically a non-wetting fluid, tends to occupy the middle (core) part of a channel, while water forms a surrounding wall-wetting film. What is the thickness of this wetting film? Understanding this question may determine the ultimate oil recovery. A classic theory has been in existence for nearly 50 years offering a solution, although in a controversial manner, for moving gas bubbles. On the other hand, an acceptable, experimentally verified theory for a body of one liquid flowing in another has not been available. We develop a hydrodynamic, testable theory providing an explicit relationship between the thickness of the wetting film and fluid properties for a blob of one fluid moving in another, with neither phase being gas. In its relationship to the capillary number Ca, the thickness of the film is predicted to be proportional to Ca2 at lower Ca and to level off at a constant value of about 20 % the channel radius at higher Ca. The thickness of the film is deduced to be approximately unaffected by the viscosity ratio of the fluids. We have conducted our own laboratory experiments and compiled experimental data from other studies, all of which are mutually consistent and confirm the salient features of the theory. At the same time, the classic law, originally deduced for films surrounding moving gas bubbles but often believed to hold for liquids as well, fails to explain the observations.

  14. GaN and LED structures grown on pre-patterned silicon pillar arrays

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Merzsch, Stephan; Neumann, Richard; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Bundesallee 100, 38116 Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvoigteiplatz 5-7, 10117 Berlin (Germany)

    2010-01-15

    GaN nanorods (or nanowires) have attracted great interest in a variety of applications, e.g. high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In contrast to the mostly investigated self-assembled growth of GaN nanorods, we performed GaN nanorod growth by pre-patterning of the Si substrates. The pattern was transferred to Si substrates by photolithography and cryo-temperature inductively-coupled plasma etching. These Si templates then were used for further GaN nanorod growth by metal-organic vapour phase epitaxy (MOVPE). The low temperature AlN nucleation layer had to be optimized since it differs from its 2D layer counterpart on the surface area and orientations. We found a strong influence of diffusion processes, i.e. the GaN grown on top of the Si nanopillars can deplete the GaN around the Si pillars. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the pyramidal GaN nanostructures and terminate. Cathodoluminescence measurements reveal a difference of In composition and/or thickness of InGaN quantum wells on the different facets of the pyramidal GaN nanostructures. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  16. X-ray diffractometry of 10 nm thick YBa2Cu3O7-x films

    International Nuclear Information System (INIS)

    Drozdov, Yu.N.; Moldavskaya, L.D.; Parafin, A.E.

    1998-01-01

    We report on some specific features of the X-ray diffraction spectra for ultrathin c-axis-oriented YBCO films. The films were prepared by laser deposition on LaAlO 3 substrates. A DRON-4 powder diffractometer was used to analyze a structure and to measure thickness of the films. We find that this conventional technique can detect the YBCO films as thin as 5 nm. The X-ray interference fringes in the vicinity of the (005) YBCO reflections for the films from 10 to 20 nm thick were clearly visible. The oscillation period of the fringes depends on the thickness of the film and the intensity modulation yields some structural information. The I(-1)/I(+1) fringes intensity ratio was found to be sensitive to the type of atomic layer at the top and bottom of YBCO film [ru

  17. Development of a metrology method for composition and thickness of barium strontium titanate thin films

    International Nuclear Information System (INIS)

    Remmel, Thomas; Werho, Dennis; Liu, Ran; Chu, Peir

    1998-01-01

    Thin films of barium strontium titanate (BST) are being investigated as the charge storage dielectric in advanced memory devices, due to their promise for high dielectric constant. Since the capacitance of BST films is a function of both stoichiometry and thickness, implementation into manufacturing requires precise metrology methods to monitor both of these properties. This is no small challenge, considering the BST film thicknesses are 60 nm or less. A metrology method was developed based on X-ray Fluorescence and applied to the measurement of stoichiometry and thickness of BST thin films in a variety of applications

  18. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  19. Thin film thickness measurement error reduction by wavelength selection in spectrophotometry

    International Nuclear Information System (INIS)

    Tsepulin, Vladimir G; Perchik, Alexey V; Tolstoguzov, Victor L; Karasik, Valeriy E

    2015-01-01

    Fast and accurate volumetric profilometry of thin film structures is an important problem in the electronic visual display industry. We propose to use spectrophotometry with a limited number of working wavelengths to achieve high-speed control and an approach to selecting the optimal working wavelengths to reduce the thickness measurement error. A simple expression for error estimation is presented and tested using a Monte Carlo simulation. The experimental setup is designed to confirm the stability of film thickness determination using a limited number of wavelengths

  20. Experimental study of the polymer powder film thickness uniformity produced by the corona discharge

    Science.gov (United States)

    Fazlyyyakhmatov, Marsel

    2017-01-01

    The results of an experimental study of the polymer powder film thickness uniformity are presented. Polymer powder films are produced by the electrostatic field of corona discharge. Epoxy and epoxy-polyester powder films with thickness in the range of 30-120 microns are studied. Experimentally confirmed possibility of using these coatings as protective matching layer of piezoceramic transducers at frequencies of 0.5-15 MHz.

  1. GaN nano-membrane for optoelectronic and electronic device applications

    KAUST Repository

    Ooi, Boon S.

    2014-01-01

    The ~25nm thick threading dislocation free GaN nanomembrane was prepared using ultraviolet electroless chemical etching method offering the possibility of flexible integration of (Al,In,Ga)N optoelectronic and electronic devices.

  2. Thermal Effusivity Determination of Metallic Films of Nanometric Thickness by the Electrical Micropulse Method

    Science.gov (United States)

    Lugo, J. M.; Oliva, A. I.

    2017-02-01

    The thermal effusivity of gold, aluminum, and copper thin films of nanometric thickness (20 nm to 200 nm) was investigated in terms of the films' thickness. The metallic thin films were deposited onto glass substrates by thermal evaporation, and the thermal effusivity was estimated by using experimental parameters such as the specific heat, thermal conductivity, and thermal diffusivity values obtained at room conditions. The specific heat, thermal conductivity, and thermal diffusivity values of the metallic thin films are determined with a methodology based on the behavior of the thermal profiles of the films when electrical pulses of few microseconds are applied at room conditions. For all the investigated materials, the thermal effusivity decreases with decreased thickness. The thermal effusivity values estimated by the presented methodology are consistent with other reported values obtained under vacuum conditions and more elaborated methodologies.

  3. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  4. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  5. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    Science.gov (United States)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  6. Fabrication and Characterization of Mg-Doped GaN Nanowires

    International Nuclear Information System (INIS)

    Dong-Dong, Zhang; Cheng-Shan, Xue; Hui-Zhao, Zhuang; Ying-Long, Huang; Zou-Ping, Wang; Ying, Wang; Yong-Fu, Guo

    2008-01-01

    Mg-doped GaN nanowires have been synthesized by ammoniating Ga 2 O 3 films doped with Mg under flowing ammonia atmosphere at 850° C. The Mg-doped GaN nanowires are characterized by x-ray diffraction (XRD), scanning electron microscope (SEM), high-resolution transmission electron microscopy (HRTEM) and photo-luminescence (PL). The results demonstrate that the nanowires are single crystalline with hexagonal wurzite structure. The diameters of the nanowires are 20–30 nm and the lengths are 50–100 μm. The GaN nanowires show three emission bands with well-defined PL peak at 3.45 eV, 3.26 eV, 2.95 eV, respectively. The large distinct blueshift of the bandgap emission can be attributed to the Burstein–Moss effect. The peak at 3.26 eV represents the transition from the conduction-band edge to the acceptor level AM (acceptor Mg). The growth mechanism of crystalline GaN nanowires is discussed briefly. (cross-disciplinary physics and related areas of science and technology)

  7. Through-thickness thermal conductivity enhancement of graphite film/epoxy composite via short duration acidizing modification

    Science.gov (United States)

    Wang, Han; Wang, Shaokai; Lu, Weibang; Li, Min; Gu, Yizhou; Zhang, Yongyi; Zhang, Zuoguang

    2018-06-01

    Graphite films have excellent in-plane thermal conductivity but extremely low through-thickness thermal conductivity because of their intrinsic inter-layer spaces. To improve the inter-layer heat transfer of graphite films, we developed a simple interfacial modification with a short duration mixed-acid treatment. The effects of the mixture ratio of sulfuric and nitric acids and treatment time on the through-thickness thermal properties of graphite films were studied. The modification increased the through-thickness thermal conductivity by 27% and 42% for the graphite film and its composite, respectively. X-ray photoelectron spectroscopy, X-ray powder diffraction, and scanning electron microscopy results indicated that the acidification process had two competing effects: the positive contribution made by the enhanced interaction between the graphite layers induced by the functional groups and the negative effect from the destruction of the graphite layers. As a result, an optimal acidification method was found to be sulfuric/nitric acid treatment with a mixture ratio of 3:1 for 15 min. The resultant through-thickness thermal conductivity of the graphite film could be improved to 0.674 W/mK, and the corresponding graphite/epoxy composite shows a through-thickness thermal conductivity of 0.587 W/mK. This method can be directly used for graphite films and their composite fabrication to improve through-thickness thermal conductivity.

  8. Polymer Thick-Film Sensors: Possibilities for Smartcard Biometrics

    NARCIS (Netherlands)

    Henderson, N.J.; Papakostas, T.V.; White, N.M.; Hartel, Pieter H.

    In this paper the potential of polymer thick-film sensors are assessed for use as biometric sensors on smartcards. Piezoelectric and piezoresistive sensors have been printed on flexible polyester, then bonded to smartcard blanks. The tactile interaction of a person with these sensors has been

  9. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  10. Identification of deep levels in GaN associated with dislocations

    International Nuclear Information System (INIS)

    Soh, C B; Chua, S J; Lim, H F; Chi, D Z; Liu, W; Tripathy, S

    2004-01-01

    To establish a correlation between dislocations and deep levels in GaN, a deep-level transient spectroscopy study has been carried out on GaN samples grown by metalorganic chemical vapour deposition. In addition to typical undoped and Si-doped GaN samples, high-quality crack-free undoped GaN film grown intentionally on heavily doped cracked Si-doped GaN and cracked AlGaN templates are also chosen for this study. The purpose of growth of such continuous GaN layers on top of the cracked templates is to reduce the screw dislocation density by an order of magnitude. Deep levels in these layers have been characterized and compared with emphasis on their thermal stabilities and capture kinetics. Three electron traps at E c -E T ∼0.10-0.11, 0.24-0.27 and 0.59-0.63 eV are detected common to all the samples while additional levels at E c -E T ∼0.18 and 0.37-0.40 eV are also observed in the Si-doped GaN. The trap levels exhibit considerably different stabilities under rapid thermal annealing. Based on the observations, the trap levels at E c -E T ∼0.18 and 0.24-0.27 eV can be associated with screw dislocations, whereas the level at E c -E T ∼0.59-0.63 eV can be associated with edge dislocations. This is also in agreement with the transmission electron microscopy measurements conducted on the GaN samples

  11. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  12. Film thickness measurement based on nonlinear phase analysis using a Linnik microscopic white-light spectral interferometer.

    Science.gov (United States)

    Guo, Tong; Chen, Zhuo; Li, Minghui; Wu, Juhong; Fu, Xing; Hu, Xiaotang

    2018-04-20

    Based on white-light spectral interferometry and the Linnik microscopic interference configuration, the nonlinear phase components of the spectral interferometric signal were analyzed for film thickness measurement. The spectral interferometric signal was obtained using a Linnik microscopic white-light spectral interferometer, which includes the nonlinear phase components associated with the effective thickness, the nonlinear phase error caused by the double-objective lens, and the nonlinear phase of the thin film itself. To determine the influence of the effective thickness, a wavelength-correction method was proposed that converts the effective thickness into a constant value; the nonlinear phase caused by the effective thickness can then be determined and subtracted from the total nonlinear phase. A method for the extraction of the nonlinear phase error caused by the double-objective lens was also proposed. Accurate thickness measurement of a thin film can be achieved by fitting the nonlinear phase of the thin film after removal of the nonlinear phase caused by the effective thickness and by the nonlinear phase error caused by the double-objective lens. The experimental results demonstrated that both the wavelength-correction method and the extraction method for the nonlinear phase error caused by the double-objective lens improve the accuracy of film thickness measurements.

  13. Fabrication and characterization of thick-film piezoelectric lead zirconate titanate ceramic resonators by tape-casting.

    Science.gov (United States)

    Qin, Lifeng; Sun, Yingying; Wang, Qing-Ming; Zhong, Youliang; Ou, Ming; Jiang, Zhishui; Tian, Wei

    2012-12-01

    In this paper, thick-film piezoelectric lead zirconate titanate (PZT) ceramic resonators with thicknesses down to tens of micrometers have been fabricated by tape-casting processing. PZT ceramic resonators with composition near the morphotropic phase boundary and with different dopants added were prepared for piezoelectric transducer applications. Material property characterization for these thick-film PZT resonators is essential for device design and applications. For the property characterization, a recently developed normalized electrical impedance spectrum method was used to determine the electromechanical coefficient and the complex piezoelectric, elastic, and dielectric coefficients from the electrical measurement of resonators using thick films. In this work, nine PZT thick-film resonators have been fabricated and characterized, and two different types of resonators, namely thickness longitudinal and transverse modes, were used for material property characterization. The results were compared with those determined by the IEEE standard method, and they agreed well. It was found that depending on the PZT formulation and dopants, the relative permittivities ε(T)(33)/ε(0) measured at 2 kHz for these thick-films are in the range of 1527 to 4829, piezoelectric stress constants (e(33) in the range of 15 to 26 C/m(2), piezoelectric strain constants (d(31)) in the range of -169 × 10(-12) C/N to -314 × 10(-12) C/N, electromechanical coupling coefficients (k(t)) in the range of 0.48 to 0.53, and k(31) in the range of 0.35 to 0.38. The characterization results shows tape-casting processing can be used to fabricate high-quality PZT thick-film resonators, and the extracted material constants can be used to for device design and application.

  14. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  15. A density functional theory study of the TMG adsorption on the GaN surface

    Energy Technology Data Exchange (ETDEWEB)

    Ptasinska, Maria; Soltys, Jakub; Piechota, Jacek [Interdisciplinary Centre for Materials Modelling, University of Warsaw, ul. Pawinskiego 5a, 02-106 Warszawa (Poland); Krukowski, Stanislaw [Interdisciplinary Centre for Materials Modelling, University of Warsaw, ul. Pawinskiego 5a, 02-106 Warszawa (Poland); Institute of High Pressure Physics, Polish Academy of Sciences, ul. Sokolowska 29/37, 01-142 Warsaw (Poland)

    2011-07-01

    TMG (trimetylogallium) and NH{sub 3} (ammonia) are widely used reactants in the metal organic chemical vapor deposition (MOCVD) technique used in the growth of the GaN thin films. We have recently examined theoretically, with the help of the density functional theory (DFT), TMG adsorption on the GaN(0001) surface in order to study formation of bonds between Ga and N. Dangling bonds on the GaN(0001) surface were saturated with the hydrogen atoms. The slab polarization, which is due to the dangling bonds present on the GaN(0001) surface, and energy of the system in the vicinity of TMG was computed for different distances between the surface atoms and TMG. We also studied TMG diffusion on the GaN surface. As a result, the energy path for diffusion from Top N to Hollow was obtained.

  16. Three-Dimensional Hetero-Integration of Faceted GaN on Si Pillars for Efficient Light Energy Conversion Devices.

    Science.gov (United States)

    Kim, Dong Rip; Lee, Chi Hwan; Cho, In Sun; Jang, Hanmin; Jeon, Min Soo; Zheng, Xiaolin

    2017-07-25

    An important pathway for cost-effective light energy conversion devices, such as solar cells and light emitting diodes, is to integrate III-V (e.g., GaN) materials on Si substrates. Such integration first necessitates growth of high crystalline III-V materials on Si, which has been the focus of many studies. However, the integration also requires that the final III-V/Si structure has a high light energy conversion efficiency. To accomplish these twin goals, we use single-crystalline microsized Si pillars as a seed layer to first grow faceted Si structures, which are then used for the heteroepitaxial growth of faceted GaN films. These faceted GaN films on Si have high crystallinity, and their threading dislocation density is similar to that of GaN grown on sapphire. In addition, the final faceted GaN/Si structure has great light absorption and extraction characteristics, leading to improved performance for GaN-on-Si light energy conversion devices.

  17. State of the art in thin film thickness and deposition rate monitoring sensors

    International Nuclear Information System (INIS)

    Buzea, Cristina; Robbie, Kevin

    2005-01-01

    In situ monitoring parameters are indispensable for thin film fabrication. Among them, thickness and deposition rate control are often the most important in achieving the reproducibility necessary for technological exploitation of physical phenomena dependent on film microstructure. This review describes the types of thickness and deposition rate sensors and their theoretical and phenomenological background, underlining their performances, as well as advantages and disadvantages

  18. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    International Nuclear Information System (INIS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-01-01

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  19. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Qingxue [School of Physics, Shandong University, Jinan, 250100 (China); Liu, Rong [Department of Fundamental Theories, Shandong Institute of Physical Education and Sports, Jinan 250063 (China); Xiao, Hongdi, E-mail: hdxiao@sdu.edu.cn [School of Physics, Shandong University, Jinan, 250100 (China); Cao, Dezhong; Liu, Jianqiang; Ma, Jin [School of Physics, Shandong University, Jinan, 250100 (China)

    2016-11-30

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  20. The effect of wet film thickness on VOC emissions from a finishing varnish.

    Science.gov (United States)

    Lee, Shun-Cheng; Kwok, Ngai-Hong; Guo, Hai; Hung, Wing-Tat

    2003-01-20

    Finishing varnishes, a typical type of oil-based varnishes, are widely used to shine metal, wood trim and cabinet surfaces in Hong Kong. The influence of wet film thickness on volatile organic compound (VOC) emissions from a finishing varnish was studied in an environmental test chamber. The varnish was applied on an aluminium foil with three different wet film thickness (35.2, 69.9 and 107.3 microm). The experimental conditions were 25.0 degrees C, 50.0% relative humidity (RH) with an air exchange rate of 0.5 h(-1). The concentrations of the major VOCs were monitored for the first 10 h. The air samples were collected by canisters and analysed by gas chromatography/mass selective detector (GC/MSD). Six major VOCs including toluene, chlorobenzene, ethylbenzene, m,p-xylene, o-xylene and 1,3,5-trimethylbenzene were identified and quantified. Marked differences were observed for three different film thicknesses. VOC concentrations increased rapidly during the first few hours and then decreased as the emission rates declined. The thicker the wet film, the higher the VOC emissions. A model expression included an exponentially decreasing emission rate of varnish film. The concentration and time data measured in the chamber were used to determine the parameters of empirical emission rate model. The present work confirmed that the film thickness of varnish influenced markedly the concentrations and emissions of VOCs. Copyright 2002 Elsevier Science B.V.

  1. Dislocation core structures in Si-doped GaN

    International Nuclear Information System (INIS)

    Rhode, S. L.; Fu, W. Y.; Sahonta, S.-L.; Kappers, M. J.; Humphreys, C. J.; Horton, M. K.; Pennycook, T. J.; Dusane, R. O.; Moram, M. A.

    2015-01-01

    Aberration-corrected scanning transmission electron microscopy was used to investigate the core structures of threading dislocations in plan-view geometry of GaN films with a range of Si-doping levels and dislocation densities ranging between (5 ± 1) × 10 8  and (10 ± 1) × 10 9  cm −2 . All a-type (edge) dislocation core structures in all samples formed 5/7-atom ring core structures, whereas all (a + c)-type (mixed) dislocations formed either double 5/6-atom, dissociated 7/4/8/4/9-atom, or dissociated 7/4/8/4/8/4/9-atom core structures. This shows that Si-doping does not affect threading dislocation core structures in GaN. However, electron beam damage at 300 keV produces 4-atom ring structures for (a + c)-type cores in Si-doped GaN

  2. Dislocation core structures in Si-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rhode, S. L., E-mail: srhode@imperial.ac.uk; Fu, W. Y.; Sahonta, S.-L.; Kappers, M. J.; Humphreys, C. J. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Horton, M. K. [Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom); Pennycook, T. J. [SuperSTEM, STFC Daresbury Laboratories, Warrington WA4 4AD (United Kingdom); Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom); Dusane, R. O. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology Bombay, Mumbai 400076 (India); Moram, M. A. [Department of Materials Science and Metallurgy, University of Cambridge, Charles Babbage Road, Cambridge CB3 0FS (United Kingdom); Department of Materials, Imperial College London, Exhibition Road, London SW7 2AZ (United Kingdom)

    2015-12-14

    Aberration-corrected scanning transmission electron microscopy was used to investigate the core structures of threading dislocations in plan-view geometry of GaN films with a range of Si-doping levels and dislocation densities ranging between (5 ± 1) × 10{sup 8} and (10 ± 1) × 10{sup 9} cm{sup −2}. All a-type (edge) dislocation core structures in all samples formed 5/7-atom ring core structures, whereas all (a + c)-type (mixed) dislocations formed either double 5/6-atom, dissociated 7/4/8/4/9-atom, or dissociated 7/4/8/4/8/4/9-atom core structures. This shows that Si-doping does not affect threading dislocation core structures in GaN. However, electron beam damage at 300 keV produces 4-atom ring structures for (a + c)-type cores in Si-doped GaN.

  3. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  4. Design of instantaneous liquid film thickness measurement system for conductive or non-conductive fluid with high viscosity

    Directory of Open Access Journals (Sweden)

    Yongxin Yu

    2017-06-01

    Full Text Available In the paper, a new capacitive sensor with a dielectric film coating was designed to measure the thickness of the liquid film on a flat surface. The measured medium can be conductive or non-conductive fluid with high viscosity such as silicone oil, syrup, CMC solution and melt. With the dielectric film coating, the defects caused by the humidity in a capacitor can be avoided completely. With a excitation frequency 0-20kHz, the static permittivity of capacitive sensor is obtained and stable when small thicknesses are monitored within the frequency of 0-3kHz. Based on the measurement principle, an experimental system was designed and verified including calibration and actual measurement for different liquid film thickness. Experimental results showed that the sensitivity, the resolution, repeatability and linear range of the capacitive sensor are satisfied to the liquid film thickness measurement. Finally, the capacitive measuring system was successfully applied to the water, silicone oil and syrup film thickness measurement.

  5. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Science.gov (United States)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  6. Thermal characterization of polycrystalline diamond thin film heat spreaders grown on GaN HEMTs

    Science.gov (United States)

    Zhou, Yan; Ramaneti, Rajesh; Anaya, Julian; Korneychuk, Svetlana; Derluyn, Joff; Sun, Huarui; Pomeroy, James; Verbeeck, Johan; Haenen, Ken; Kuball, Martin

    2017-07-01

    Polycrystalline diamond (PCD) was grown onto high-k dielectric passivated AlGaN/GaN-on-Si high electron mobility transistor (HEMT) structures, with film thicknesses ranging from 155 to 1000 nm. Transient thermoreflectance results were combined with device thermal simulations to investigate the heat spreading benefit of the diamond layer. The observed thermal conductivity (κDia) of PCD films is one-to-two orders of magnitude lower than that of bulk PCD and exhibits a strong layer thickness dependence, which is attributed to the grain size evolution. The films exhibit a weak temperature dependence of κDia in the measured 25-225 °C range. Device simulation using the experimental κDia and thermal boundary resistance values predicts at best a 15% reduction in peak temperature when the source-drain opening of a passivated AlGaN/GaN-on-Si HEMT is overgrown with PCD.

  7. Physics, MOVPE growth and investigation of m-plane GaN films and InGaN/GaN quantum wells on γ-LiAlO2 substrates

    International Nuclear Information System (INIS)

    Mauder, Christof

    2011-01-01

    The growth of InGaN/GaN quantum well structures along a nonpolar orientation avoids the negative effects of the so-called ''Quantum Confined Stark Effect'' and is therefore considered as promising approach to improve wavelength stability and efficiency of future optoelectronic devices. This work describes physical principles and experimental results on metal-organic vapor phase epitaxy and characterization of GaN layers and InGaN/GaN quantum well structures, which grow along the nonpolar (1-100) m-plane on (100) lithium aluminum oxide (LiAlO 2 ) substrates. The limited thermal and chemical stability of the LiAlO 2 substrate can be improved by a nitridation step, which causes the formation of a thin (1-100) AlN layer on the surface of the LiAlO 2 . This enables the phase-pure deposition of high-quality and smooth (1-100) GaN layers. The low lattice mismatch of (1-100) GaN to (100) LiAlO 2 allows for a coherent growth of thin films, which show strong in-plane compressive strain. Due to the absence of a suitable slip plane, this strain relaxes only partly for layer thicknesses up to 1.7 μm. Low densities of line and planar defects compared to other heteroepitaxially deposited nonpolar GaN layers were assessed by X-ray diffraction (XRD), transmission electron microscopy (TEM) and electron channelling contrast imaging microscopy (ECCI). The surface of the GaN layers is dominated by macroscopic hillocks, which are elongated along the c-axis direction and result in an average root mean square (RMS) roughness of ∝ 20 nm in a 50 x 50 μm 2 scan area. Spiral growth around line defects is seen as most likely cause for this effect. In a microscopic scale, one can detect a stripe pattern, which is formed by 2-3 nm high steps aligned parallel to the c-axis. An anisotropic growth mode is assumed responsible for this appearance. Between these steps, much smoother areas with typical RMS roughness of 0.2 nm (for a 0.5 x 0.5 μm 2 scan) is found, which is also an indication for

  8. Charge transport in films of Geobacter sulfurreducens on graphite electrodes as a function of film thickness

    KAUST Repository

    Jana, Partha Sarathi; Katuri, Krishna; Kavanagh, Paul; Kumar, Amit Ravi Pradeep; Leech, Dó nal

    2014-01-01

    Harnessing, and understanding the mechanisms of growth and activity of, biofilms of electroactive bacteria (EAB) on solid electrodes is of increasing interest, for application to microbial fuel and electrolysis cells. Microbial electrochemical cell technology can be used to generate electricity, or higher value chemicals, from organic waste. The capability of biofilms of electroactive bacteria to transfer electrons to solid anodes is a key feature of this emerging technology, yet the electron transfer mechanism is not fully characterized as yet. Acetate oxidation current generated from biofilms of an EAB, Geobacter sulfurreducens, on graphite electrodes as a function of time does not correlate with film thickness. Values of film thickness, and the number and local concentration of electrically connected redox sites within Geobacter sulfurreducens biofilms as well as a charge transport diffusion co-efficient for the biofilm can be estimated from non-turnover voltammetry. The thicker biofilms, of 50 ± 9 μm, display higher charge transport diffusion co-efficient than that in thinner films, as increased film porosity of these films improves ion transport, required to maintain electro-neutrality upon electrolysis. This journal is © the Partner Organisations 2014.

  9. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  10. A study for anticorrosion and tribological behaviors of thin/thick diamond-like carbon films in seawater

    Science.gov (United States)

    Ye, Yewei; Jia, Shujuan; Zhang, Dawei; Liu, Wei; Zhao, Haichao

    2018-03-01

    The thin and thick diamond-like carbon (DLC) films were prepared by unbalanced magnetron sputtering technique on 304L stainless steels and (100) silicon wafers. Microstructure, mechanical, corrosion and tribological properties were systematically investigated by SEM, Raman, nanoindenter, scratch tester, modulab electrochemical workstation and R-tec multifunctional tribological tester. Results showed that the adhesion force presented a descending trend with the growth in soaking time. The adhesion force of the thin DLC film with high residual compressive stress (‑3.72 GPa) was higher than that of the thick DLC film (‑2.96 GPa). During the corrosion test, the thick DLC film showed a higher impendence and a lower corrosion current density than the thin DLC film, which is attributed to the barrier action of large thickness. Compared to bare 304L substrate, the friction coefficients and wear rates of DLC films in seawater were obviously decreased. Meanwhile, the thin DLC film with ideal residual compressive stress, super adhesion force and good plastic deformation resistance revealed an excellent anti-wear ability in seawater.

  11. Gallium adsorption on (0001) GaN surfaces

    International Nuclear Information System (INIS)

    Adelmann, Christoph; Brault, Julien; Mula, Guido; Daudin, Bruno; Lymperakis, Liverios; Neugebauer, Joerg

    2003-01-01

    We study the adsorption behavior of Ga on (0001) GaN surfaces combining experimental specular reflection high-energy electron diffraction with theoretical investigations in the framework of a kinetic model for adsorption and ab initio calculations of energy parameters. Based on the experimental results we find that for substrate temperatures and Ga fluxes typically used in molecular-beam epitaxy of GaN, finite equilibrium Ga surface coverages can be obtained. The measurement of a Ga/GaN adsorption isotherm allows the quantification of the equilibrium Ga surface coverage as a function of the impinging Ga flux. In particular, we show that a large range of Ga fluxes exists, where 2.5±0.2 monolayers (in terms of the GaN surface site density) of Ga are adsorbed on the GaN surface. We further demonstrate that the structure of this adsorbed Ga film is in good agreement with the laterally contracted Ga bilayer model predicted to be most stable for strongly Ga-rich surfaces [Northrup et al., Phys. Rev. B 61, 9932 (2000)]. For lower Ga fluxes, a discontinuous transition to Ga monolayer equilibrium coverage is found, followed by a continuous decrease towards zero coverage; for higher Ga fluxes, Ga droplet formation is found, similar to what has been observed during Ga-rich GaN growth. The boundary fluxes limiting the region of 2.5 monolayers equilibrium Ga adsorption have been measured as a function of the GaN substrate temperature giving rise to a Ga/GaN adsorption phase diagram. The temperature dependence is discussed within an ab initio based growth model for adsorption taking into account the nucleation of Ga clusters. This model consistently explains recent contradictory results of the activation energy describing the critical Ga flux for the onset of Ga droplet formation during Ga-rich GaN growth [Heying et al., J. Appl. Phys. 88, 1855 (2000); Adelmann et al., J. Appl. Phys. 91, 9638 (2002).

  12. The determination of the pressure viscosity coefficient of a lubricant through an accurate film thickness formula and accurate film thickness measurements

    NARCIS (Netherlands)

    Leeuwen, van H.J.

    2009-01-01

    The pressure viscosity coefficient is an indispensable property in the EHD lubrication of hard contacts, but often not known. A guess will easily lead to enormous errors in the film thickness. This paper describes a method to deduct this coefficient by adapting the value of the pressure viscosity

  13. The determination of the pressure-viscosity coefficient of two traction oils using film thickness measurements

    NARCIS (Netherlands)

    Leeuwen, van H.J.

    2010-01-01

    The pressure-viscosity coefficients of two commercial traction fluids are determined by fitting calculation results on accurate film thickness measurements, obtained at a wide range of speeds, and different temperatures. Film thickness values are calculated using a numerical method and approximation

  14. Effect of Ni Doping on Gas Sensing Performance of ZnO Thick Film Resistor

    Directory of Open Access Journals (Sweden)

    M. K. DEORE

    2010-11-01

    Full Text Available This work investigates the use of ZnO-NiO as a H2S metal oxide thick film gas sensor. To find the optimum ratio of NiO to ZnO, two compositions were prepared using different molecular percentages and prepared as a thick film paste. These pastes were then screen-printed onto glass substrates with suitable binder. The final composition of each film was determined using SEM analysis. The films were used to detect CO, CL2, ethanol, Amonia and H2S. For each composition tested, the highest responses where displayed for H2S gas. The Thick film having composition of equal molar ZnO and NiO shows the highest response at operating temp. 350 0C for 100 ppm level. The gas response, selectivity, response and recovery time of the sensor were measured and presented. The role played by NiO species is to improve the gas sensing performance is discussed.

  15. Quantifying Local Thickness and Composition in Thin Films of Organic Photovoltaic Blends by Raman Scattering

    KAUST Repository

    Rodríguez-Martínez, Xabier

    2017-07-06

    We report a methodology based on Raman spectroscopy that enables the non-invasive and fast quantitative determination of local thickness and composition in thin films (from few monolayers to hundreds of nm) of one or more components. We apply our methodology to blends of organic conjugated materials relevant in the field of organic photovoltaics. As a first step, we exploit the transfer-matrix formalism to describe the Raman process in thin films including reabsorption and interference effects of the incoming and scattered electric fields. This allows determining the effective solid-state Raman cross-section of each material by studying the dependence of the Raman intensity on film thickness. These effective cross sections are then used to estimate the local thickness and composition in a series of polymer:fullerene blends. We find that the model is accurate within ±10 nm in thickness and ±5 vol% in composition provided that (i) the film thickness is kept below the thickness corresponding to the first maximum of the calculated Raman intensity oscillation; (ii) the materials making up the blend show close enough effective Raman cross-sections; and (iii) the degree of order attained by the conjugated polymer in the blend is similar to that achieved when cast alone. Our methodology opens the possibility to make quantitative maps of composition and thickness over large areas (from microns to centimetres squared) with diffraction-limited resolution and in any multi-component system based thin film technology.

  16. Performances of screen-printing silver thick films: Rheology, morphology, mechanical and electronic properties

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Jung-Shiun; Liang, Jau-En; Yi, Han-Liou [Department of Chemical Engineering, National Chung Cheng University, Chia Yi 621, Taiwan, ROC (China); Chen, Shu-Hua [China Steel Corporation, Kaohsiung City 806, Taiwan, ROC (China); Hua, Chi-Chung, E-mail: chmcch@ccu.edu.tw [Department of Chemical Engineering, National Chung Cheng University, Chia Yi 621, Taiwan, ROC (China)

    2016-06-15

    Numerous recent applications with inorganic solar cells and energy storage electrodes make use of silver pastes through processes like screen-printing to fabricate fine conductive lines for electron conducting purpose. To date, however, there have been few studies that systematically revealed the properties of the silver paste in relation to the mechanical and electronic performances of screen-printing thick films. In this work, the rheological properties of a series of model silver pastes made of silver powders of varying size (0.9, 1.3, and 1.5 μm) and shape (irregular and spherical) were explored, and the results were systematically correlated with the morphological feature (scanning electron microscopy, SEM) and mechanical (peeling test) and electronic (transmission line method, TLM) performances of screen-printing dried or sintered thick films. We provided evidence of generally intimate correlations between the powder dispersion state in silver pastes—which is shown to be well captured by the rheological protocols employed herein—and the performances of screen-printing thick films. Overall, this study suggests the powder dispersion state and the associated phase behavior of a paste sample can significantly impact not only the morphological and electronic but also mechanical performances of screen-printing thick films, and, in future perspectives, a proper combination of silver powders of different sizes and even shapes could help reconcile quality and stability of an optimum silver paste. - Highlights: • Powder dispersion correlates well with screen-printing thick film performances. • Rheological fingerprints can be utilized to fathom the powder dispersion state. • Good polymer-powder interactions in the paste ensure good powder dispersion. • Time-dependent gel-like viscoelastic features are found with optimum silver pastes. • The size and shape of functional powder affect the dispersion and film performances.

  17. Electrical and Gas Sensing Properties of SnO2 Thick Film Resistors Prepared by Screen-printing Method

    Directory of Open Access Journals (Sweden)

    R. Y. BORSE

    2008-10-01

    Full Text Available Thick films of tin-oxide (SnO2 were deposited on alumina substrates employing screen-printing technique. The films were dried and fired at 680 0C for 30 minutes. The variation of D.C. resistance of thick films was measured in air as well as in H2S gas atmosphere as a function of temperature. The SnO2 films exhibit semiconducting behaviour. The SnO2 thick films studied were also showing decrease in resistance with increase of concentration of H2S gas. The film resistors showed the highest sensitivity to H2S gas at 350 0C. The XRD studies of the thick film indicate the presence of different phases of SnO2. The elemental analysis was confirmed by EDX spectra. The surface morphological study of the films was analyzed by SEM. The microstructure of the films was porous resulting from loosely interconnected small crystallites. The parameters such as grain size, activation energy, sensitivity and response time were described.

  18. Investigation on feasibility and detection limits for determination of coating film thickness by neutron activation analysis

    International Nuclear Information System (INIS)

    Yao Maoying; Xu Jiayun; Zhang Dida; Yang Zunyong; Yao Zhenqiang; Wang Mingqiu; Gao Dangzhong

    2010-01-01

    A method for the determination of coating film thickness by neutron activation was proposed in this paper. After Au, Al and Cu et al.films were activated with a Am-Be neutron source, the characteristic γ-rays emitted by the activated nuclides in the films were counted with a HPGe γ spectrometer. The detection limits of film thickness by using a nuclear reactor neutron source were deduced on the basis of the γ-ray counts and the Monte-Carlo simulated detection efficiencies. The possible detection limits are typically 4-5 orders of magnitude better than those by fluorescent X-ray method, which is currently widely used to determine coating film thickness. (authors)

  19. Impact of active layer thickness of nitrogen-doped In–Sn–Zn–O films on materials and thin film transistor performances

    Science.gov (United States)

    Li, Zhi-Yue; Yang, Hao-Zhi; Chen, Sheng-Chi; Lu, Ying-Bo; Xin, Yan-Qing; Yang, Tian-Lin; Sun, Hui

    2018-05-01

    Nitrogen-doped indium tin zinc oxide (ITZO:N) thin film transistors (TFTs) were deposited on SiO2 (200 nm)/p-Si〈1 0 0〉 substrates by RF magnetron sputtering at room temperature. The structural, chemical compositions, surface morphology, optical and electrical properties as a function of the active layer thickness were investigated. As the active layer thickness increases, Zn content decreases and In content increases gradually. Meanwhile, Sn content is almost unchanged. When the thickness of the active layer is more than 45 nm, the ITZO:N films become crystallized and present a crystal orientation along InN(0 0 2) plan. No matter what the thickness is, ITZO:N films always display a high transmittance above 80% in the visible region. Their optical band gaps fluctuate between 3.4 eV and 3.62 eV. Due to the dominance of low interface trap density and high carrier concentration, ITZO:N TFT shows enhanced electrical properties as the active layer thickness is 35 nm. Its field-effect mobility, on/off radio and sub-threshold swing are 17.53 cm2 V‑1 · s‑1, 106 and 0.36 V/dec, respectively. These results indicate that the suitable thickness of the active layer can enhance the quality of ITZO:N films and decrease the defects density of ITZO:N TFT. Thus, the properties of ITZO:N TFT can be optimized by adjusting the thickness of the active layer.

  20. Hydrogen in hydrogenated amorphous silicon thick film and its relation to the photoresponse of the film in contact with molybdenum

    International Nuclear Information System (INIS)

    Sridhar, N.; Chung, D.D.L.

    1992-01-01

    This paper reports that hydrogenated amorphous silicon films of thickness 0.5-7 μm on molybdenum substrates were deposited from silane by dc glow discharge and studied by mass spectrometric observation of the evolution of hydrogen upon heating and correlating this information with the photoresponse. The films were found to contain two types of hydrogen, namely weak bonded hydrogen, which evolved at 365 degrees C and was the minority, and strongly bonded hydrogen, which evolved at 460-670 degrees C and was the majority. The proportion of strongly bonded hydrogen increased with increasing film thickness and with increasing substrate temperature during deposition. The total amount of hydrogen increased when the substrate temperature was decreased from 350 to 275 degrees C. The strongly bonded hydrogen resided throughout the thickness of the film, whereas the weakly bonded hydrogen resided near the film surface. The evolution of the strongly bonded hydrogen was diffusion controlled, with an activation energy of 1.6 eV. The strongly bonded hydrogen enhanced the photoresponse, whereas the weakly bonded hydrogen degraded the photoresponse

  1. Simultaneous measurements of thickness and temperature profile in a wavy liquid film falling freely on a heating wall

    International Nuclear Information System (INIS)

    Lyu, T.; Mudawar, I.

    1990-01-01

    This paper reports on a technique for measuring the thickness of liquid films that was developed and tested. The feasibility of this technique was demonstrated in stagnant liquid films as well as in liquid jets. A procedure for in-situ calibration of the thickness probe was developed, allowing the adaptation of the probe to measurements of wavy liquid films. The thickness probe was constructed from a platinum-rhodium wire that was stretched across the film. A constant DC current was supplied through the probe wire, and film thickness was determined from variations in the probe voltage drop resulting from the large differences in the electrical resistances of the wetted and unwetted segments of the wire. Unlike electrical admittance thickness probes, the new probe did not require dissolving an electrolyte in the liquid, making the new probe well suited to studies involving sensible heating of a film of pure dielectric liquid that is in direct contact with a current- carrying wall. Also presented is a composite probe that facilitated simultaneous measurements of temperature profile across a wavy liquid film and film thickness. Experimental results demonstrate a strong influence of waviness on liquid temperature in a film of deionized water falling freely on the outside wall of a vertical, electrically heated tube for film Reynolds numbers smaller than 10,000

  2. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  3. Description of spin reorientation transition in Au/Co/Au sandwich with Co film thickness within a simple phenomenological model of ferromagnetic film

    International Nuclear Information System (INIS)

    Popov, A.P.

    2012-01-01

    Simple phenomenological model of ferromagnetic film characterized by equal energies of surface anisotropies at two sides of a film (symmetric film) is considered. The model is used to describe a two-step spin reorientation transition (SRT) in Au/Co/Au sandwich with Co film thickness: the SRT from perpendicular to canted noncollinear (CNC) state at N ⊥ =6.3 atomic layers and the subsequent SRT from CNC to in-plane state at N ∥ =10.05 atomic layers. Analytic expressions for the stability criterion of collinear perpendicular and in-plane states of a film are derived with account of discrete location of atomic layers. The dependence of borders that separate regions corresponding to various magnetic states of a film in the (k B ,k S )-diagram on film thickness N is established. k S (k B ) is surface (bulk) reduced anisotropy constant. The comparison of theory with experiment related to Au/Co/Au sandwich shows that there is a whole region in the (k B ,k S )-diagram corresponding to experimentally determined values of threshold film thicknesses N ⊥ =6.3 and N ∥ =10.05. The comparison of this region with similar region determined earlier for a bare Co/Au film within the same model of asymmetric film and characterized by N ⊥ =3.5, N ∥ =5.5 shows that the intersection of these regions is not empty. Hence, both the SRT in Au/Co/Au sandwich and in bare Co/Au film with Co film thickness can be described within the same model using the same magnitudes of model parameters k S , k B . Based on this result we conclude that the energy of Neel surface anisotropy at free Co surface is negligible compared to the energy of Co–Au interface anisotropy. It is demonstrated that the destabilization of collinear states in symmetric film leads to occurrence of the ground CNC state and two novel metastable CNC states. These three CNC states exhibit different kinds of symmetry. In case of asymmetric film only ground CNC state occurs on destabilization of collinear states of a film

  4. Growth of BaTiO3-PVDF composite thick films by using aerosol deposition

    Science.gov (United States)

    Cho, Sung Hwan; Yoon, Young Joon

    2016-01-01

    Barium titanate (BaTiO3)-polyvinylidene fluoride (PVDF) composite thick films were grown by using aerosol deposition at room temperature with BaTiO3 and PVDF powders. To produce a uniform composition in ceramic and polymer composite films, which show a substantial difference in specific gravity, we used PVDF-coated BaTiO3 powders as the starting materials. An examination of the microstructure confirmed that the BaTiO3 were well distributed in the PVDF matrix in the form of a 0 - 3 compound. The crystallite size in the BaTiO3-PVDF composite thick films was 5 ˜ 50 times higher than that in pure BaTiO3 thick films. PVDF plays a role in suppressing the fragmentation of BaTiO3 powder during the aerosol deposition process and in controlling the relative permittivity.

  5. A comparative study on MOVPE InN grown on Ga- and N-polarity bulk GaN

    International Nuclear Information System (INIS)

    Wang, W.J.; Miwa, H.; Hashimoto, A.; Yamamoto, A.

    2006-01-01

    The influence of substrate polarity on the growth of InN film by MOVPE was investigated using bulk GaN as a substrate. Single-crystalline In- and N-polarity InN films were obtained on Ga- and N-polarity GaN substrate, respectively. Significant difference of the morphologies between the In- and N-polarity InN films was found. For the In-polarity InN film, the morphology was similar to that grown on sapphire substrate. The film surface was consisted of grains with small facets. In contrast, for the N-polarity InN film, the surface was consisted of large hexagonal shape crystal grains with flat surface. The grain size was about 2 μm in diameter on the average, and two-dimensional growth was enhanced obviously for each crystal grain. The influence of the growth temperature on the morphology, polarity, and optical property was also investigated. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. The influence of thickness on memory characteristic based on nonvolatile tuning behavior in poly(N-vinylcarbazole) films

    International Nuclear Information System (INIS)

    Sun, Yanmei; Ai, Chunpeng; Lu, Junguo; Li, Lei; Wen, Dianzhong; Bai, Xuduo

    2016-01-01

    The memory characteristic based on nonvolatile tuning behavior in indium tin oxide/poly(N-vinylcarbazole)/aluminum (ITO/PVK/Al) was investigated, the different memory behaviors were first observed in PVK film as the film thickness changing. By control of PVK film thickness with different spinning speeds, the nonvolatile behavior of ITO/PVK/Al sandwich structure can be tuned in a controlled manner. Obviously different nonvolatile behaviors, such as (i) flash memory behavior and (ii) write-once-read-many times (WORM) memory behavior are from the current–voltage (I–V) characteristics of the PVK films. The results suggest that the film thickness plays a key part in determining the memory type of the PVK. - Highlights: • The different memory behaviors were observed in PVK film. • The nonvolatile behavior of ITO/PVK/Al sandwich structure can be tuned. • The film thickness plays a key part in determining the memory type of the PVK.

  7. Low temperature (100 °C) atomic layer deposited-ZrO2 for recessed gate GaN HEMTs on Si

    Science.gov (United States)

    Byun, Young-Chul; Lee, Jae-Gil; Meng, Xin; Lee, Joy S.; Lucero, Antonio T.; Kim, Si Joon; Young, Chadwin D.; Kim, Moon J.; Kim, Jiyoung

    2017-08-01

    In this paper, the effect of atomic layer deposited ZrO2 gate dielectrics, deposited at low temperature (100 °C), on the characteristics of recessed-gate High Electron Mobility Transistors (HEMTs) on Al0.25Ga0.75N/GaN/Si is investigated and compared with the characteristics of those with ZrO2 films deposited at typical atomic layer deposited (ALD) process temperatures (250 °C). Negligible hysteresis (ΔVth 4 V), and low interfacial state density (Dit = 3.69 × 1011 eV-1 cm-2) were observed on recessed gate HEMTs with ˜5 nm ALD-ZrO2 films grown at 100 °C. The excellent properties of recessed gate HEMTs are due to the absence of an interfacial layer and an amorphous phase of the film. An interfacial layer between 250 °C-ZrO2 and GaN is observed via high-resolution transmission electron microscopy and X-ray photoelectron spectroscopy. However, 100 °C-ZrO2 and GaN shows no significant interfacial layer formation. Moreover, while 100 °C-ZrO2 films maintain an amorphous phase on either substrate (GaN and Si), 250 °C-ZrO2 films exhibit a polycrystalline-phase when deposited on GaN and an amorphous phase when deposited on Si. Contrary to popular belief, the low-temperature ALD process for ZrO2 results in excellent HEMT performance.

  8. MEMS Accelerometer with Screen Printed Piezoelectric Thick Film

    DEFF Research Database (Denmark)

    Hindrichsen, Christian Carstensen; Lau-Moeller, R.; Bove, T.

    2006-01-01

    A bulk-micromachined piezoelectric MEMS accelerometer with screen printed piezoelectric Pb(ZrxTil )O3(PZT) thick film (TF) as the sensing material has been fabricated and characterized. The accelerometer has a four beam structure with a central seismic mass (3600x3600x500 pm3) and a total chip size...

  9. Fabrication and characterization of MEMS-based PZT/PZT bimorph thick film vibration energy harvesters

    DEFF Research Database (Denmark)

    Xu, Ruichao; Lei, Anders; Dahl-Petersen, Christian

    2012-01-01

    We describe the fabrication and characterization of a significantly improved version of a microelectromechanical system-based PZT/PZT thick film bimorph vibration energy harvester with an integrated silicon proof mass; the harvester is fabricated in a fully monolithic process. The main advantage...... yield of 98%. The robust fabrication process allowed a high pressure treatment of the screen printed PZT thick films prior to sintering. The high pressure treatment improved the PZT thick film performance and increased the harvester power output to 37.1 μW at 1 g root mean square acceleration. We also...... characterize the harvester performance when only one of the PZT layers is used while the other is left open or short circuit....

  10. Gold nanoparticle plasmon resonance in near-field coupled Au NPs layer/Al film nanostructure: Dependence on metal film thickness

    Science.gov (United States)

    Yeshchenko, Oleg A.; Kozachenko, Viktor V.; Naumenko, Antonina P.; Berezovska, Nataliya I.; Kutsevol, Nataliya V.; Chumachenko, Vasyl A.; Haftel, Michael; Pinchuk, Anatoliy O.

    2018-05-01

    We study the effects of coupling between plasmonic metal nanoparticles and a thin metal film by using light extinction spectroscopy. A planar monolayer of gold nanoparticles located near an aluminum thin film (thicknesses within the range of 0-62 nm) was used to analyze the coupling between the monolayer and the thin metal film. SPR peak area increase for polymer coated Au NPs, non-monotonical behavior of the peak area for bare Au NPs, as well as red shift and broadening of SPR at the increase of the Al film thickness have been observed. These effects are rationalized as a result of coupling of the layer of Au NPs with Al film through the field of localized surface plasmons in Au NPs that causes the excitation of collective plasmonic gap mode in the nanostructure. An additional mechanism for bare Au NPs is the non-radiative damping of SPR that is caused by the electrical contact between metal NPs and film.

  11. The dependence of Raman scattering on Mg concentration in Mg-doped GaN grown by MBE

    International Nuclear Information System (INIS)

    Flynn, Chris; Lee, William

    2014-01-01

    Magnesium-doped GaN (GaN:Mg) films having Mg concentrations in the range 5 × 10 18 –5 × 10 20 cm −3 were fabricated by molecular beam epitaxy. Raman spectroscopy was employed to study the effects of Mg incorporation on the positions of the E 2 and A 1 (LO) lines identifiable in the Raman spectra. For Mg concentrations in excess of 2 × 10 19 cm −3 , increases in the Mg concentration shift both lines to higher wave numbers. The shifts of the Raman lines reveal a trend towards compressive stress induced by incorporation of Mg into the GaN films. The observed correlation between the Mg concentration and the Raman line positions establish Raman spectroscopy as a useful tool for optimizing growth of Mg-doped GaN. (papers)

  12. On the Correlation of Specific Film Thickness and Gear Pitting Life

    Science.gov (United States)

    Krantz, Timothy L.

    2015-01-01

    The effect of the lubrication regime on gear performance has been recognized, qualitatively, for decades. Often the lubrication regime is characterized by the specific film thickness defined as the ratio of lubricant film thickness to the composite surface roughness. It can be difficult to combine results of studies to create a cohesive and comprehensive dataset. In this work gear surface fatigue lives for a wide range of specific film values were studied using tests done with common rigs, speeds, lubricant temperatures, and test procedures. This study includes previously reported data, results of an additional 50 tests, and detailed information from lab notes and tested gears. The dataset comprised 258 tests covering specific film values (0.47 to 5.2). The experimentally determined surface fatigue lives, quantified as 10-percent life estimates, ranged from 8.7 to 86.8 million cycles. The trend is one of increasing life for increasing specific film. The trend is nonlinear. The observed trends were found to be in good agreement with data and recommended practice for gears and bearings. The results obtained will perhaps allow for the specific film parameter to be used with more confidence and precision to assess gear surface fatigue for purpose of design, rating, and technology development.

  13. Epitaxial growth of ultra-thin NbN films on AlxGa1−xN buffer-layers

    International Nuclear Information System (INIS)

    Krause, S; Meledin, D; Desmaris, V; Pavolotsky, A; Belitsky, V; Rudziński, M; Pippel, E

    2014-01-01

    The suitability of Al x Ga 1−x N epilayers to deposit onto ultra-thin NbN films has been demonstrated for the first time. High quality single-crystal films with 5 nm thickness confirmed by high resolution transmission electron microscopy (HRTEM) have been deposited in a reproducible manner by means of reactive DC magnetron sputtering at elevated temperatures and exhibit critical temperatures (T c ) as high as 13.2 K and residual resistivity ratio (RRR) ∼1 on hexagonal GaN epilayers. On increasing the Al content x in the Al x Ga 1−x N epilayer above 20%, a gradual deterioration of T c to 10 K was observed. Deposition of NbN on bare silicon substrates served as a reference and comparison. Excellent spatial homogeneity of the fabricated films was confirmed by R(T) measurements of patterned micro-bridges across the entire film area. The superconducting properties of these films were further characterized by critical magnetic field and critical current measurements. It is expected that the employment of GaN material as a buffer-layer for the deposition of ultra-thin NbN films will prospectively benefit terahertz electronics, particularly hot electron bolometer (HEB) mixers. (paper)

  14. Influence of a deep-level-defect band formed in a heavily Mg-doped GaN contact layer on the Ni/Au contact to p-GaN

    International Nuclear Information System (INIS)

    Li Xiao-Jing; Zhao De-Gang; Jiang De-Sheng; Chen Ping; Zhu Jian-Jun; Liu Zong-Shun; Yang Jing; He Xiao-Guang; Yang Hui; Zhang Li-Qun; Zhang Shu-Ming; Le Ling-Cong; Liu Jian-Ping

    2015-01-01

    The influence of a deep-level-defect (DLD) band formed in a heavily Mg-doped GaN contact layer on the performance of Ni/Au contact to p-GaN is investigated. The thin heavily Mg-doped GaN (p ++ -GaN) contact layer with DLD band can effectively improve the performance of Ni/Au ohmic contact to p-GaN. The temperature-dependent I–V measurement shows that the variable-range hopping (VRH) transportation through the DLD band plays a dominant role in the ohmic contact. The thickness and Mg/Ga flow ratio of p ++ -GaN contact layer have a significant effect on ohmic contact by controlling the Mg impurity doping and the formation of a proper DLD band. When the thickness of the p ++ -GaN contact layer is 25 nm thick and the Mg/Ga flow rate ratio is 10.29%, an ohmic contact with low specific contact resistivity of 6.97× 10 −4 Ω·cm 2 is achieved. (paper)

  15. Frequency characteristics of the MIM thick film capacitors fabricated by laser micro-cladding electronic pastes

    Energy Technology Data Exchange (ETDEWEB)

    Cao Yu; Li Xiangyou [Wuhan National Laboratory for Optoelectronics, Huazhong University of Sci and Tech, 430074 Wuhan, Hubei (China); Zeng Xiaoyan [Wuhan National Laboratory for Optoelectronics, Huazhong University of Sci and Tech, 430074 Wuhan, Hubei (China)], E-mail: xyzeng@mail.hust.edu.cn

    2008-05-25

    With rapid development of the electronic industry, how to respond the market requests quickly, shorten R and D prototyping fabrication period, and reduce the cost of the electronic devices have become a challenge work, which need flexible manufacturing methods. In this work, two direct write processing methods, direct material deposition by microPen and Nd:YAG laser micro-cladding, are integrated with CAD/CAM technology for the hybrid fabrication of passive electronic components. Especially, the metal-insulator-metal (MIM) type thick film capacitors are fabricated on ceramic substrates by this method. A basic two-step procedure of laser micro-cladding electronic pastes (LMCEPs) process for the thick film pattern preparation is presented. For a better understanding of the MIM thick film capacitor characterization, equivalent circuit models at low-frequency and high-frequency domains are introduced, respectively. The frequency characteristics tests up to 1.8 GHz of capacitance stability, equivalent series resistance (ESR), equivalent series inductance (ESL) and impendence are performed, and the results show good DC voltage stability (<2.48%), good frequency stability (<2.6%) and low dissipation factor (<0.6%) of the MIM thick film capacitors, which may get application to megahertz regions. The further developments of the LMCEP process for fabricating MIM thick film capacitors are also investigated.

  16. Frequency characteristics of the MIM thick film capacitors fabricated by laser micro-cladding electronic pastes

    International Nuclear Information System (INIS)

    Cao Yu; Li Xiangyou; Zeng Xiaoyan

    2008-01-01

    With rapid development of the electronic industry, how to respond the market requests quickly, shorten R and D prototyping fabrication period, and reduce the cost of the electronic devices have become a challenge work, which need flexible manufacturing methods. In this work, two direct write processing methods, direct material deposition by microPen and Nd:YAG laser micro-cladding, are integrated with CAD/CAM technology for the hybrid fabrication of passive electronic components. Especially, the metal-insulator-metal (MIM) type thick film capacitors are fabricated on ceramic substrates by this method. A basic two-step procedure of laser micro-cladding electronic pastes (LMCEPs) process for the thick film pattern preparation is presented. For a better understanding of the MIM thick film capacitor characterization, equivalent circuit models at low-frequency and high-frequency domains are introduced, respectively. The frequency characteristics tests up to 1.8 GHz of capacitance stability, equivalent series resistance (ESR), equivalent series inductance (ESL) and impendence are performed, and the results show good DC voltage stability (<2.48%), good frequency stability (<2.6%) and low dissipation factor (<0.6%) of the MIM thick film capacitors, which may get application to megahertz regions. The further developments of the LMCEP process for fabricating MIM thick film capacitors are also investigated

  17. Effect of Firing Temperature on Humidity Sensing Properties of SnO2 Thick Film Resistor

    Directory of Open Access Journals (Sweden)

    R. Y. Borse

    2009-12-01

    Full Text Available Thick films of SnO2 were prepared using standard screen printing technique. The films were dried and fired at different temperatures. Tin-oxide is an n-type wide band gap semiconductor, whose resistance is described as a function of relative humidity. An increasing firing temperature on SnO2 film increases the sensitivity to humidity. The parameters such as sensitivity, response times and hysteresis of the SnO2 film sensors have been evaluated. The thick films were characterized by XRD, SEM and EDAX and grain size, composition of elements, relative phases are obtained.

  18. Surface morphology of homoepitaxial GaN grown on non- and semipolar GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Hoffmann, Veit; Netzel, Carsten; Knauer, Arne; Weyers, Markus [FBH, Berlin (Germany); Ploch, Simon; Rass, Jens [Institute of Solid State Physics, TU Berlin (Germany); Schade, Lukas; Schwarz, Ulrich [IAF, Freiburg (Germany); Kneissl, Michael [FBH, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2010-07-01

    Recently a number of groups have reported laser diodes in the green spectral range on semi- and nonpolar GaN. Nevertheless the growth process on semipolar surfaces is not well understood. In this study 3.5 {mu} m thick MOVPE grown GaN layers on bulk m-plane, (11 anti 22), (10 anti 12), and (10 anti 11) GaN substrates were investigated. XRD rocking curves exhibit a FWHM of less than 150{sup ''}, indicating excellent crystalline quality. But the surface morphology exhibits hillocks with a height of 1 {mu}m and lateral extension of 150 {mu}m in many cases. Depending on the substrate orientation and the growth temperature different hillock shapes were observed. Morphology and luminescence data point to threading dislocations as formation sources. In QWs the hillock structure is reproduced in the emission intensity and wavelength distribution on (10 anti 11) but not on the m-plane surfaces. The hillocks could be eliminated for the semipolar planes (not for the m-plane) by increasing the reactor pressure and lowering the growth temperature. Hillock free separate confinement laser structures emitting at 405 nm feature a very homogeneous luminescence in micro-PL and show amplified spontaneous emission under high power stripe excitation. Furthermore the In incorporation was found to be highest in QWs on (10 anti 11).

  19. Simulation of optimum parameters for GaN MSM UV photodetector

    Energy Technology Data Exchange (ETDEWEB)

    Alhelfi, Mohanad A., E-mail: mhad12344@gmail.com; Ahmed, Naser M., E-mail: nas-tiji@yahoo.com; Hashim, M. R., E-mail: roslan@usm.my; Hassan, Z., E-mail: zai@usm.my [Institue of Nano-Optoelectronics Research and Technology (INOR), School of Physics, Universiti Sains Malaysia 11800 Penang (Malaysia); Al-Rawi, Ali Amer, E-mail: aliamer@unimap.edu.my [School of Computer and Communication Eng. 3st Floor, Pauh Putra Main Campus 02600 Arau, Perlis Malaysia (Malaysia)

    2016-07-06

    In this study the optimum parameters of GaN M-S-M photodetector are discussed. The evaluation of the photodetector depends on many parameters, the most of the important parameters the quality of the GaN film and others depend on the geometry of the interdigited electrode. In this simulation work using MATLAB software with consideration of the reflection and absorption on the metal contacts, a detailed study involving various electrode spacings (S) and widths (W) reveals conclusive results in device design. The optimum interelectrode design for interdigitated MSM-PD has been specified and evaluated by effect on quantum efficiency and responsivity.

  20. Shearing Nanometer-Thick Confined Hydrocarbon Films: Friction and Adhesion

    DEFF Research Database (Denmark)

    Sivebæk, I. M.; Persson, B. N. J.

    2016-01-01

    We present molecular dynamics (MD) friction and adhesion calculations for nanometer-thick confined hydrocarbon films with molecular lengths 20, 100 and 1400 carbon atoms. We study the dependency of the frictional shear stress on the confining pressure and sliding speed. We present results...

  1. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  2. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  3. Effect of thickness and temperature of copper phthalocyanine films on their properties

    Directory of Open Access Journals (Sweden)

    Alieva Kh. S.

    2012-06-01

    Full Text Available The research has shown that copper phthalocyanine films, having a set of unique properties, can be successfully used as gas-sensitive coating of resistive structures. The thickness of the film, in contrast to its temperature, is not the determining factor for high sensitivity. Low operating temperature of structures with copper phthalocyanine films allows to exploit them in economy mode.

  4. Structural effects of field emission from GaN nanofilms on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng-Cheng; Wang, Ru-Zhi, E-mail: wrz@bjut.edu.cn; Zhu, Man-Kang; Yan, Hui [College of Materials Science and Engineering, Beijing University of Technology, 100 Pingleyuan, Chaoyang District, Beijing 100124 (China); Liu, Peng [Department of Physics Tsinghua University, Tsinghua-Foxconn Nanotechnology Research Center, Beijing 100084 (China); Wang, Bi-Ben [College of Chemistry and Chemical Engineering, Chongqing University of Technology, Chongqing 400054 (China)

    2014-04-21

    GaN nanofilms (NFs) with different structures are grown on SiC substrates by pulsed laser deposition under different conditions. The synthesized GaN NFs are studied by X-ray diffraction, field-emission (FE) scanning electron microscopy, X-ray photoelectron spectroscopy, and atomic force microscopy. The GaN NFs are composed of diversified GaN nanoparticles with a diameter of 9–38 nm, thickness of 10–50 nm, and roughness of 0.22–13.03 nm. FE from the GaN NFs is structure dependent, which is explained by stress changing the band gap of the NFs. By structure modulation, the turn-on field of GaN NFs can be as low as 0.66 V/μm at a current density of 1 μA/cm{sup 2}, with a current density of up to 1.1 mA/cm{sup 2} at a field of 4.18 V/μm. Fowler-Nordheim curves of some samples contain multiple straight lines, which originate from the structural change and diversification of GaN nanoparticles under an applied field. Overall, our results suggest that GaN NFs with excellent FE properties can be prepared on SiC substrates, which provides a new route to fabricate high-efficiency FE nanodevices.

  5. Quantitative characterization of the composition, thickness and orientation of thin films in the analytical electron microscope

    International Nuclear Information System (INIS)

    Williams, D.B.; Watanabe, M.; Papworth, A.J.; Li, J.C.

    2003-01-01

    Compositional variations in thin films can introduce lattice-parameter changes and thus create stresses, in addition to the more usual stresses introduced by substrate-film mismatch, differential thermal expansion, etc. Analytical electron microscopy comprising X-ray energy-dispersive spectrometry within a probe-forming field-emission gun scanning transmission electron microscope (STEM) is one of the most powerful methods of composition measurement on the nanometer scale, essential for thin-film analysis. Recently, with the development of improved X-ray collection efficiencies and quantitative computation methods it has proved possible to map out composition variations in thin films with a spatial resolution approaching 1-2 nm. Because the absorption of X-rays is dependent on the film thickness, concurrent composition and film thickness determination is another advantage of X-ray microanalysis, thus correlating thickness and composition variations, either of which may contribute to stresses in the film. Specific phenomena such as segregation to interfaces and boundaries in the film are ideally suited to analysis by X-ray mapping. This approach also permits multiple boundaries to be examined, giving some statistical certainty to the analysis particularly in nano-crystalline materials with grain sizes greater than the film thickness. Boundary segregation is strongly affected by crystallographic misorientation and it is now possible to map out the orientation between many different grains in the (S)TEM

  6. Effects of Thickness, Pulse Duration, and Size of Strip Electrode on Ferroelectric Electron Emission of Lead Zirconate Titanate Films

    Science.gov (United States)

    Yaseen, Muhammad; Ren, Wei; Chen, Xiaofeng; Feng, Yujun; Shi, Peng; Wu, Xiaoqing

    2018-02-01

    Sol-gel-derived lead zirconate titanate (PZT) thin-film emitters with thickness up to 9.8 μm have been prepared on Pt/TiO2/SiO2/Si wafer via chemical solution deposition with/without polyvinylpyrrolidone (PVP) modification, and the relationship between the film thickness and electron emission investigated. Notable electron emission was observed on application of a trigger voltage of 120 V for PZT film with thickness of 1.1 μm. Increasing the film thickness decreased the threshold field to initiate electron emission for non-PVP-modified films. In contrast, the electron emission behavior of PVP-modified films did not show significant dependence on film thickness, probably due to their porous structure. The emission current increased with decreasing strip width and space between strips. Furthermore, it was observed that increasing the duration of the applied pulse increased the magnitude of the emission current. The stray field on the PZT film thickness was also calculated and found to increase with increasing ferroelectric sample thickness. The PZT emitters were found to be fatigue free up to 105 emission cycles. Saturated emission current of around 25 mA to 30 mA was achieved for the electrode pattern used in this work.

  7. Thickness dependent electrical properties of CdO thin films prepared by spray pyrolysis method

    International Nuclear Information System (INIS)

    Murthy, L.C.S.; Rao, K.S.R.K.

    1999-01-01

    A large number of thin films of cadmium oxide have been prepared on glass substrates by spray pyrolysis method. The prepared films have uniform thickness varying from 200-600 nm and good adherence to the glass substrate. A systematic study has been made on the influence of thickness on resistivity, sheet resistance, carrier concentration and mobility of the films. The resistivity, sheet resistance, carrier concentration and mobility values varied from 1.56-5.72x10 -3 Ω-cm, 128-189 Ω/□, 1.6-3.9x10 21 cm -3 and 0.3-3 cm 2 /Vs, respectively for varying film thickness. A systematic increase in mobility with grain size clearly indicates the reduction of overall scattering of charge carriers at the grain boundaries. The large concentration of charge carriers and low mobility values have been attributed to the presence of Cd as an impurity in CdO microcrystallites. Using the optical transmission data, the band gap was estimated and found to vary from 2.20-2.42 eV. These films have transmittance around 77% and average reflectance is below 2.6% in the spectral range 350-850 nm. The films are n-type and polycrystalline in nature. SEM micrographs of the CdO films were taken and the films exhibit clear grains and grain boundary formation at a substrate temperature as low as 523 K. (author)

  8. Preparation and Study the Electrical, Structural and Gas Sensing Properties of ZnO Thick Film Resistor

    Directory of Open Access Journals (Sweden)

    M. K. DEORE

    2010-08-01

    Full Text Available Thick films of AR grade ZnO were prepared on glass substrate by screen-printing technique. These films were dried and fired at different temperatures between 550 oC, 600 oC and 650 oC for one hour in air atmosphere. The gas sensing performance of thick films was tested for various gases. ZnO films showed larger response (sensitivity to H2S gas (100 ppm at 250 oC for firing temperature 650 oC. The Morphological, Compositional and Structural properties of the ZnO thick films were performed by Scanning electron microscopy (SEM, Energy dispersive spectroscopy (EDX and XRD technique respectively. Chemical composition of ZnO film samples changes with firing temperature showing non-stoichiometric behaviours. XRD study indicated the formation of polycrystalline ZnO films with hexagonal wurtzite structure. The gas response (sensitivity, selectivity, response and recovery time of the sensor were measured and presented.

  9. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States)

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  10. Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN: Insulating gallium oxide layer produced by thermal oxidation of gallium-polar GaN

    Energy Technology Data Exchange (ETDEWEB)

    Hossain, T. [Kansas State Univ., Manhattan, KS (United States); Wei, D. [Kansas State Univ., Manhattan, KS (United States); Nepal, N. [Naval Research Lab. (NRL), Washington, DC (United States); Garces, N. Y. [Naval Research Lab. (NRL), Washington, DC (United States); Hite, J. K. [Naval Research Lab. (NRL), Washington, DC (United States); Meyer, H. M. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Eddy, C. R. [Naval Research Lab. (NRL), Washington, DC (United States); Baker, Troy [Nitride Solutions, Wichita, KS (United States); Mayo, Ashley [Nitride Solutions, Wichita, KS (United States); Schmitt, Jason [Nitride Solutions, Wichita, KS (United States); Edgar, J. H. [Kansas State Univ., Manhattan, KS (United States)

    2014-02-24

    We report the benefits of dry oxidation of n -GaN for the fabrication of metal-oxide-semiconductor structures. GaN thin films grown on sapphire by MOCVD were thermally oxidized for 30, 45 and 60 minutes in a pure oxygen atmosphere at 850 °C to produce thin, smooth GaOx layers. Moreover, the GaN sample oxidized for 30 minutes had the best properties. Its surface roughness (0.595 nm) as measured by atomic force microscopy (AFM) was the lowest. Capacitance-voltage measurements showed it had the best saturation in accumulation region and the sharpest transition from accumulation to depletion regions. Under gate voltage sweep, capacitance-voltage hysteresis was completely absent. The interface trap density was minimum (Dit = 2.75×1010 cm–2eV–1) for sample oxidized for 30 mins. These results demonstrate a high quality GaOx layer is beneficial for GaN MOSFETs.

  11. Effect of thickness on structure, microstructure, residual stress and soft magnetic properties of DC sputtered Fe65Co35 soft magnetic thin films

    International Nuclear Information System (INIS)

    Prasanna Kumari, T.; Manivel Raja, M.; Kumar, Atul; Srinath, S.; Kamat, S.V.

    2014-01-01

    The effect of film thickness on structure, microstructure, residual stress and soft magnetic properties of Fe 65 Co 35 thin films deposited on Si(001) and MgO(001) substrates was investigated by varying film thickness from 30 to 600 nm. X-ray diffraction studies showed that the FeCo films are polycrystalline in the as-deposited condition irrespective of deposition on Si or MgO substrate. The microstructure of films consisted of spherical grains for 30 nm film thickness and columnar grains for all other film thicknesses. The grain size for the films was found to increase from 15 to 50 nm with increasing film thickness. The sputtered films also exhibited tensile residual stresses with the magnitude of stress decreasing with increasing film thickness. The Fe 65 Co 35 films deposited on both substrates also exhibited very good in-plane soft magnetic properties with a saturation magnetization 4πM s of ∼23.6–23.8 kG and coercivity of ∼27–30 Oe without any under-layer only for films with thickness of 30 nm. For all other thicknesses, these films exhibited a significantly higher coercivity. The observed variations in soft magnetic properties with film thickness were explained in terms of residual stress and microstructure of the films. - Highlights: • Spherical grain morphology transformed to columnar above 30 nm film thickness. • Sputtered films exhibited tensile residual stresses decreasing with film thickness. • An in-plane coercivity of ∼27–30 Oe was achieved without any under-layer

  12. Effect of cell thickness on the electrical and optical properties of thin film silicon solar cell

    Science.gov (United States)

    Zaki, A. A.; El-Amin, A. A.

    2017-12-01

    In this work Electrical and optical properties of silicon thin films with different thickness were measured. The thickness of the Si films varied from 100 to 800 μm. The optical properties of the cell were studied at different thickness. A maximum achievable current density (MACD) generated by a planar solar cell, was measured for different values of the cell thickness which was performed by using photovoltaic (PV) optics method. It was found that reducing the values of the cell thickness improves the open-circuit voltage (VOC) and the fill factor (FF) of the solar cell. The optical properties were measured for thin film Si (TF-Si) at different thickness by using the double beam UV-vis-NIR spectrophotometer in the wavelength range of 300-2000 nm. Some of optical parameters such as refractive index with dispersion relation, the dispersion energy, the oscillator energy, optical band gap energy were calculated by using the spectra for the TF-Si with different thickness.

  13. The Effects of Film Thickness and Evaporation Rate on Si-Cu Thin Films for Lithium Ion Batteries.

    Science.gov (United States)

    Polat, B Deniz; Keles, Ozgul

    2015-12-01

    The reversible cyclability of Si based composite anodes is greatly improved by optimizing the atomic ratio of Si/Cu, the thickness and the evaporation rates of films fabricated by electron beam deposition method. The galvanostatic test results show that 500 nm thick flim, having 10%at. Cu-90%at. Si, deposited with a moderate evaporation rate (10 and 0.9 Å/s for Si and Cu respectively) delivers 2642.37 mAh g(-1) as the first discharge capacity with 76% Coulombic efficiency. 99% of its initial capacity is retained after 20 cycles. The electron conductive pathway and high mechanical tolerance induced by Cu atoms, the low electrical resistivity of the film due to Cu3Si particles, and the homogeneously distributed nano-sized/amorphous particles in the composite thin film could explain this outstanding electrochemical performance of the anode.

  14. Excitonic transitions in homoepitaxial GaN

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Criado, G.; Cros, A.; Cantarero, A. [Materials Science Inst. and Dept. of Applied Physics, Univ. of Valencia (Spain); Miskys, C.R.; Ambacher, O.; Stutzmann, M. [Technische Univ. Muenchen, Garching (Germany). Walter-Schottky-Inst. fuer Physikalische Grundlagen der Halbleiterelektronik

    2001-11-08

    The photoluminescence spectrum of a high quality homoepitaxial GaN film has been measured as a function of temperature. As temperature increases the recombination of free excitons dominates the spectra. Their energy shift has successfully fitted in that temperature range by means of the Bose-Einstein expression instead of Varshni's relationship. Values for the parameters of both semi-empirical relations describing the energy shift are reported and compared with the literature. (orig.)

  15. Determination of oxide film thickness on aluminium using 14-MeV neutron activation and BET method

    International Nuclear Information System (INIS)

    Foerster, H.

    1983-01-01

    A new method is described for the determination of the mean film thickness of aluminium oxides by 14-MeV neutron activation analysis of the oxygen and by BET measurement of the surface area. The mean film thickness obtained is independent of the surface roughness. Stable oxide films consisting of only a few atomic layers of oxygen are detected on aluminium. (author)

  16. Thickness determination of large-area films of yttria-stabilized zirconia produced by pulsed laser deposition

    DEFF Research Database (Denmark)

    Pryds, N.; Christensen, Bo Toftmann; Bilde-Sørensen, Jørgen

    2006-01-01

    of the attenuation for various values of film thickness with the program CASINO. These results have been compared with direct measurements in the SEM of the film thickness on a cross-section on one of the wafers. The results of these measurements demonstrate the ability of this technique to accurately determine...

  17. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  18. Properties of second phase (BaSnO3, Sn) added-YBCO thick films

    International Nuclear Information System (INIS)

    Ban, E.; Matsuoka, Y.

    1997-01-01

    The improvement of the critical current density J c of YBCO thick films has been attempted by adding BaSnO 3 powder and ultrafine Sn particles, whose diameter is about 2 μm and 7 x 10 -2 μm, respectively. It was found that the addition of a small amount of these particles was effective for the enhancement of J c of thick films prepared by a liquid-phase processing method. The 1 wt.% BaSnO 3 films fired at T s =1040-1060 C and the 3 wt.% Sn films (T s =1030-1060 C) showed J c values (77 K, 0 T) of about 2.1-2.4 x 10 3 Acm -2 and 3.1-3.5 x 10 3 Acm -2 , respectively, as compared to 2.0 x 10 3 Acm -2 for the undoped films. (orig.)

  19. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  20. Reaction products between Bi-Sr-Ca-Cu-oxide thick films and alumina substrates

    International Nuclear Information System (INIS)

    Alarco, J.A.; Ilushechkin, A.; Yamashita, T.; Bhargava, A.; Barry, J.; Mackinnon, I.D.R.

    1997-01-01

    The structure and composition of reaction products between Bi-Sr-Ca-Cu-oxide (BSCCO) thick films and alumina substrates have been characterized using a combination of electron diffraction, scanning electron microscopy and energy dispersive X-ray spectrometry (EDX). Sr and Ca are found to be the most reactive cations with alumina. Sr 4 Al 6 O 12 SO 4 is formed between the alumina substrates and BSCCO thick films prepared from paste with composition close to Bi-2212 (and Bi-2212+10 wt.% Ag). For paste with composition close to Bi(Pb)-2223 +20 wt.% Ag, a new phase with f.c.c. structure, lattice parameter about a=24.5 A and approximate composition Al 3 Sr 2 CaBi 2 CuO x has been identified in the interface region. Understanding and control of these reactions is essential for growth of high quality BSCCO thick films on alumina. (orig.)