WorldWideScience

Sample records for thermal silicon layers

  1. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Youngseok Lee

    2012-01-01

    Full Text Available It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0 which improves the efficiency of HIT solar cell. The ultrathin thermal passivation silicon oxide (SiO2 layer was deposited by RTO system in the temperature range 500–950°C for 2 to 6 minutes. The thickness of the silicon oxide layer was affected by RTO annealing temperature and treatment time. The best value of surface recombination velocity was recorded for the sample treated at a temperature of 850°C for 6 minutes at O2 flow rate of 3 Lpm. A surface recombination velocity below 25 cm/s was obtained for the silicon oxide layer of 4 nm thickness. This ultrathin SiO2 layer was employed for the fabrication of HIT solar cell structure instead of a-Si:H, (i layer and the passivation and tunneling effects of the silicon oxide layer were exploited. The photocurrent was decreased with the increase of illumination intensity and SiO2 thickness.

  2. Rapid Thermal annealing of silicon layers amorphized by ion implantation

    International Nuclear Information System (INIS)

    Hasenack, C.M.

    1986-01-01

    The recrystallization behavior and the supression mechanisms of the residual defects of silicon layers amorphized by ion implantation, were investigated. The samples were annealed with the aid of a rapid thermal annealing (RTA) system at temperature range from 850 to 1200 0 C, and annealing time up to 120 s. Random and aligned Rutherford backscattering spectroscopy were used to analyse the samples. Similarities in the recrystallization behavior for layers implanted with ions of the same chemical groups such as As or Sb; Ge, Sn or Pb, In or Ga, are observed. The results show that the effective supression of resisual defects of the recrystallired layers is vinculated to the redistribution of impurities via thermal diffusion. (author) [pt

  3. Thermoelectric characteristics of Pt-silicide/silicon multi-layer structured p-type silicon

    International Nuclear Information System (INIS)

    Choi, Wonchul; Jun, Dongseok; Kim, Soojung; Shin, Mincheol; Jang, Moongyu

    2015-01-01

    Electric and thermoelectric properties of silicide/silicon multi-layer structured devices were investigated with the variation of silicide/silicon heterojunction numbers from 3 to 12 layers. For the fabrication of silicide/silicon multi-layered structure, platinum and silicon layers are repeatedly sputtered on the (100) silicon bulk substrate and rapid thermal annealing is carried out for the silicidation. The manufactured devices show ohmic current–voltage (I–V) characteristics. The Seebeck coefficient of bulk Si is evaluated as 195.8 ± 15.3 μV/K at 300 K, whereas the 12 layered silicide/silicon multi-layer structured device is evaluated as 201.8 ± 9.1 μV/K. As the temperature increases to 400 K, the Seebeck coefficient increases to 237.2 ± 4.7 μV/K and 277.0 ± 1.1 μV/K for bulk and 12 layered devices, respectively. The increase of Seebeck coefficient in multi-layered structure is mainly attributed to the electron filtering effect due to the Schottky barrier at Pt-silicide/silicon interface. At 400 K, the thermal conductivity is reduced by about half of magnitude compared to bulk in multi-layered device which shows the efficient suppression of phonon propagation by using Pt-silicide/silicon hetero-junctions. - Highlights: • Silicide/silicon multi-layer structured is proposed for thermoelectric devices. • Electric and thermoelectric properties with the number of layer are investigated. • An increase of Seebeck coefficient is mainly attributed the Schottky barrier. • Phonon propagation is suppressed with the existence of Schottky barrier. • Thermal conductivity is reduced due to the suppression of phonon propagation

  4. Ultrathin Oxide Passivation Layer by Rapid Thermal Oxidation for the Silicon Heterojunction Solar Cell Applications

    OpenAIRE

    Lee, Youngseok; Oh, Woongkyo; Dao, Vinh Ai; Hussain, Shahzada Qamar; Yi, Junsin

    2012-01-01

    It is difficult to deposit extremely thin a-Si:H layer in heterojunction with intrinsic thin layer (HIT) solar cell due to thermal damage and tough process control. This study aims to understand oxide passivation mechanism of silicon surface using rapid thermal oxidation (RTO) process by examining surface effective lifetime and surface recombination velocity. The presence of thin insulating a-Si:H layer is the key to get high Voc by lowering the leakage current (I0) which improves the efficie...

  5. Reduced thermal conductivity of isotopically modulated silicon multilayer structures

    DEFF Research Database (Denmark)

    Bracht, H.; Wehmeier, N.; Eon, S.

    2012-01-01

    We report measurements of the thermal conductivity of isotopically modulated silicon that consists of alternating layers of highly enriched silicon-28 and silicon-29. A reduced thermal conductivity of the isotopically modulated silicon compared to natural silicon was measured by means of time......-resolved x-ray scattering. Comparison of the experimental results to numerical solutions of the corresponding heat diffusion equations reveals a factor of three lower thermal conductivity of the isotope structure compared to natural Si. Our results demonstrate that the thermal conductivity of silicon can...

  6. Formation and properties of the buried isolating silicon-dioxide layer in double-layer “porous silicon-on-insulator” structures

    Energy Technology Data Exchange (ETDEWEB)

    Bolotov, V. V.; Knyazev, E. V.; Ponomareva, I. V.; Kan, V. E., E-mail: kan@obisp.oscsbras.ru; Davletkildeev, N. A.; Ivlev, K. E.; Roslikov, V. E. [Russian Academy of Sciences, Omsk Scientific Center, Siberian Branch (Russian Federation)

    2017-01-15

    The oxidation of mesoporous silicon in a double-layer “macroporous silicon–mesoporous silicon” structure is studied. The morphology and dielectric properties of the buried insulating layer are investigated using electron microscopy, ellipsometry, and electrical measurements. Specific defects (so-called spikes) are revealed between the oxidized macropore walls in macroporous silicon and the oxidation crossing fronts in mesoporous silicon. It is found that, at an initial porosity of mesoporous silicon of 60%, three-stage thermal oxidation leads to the formation of buried silicon-dioxide layers with an electric-field breakdown strength of E{sub br} ~ 10{sup 4}–10{sup 5} V/cm. Multilayered “porous silicon-on-insulator” structures are shown to be promising for integrated chemical micro- and nanosensors.

  7. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  8. Complex boron redistribution kinetics in strongly doped polycrystalline-silicon/nitrogen-doped-silicon thin bi-layers

    Energy Technology Data Exchange (ETDEWEB)

    Abadli, S. [Department of Electrical Engineering, University Aout 1955, Skikda, 21000 (Algeria); LEMEAMED, Department of Electronics, University Mentouri, Constantine, 25000 (Algeria); Mansour, F. [LEMEAMED, Department of Electronics, University Mentouri, Constantine, 25000 (Algeria); Pereira, E. Bedel [CNRS-LAAS, 7 avenue du colonel Roche, 31077 Toulouse (France)

    2012-10-15

    We have investigated the complex behaviour of boron (B) redistribution process via silicon thin bi-layers interface. It concerns the instantaneous kinetics of B transfer, trapping, clustering and segregation during the thermal B activation annealing. The used silicon bi-layers have been obtained by low pressure chemical vapor deposition (LPCVD) method at 480 C, by using in-situ nitrogen-doped-silicon (NiDoS) layer and strongly B doped polycrystalline-silicon (P{sup +}) layer. To avoid long-range B redistributions, thermal annealing was carried out at relatively low-temperatures (600 C and 700 C) for various times ranging between 30 min and 2 h. To investigate the experimental secondary ion mass spectroscopy (SIMS) doping profiles, a redistribution model well adapted to the particular structure of two thin layers and to the effects of strong-concentrations has been established. The good adjustment of the simulated profiles with the experimental SIMS profiles allowed a fundamental understanding about the instantaneous physical phenomena giving and disturbing the complex B redistribution profiles-shoulders. The increasing kinetics of the B peak concentration near the bi-layers interface is well reproduced by the established model. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  10. Buried oxide layer in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  11. Characterization of thermal, optical and carrier transport properties of porous silicon using the photoacoustic technique

    International Nuclear Information System (INIS)

    Sheng, Chan Kok; Mahmood Mat Yunus, W.; Yunus, Wan Md. Zin Wan; Abidin Talib, Zainal; Kassim, Anuar

    2008-01-01

    In this work, the porous silicon layer was prepared by the electrochemical anodization etching process on n-type and p-type silicon wafers. The formation of the porous layer has been identified by photoluminescence and SEM measurements. The optical absorption, energy gap, carrier transport and thermal properties of n-type and p-type porous silicon layers were investigated by analyzing the experimental data from photoacoustic measurements. The values of thermal diffusivity, energy gap and carrier transport properties have been found to be porosity-dependent. The energy band gap of n-type and p-type porous silicon layers was higher than the energy band gap obtained for silicon substrate (1.11 eV). In the range of porosity (50-76%) of the studies, our results found that the optical band-gap energy of p-type porous silicon (1.80-2.00 eV) was higher than that of the n-type porous silicon layer (1.70-1.86 eV). The thermal diffusivity value of the n-type porous layer was found to be higher than that of the p-type and both were observed to increase linearly with increasing layer porosity

  12. Rapid thermal process by RF heating of nano-graphene layer/silicon substrate structure: Heat explosion theory approach

    Science.gov (United States)

    Sinder, M.; Pelleg, J.; Meerovich, V.; Sokolovsky, V.

    2018-03-01

    RF heating kinetics of a nano-graphene layer/silicon substrate structure is analyzed theoretically as a function of the thickness and sheet resistance of the graphene layer, the dimensions and thermal parameters of the structure, as well as of cooling conditions and of the amplitude and frequency of the applied RF magnetic field. It is shown that two regimes of the heating can be realized. The first one is characterized by heating of the structure up to a finite temperature determined by equilibrium between the dissipated loss power caused by induced eddy-currents and the heat transfer to environment. The second regime corresponds to a fast unlimited temperature increase (heat explosion). The criterions of realization of these regimes are presented in the analytical form. Using the criterions and literature data, it is shown the possibility of the heat explosion regime for a graphene layer/silicon substrate structure at RF heating.

  13. Electrical properties of pressure quenched silicon by thermal spraying

    International Nuclear Information System (INIS)

    Tan, S.Y.; Gambino, R.J.; Sampath, S.; Herman, H.

    2007-01-01

    High velocity thermal spray deposition of polycrystalline silicon film onto single crystal substrates, yields metastable high pressure forms of silicon in nanocrystalline form within the deposit. The phases observed in the deposit include hexagonal diamond-Si, R-8, BC-8 and Si-IX. The peculiar attribute of this transformation is that it occurs only on orientation silicon substrate. The silicon deposits containing the high pressure phases display a substantially higher electrical conductivity. The resistivity profile of the silicon deposit containing shock induced metastable silicon phases identified by X-ray diffraction patterns. The density of the pressure induced polymorphic silicon is higher at deposit/substrate interface. A modified two-layer model is presented to explain the resistivity of the deposit impacted by the pressure induced polymorphic silicon generated by the thermal spraying process. The pressure quenched silicon deposits on the p - silicon substrate, with or without metastable phases, display the barrier potential of about 0.72 eV. The measured hall mobility value of pressure quenched silicon deposits is in the range of polycrystalline silicon. The significance of this work lies in the fact that the versatility of thermal spray may enable applications of these high pressure forms of silicon

  14. Influence of germanium on thermal dewetting and agglomeration of the silicon template layer in thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Zhang, P P; Yang, B; Rugheimer, P P; Roberts, M M; Savage, D E; Lagally, M G; Liu Feng

    2009-01-01

    We investigate the influence of heteroepitaxially grown Ge on the thermal dewetting and agglomeration of the Si(0 0 1) template layer in ultrathin silicon-on-insulator (SOI). We show that increasing Ge coverage gradually destroys the long-range ordering of 3D nanocrystals along the (1 3 0) directions and the 3D nanocrystal shape anisotropy that are observed in the dewetting and agglomeration of pure SOI(0 0 1). The results are qualitatively explained by Ge-induced bond weakening and decreased surface energy anisotropy. Ge lowers the dewetting and agglomeration temperature to as low as 700 0 C.

  15. Structural, optical and electrical properties of quasi-monocrystalline silicon thin films obtained by rapid thermal annealing of porous silicon layers

    International Nuclear Information System (INIS)

    Hajji, M.; Khardani, M.; Khedher, N.; Rahmouni, H.; Bessais, B.; Ezzaouia, H.; Bouchriha, H.

    2006-01-01

    Quasi-mono-crystalline silicon (QMS) layers have a top surface like crystalline silicon with small voids in the body. Such layers are reported to have a higher absorption coefficient than crystalline silicon at the interesting range of the solar spectrum for photovoltaic application. In this work we present a study of the structural, optical and electrical properties of quasimonocrystalline silicon thin films. Quasimonocrystalline silicon thin films were obtained from porous silicon, which has been annealed at a temperature ranging from 950 to 1050 deg. C under H 2 atmosphere for different annealing durations. The porous layers were prepared by conventional electrochemical anodization using a double tank cell and a HF / Ethanol electrolyte. Porous silicon is formed on highly doped p + -type silicon substrates that enable us to prevent back contacts for the anodization. Atomic Force Microscope (AFM) was used to study the morphological quality of the prepared layers. Optical properties were extracted from transmission and reflectivity spectra. Dark I-V characteristics were used to determine the electrical conductivity of quasimonocrystalline silicon thin films. Results show an important improvement of the absorption coefficient of the material and electrical conductivity reaches a value of twenty orders higher than that of starting mesoporous silicon

  16. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  17. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  18. Silicon transport in sputter-deposited tantalum layers grown under ion bombardment

    International Nuclear Information System (INIS)

    Gallais, P.; Hantzpergue, J.J.; Remy, J.C.; Roptin, D.

    1988-01-01

    Tantalum was sputter deposited on (111) Si substrate under low-energy ion bombardment in order to study the effects of the ion energy on the silicon transport into the Ta layer. The Si substrate was heated up to 500 0 C during growth. For ion energies up to 180 eV silicon is not transported into tantalum and the growth temperature has no effect. An ion bombardment energy of 280 eV enhances the transport of silicon throughout the tantalum layer. Growth temperatures up to 300 0 C have no effect on the silicon transport which is mainly enhanced by the ion bombardment. For growth temperatures between 300 and 500 0 C, the silicon transport is also enhanced by the thermal diffusion. The experimental depth distribution of silicon is similar to the theoretical depth distribution calculated for the case of an interdiffusion. The ion-enhanced process of silicon transport is characterized by an activation energy of 0.4 eV. Silicon into the layers as-grown at 500 0 C is in both states, amorphous silicide and microcrystalline cubic silicon

  19. Barrier layer arrangement for conductive layers on silicon substrates

    International Nuclear Information System (INIS)

    Hung, L.S.; Agostinelli, J.A.

    1990-01-01

    This patent describes a circuit element comprised of a silicon substrate and a conductive layer located on the substrate. It is characterized in that the conductive layer consists essentially of a rare earth alkaline earth copper oxide and a barrier layer triad is interposed between the silicon substrate and the conductive layer comprised of a first triad layer located adjacent the silicon substrate consisting essentially of silica, a third triad layer remote from the silicon substrate consisting essentially of a least one Group 4 heavy metal oxide, and a second triad layer interposed between the first and third triad layers consisting essentially of a mixture of silica and at lease one Group 4 heavy metal oxide

  20. Microscopic thermal characterization of HTR particle layers

    International Nuclear Information System (INIS)

    Rochais, D.; Le Meur, G.; Basini, V.; Domingues, G.

    2008-01-01

    This paper presents thermal diffusivity measurements of HTR fuel particle pyrolytic carbon layers at room temperature. The photoreflectance microscopy (PM) technique is used to characterize particle layers at a microscopic scale. Nevertheless, buffer layer needs a particular analysis due to its porous structure. Indeed, measurements by PM on this material only permit to obtain the thermal diffusivity of the solid skeleton, whose homogeneous zones surface does not exceed 100 μm 2 . These characteristics make, on the one hand, delicate the use of PM, and on the other hand, require the use of a numerical homogenization technique. This model takes into account the properties of gas confined in the pores, to simulate the conduction heat flux traveling through the layer in relation with its microstructure and to estimate an effective thermal conductivity of the entire layer. This approach is validated by infrared microscopy measurement of the effective thermal diffusivity of the especially elaborated thicker buffer layer. Last, the first tests to characterize the silicon carbide layer are presented

  1. Complex Boron Redistribution in P+ Doped-polysilicon / Nitrogen Doped Silicon Bi-layers during Activation Annealing

    Science.gov (United States)

    Abadli, S.; Mansour, F.; Perrera, E. Bedel

    We have investigated and modeled the complex phenomenon of boron (B) redistribution process in strongly doped silicon bilayers structure. A one-dimensional two stream transfer model well adapted to the particular structure of bi- layers and to the effects of strong-concentrations has been developed. This model takes into account the instantaneous kinetics of B transfer, trapping, clustering and segregation during the thermal B activation annealing. The used silicon bi-layers have been obtained by low pressure chemical vapor deposition (LPCVD) method, using in-situ nitrogen- doped-silicon (NiDoS) layer and strongly B doped polycrystalline-silicon (P+) layer. To avoid long redistributions, thermal annealing was carried out at relatively lowtemperatures (600 °C and 700 °C) for various times ranging between 30 minutes and 2 hours. The good adjustment of the simulated profiles with the experimental secondary ion mass spectroscopy (SIMS) profiles allowed a fundamental understanding about the instantaneous physical phenomena giving and disturbing the complex B redistribution profiles-shoulders kinetics.

  2. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  3. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  4. Method of forming buried oxide layers in silicon

    Science.gov (United States)

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  5. Ion beam studied of silicon oxynitride and silicon nitroxide thin layers

    International Nuclear Information System (INIS)

    Oude Elferink, J.B.

    1989-01-01

    In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab

  6. Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1998-01-01

    Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.

  7. Forming of nanocrystal silicon films by implantation of high dose of H+ in layers of silicon on isolator and following fast thermal annealing

    International Nuclear Information System (INIS)

    Tyschenko, I.E.; Popov, V.P.; Talochkin, A.B.; Gutakovskij, A.K.; Zhuravlev, K.S.

    2004-01-01

    Formation of nanocrystalline silicon films during rapid thermal annealing of the high-dose H + ion implanted silicon-on-insulator structures was studied. It was found, that Si nanocrystals had formed alter annealings at 300-400 deg C, their formation being strongly limited by the hydrogen content in silicon and also by the annealing time. It was supposed that the nucleation of crystalline phase occurred inside the silicon islands between micropores. It is conditioned by ordering Si-Si bonds as hydrogen atoms are leaving their sites in silicon network. No coalescence of micropores takes place during the rapid thermal annealing at the temperatures up to ∼ 900 deg C. Green-orange photoluminescence was observed on synthesized films at room temperature [ru

  8. Methods To Determine the Silicone Oil Layer Thickness in Sprayed-On Siliconized Syringes.

    Science.gov (United States)

    Loosli, Viviane; Germershaus, Oliver; Steinberg, Henrik; Dreher, Sascha; Grauschopf, Ulla; Funke, Stefanie

    2018-01-01

    The silicone lubricant layer in prefilled syringes has been investigated with regards to siliconization process performance, prefilled syringe functionality, and drug product attributes, such as subvisible particle levels, in several studies in the past. However, adequate methods to characterize the silicone oil layer thickness and distribution are limited, and systematic evaluation is missing. In this study, white light interferometry was evaluated to close this gap in method understanding. White light interferometry demonstrated a good accuracy of 93-99% for MgF 2 coated, curved standards covering a thickness range of 115-473 nm. Thickness measurements for sprayed-on siliconized prefilled syringes with different representative silicone oil distribution patterns (homogeneous, pronounced siliconization at flange or needle side, respectively) showed high instrument (0.5%) and analyst precision (4.1%). Different white light interferometry instrument parameters (autofocus, protective shield, syringe barrel dimensions input, type of non-siliconized syringe used as base reference) had no significant impact on the measured average layer thickness. The obtained values from white light interferometry applying a fully developed method (12 radial lines, 50 mm measurement distance, 50 measurements points) were in agreement with orthogonal results from combined white and laser interferometry and 3D-laser scanning microscopy. The investigated syringe batches (lot A and B) exhibited comparable longitudinal silicone oil layer thicknesses ranging from 170-190 nm to 90-100 nm from flange to tip and homogeneously distributed silicone layers over the syringe barrel circumference (110- 135 nm). Empty break-loose (4-4.5 N) and gliding forces (2-2.5 N) were comparably low for both analyzed syringe lots. A silicone oil layer thickness of 100-200 nm was thus sufficient for adequate functionality in this particular study. Filling the syringe with a surrogate solution including short

  9. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    Energy Technology Data Exchange (ETDEWEB)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.; Trapeznikova, I. N.; Bobyl, A. V.; Terukova, E. E. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation)

    2017-01-15

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A model of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.

  10. Passivation mechanism of thermal atomic layer-deposited Al2O3 films on silicon at different annealing temperatures.

    Science.gov (United States)

    Zhao, Yan; Zhou, Chunlan; Zhang, Xiang; Zhang, Peng; Dou, Yanan; Wang, Wenjing; Cao, Xingzhong; Wang, Baoyi; Tang, Yehua; Zhou, Su

    2013-03-02

    Thermal atomic layer-deposited (ALD) aluminum oxide (Al2O3) acquires high negative fixed charge density (Qf) and sufficiently low interface trap density after annealing, which enables excellent surface passivation for crystalline silicon. Qf can be controlled by varying the annealing temperatures. In this study, the effect of the annealing temperature of thermal ALD Al2O3 films on p-type Czochralski silicon wafers was investigated. Corona charging measurements revealed that the Qf obtained at 300°C did not significantly affect passivation. The interface-trapping density markedly increased at high annealing temperature (>600°C) and degraded the surface passivation even at a high Qf. Negatively charged or neutral vacancies were found in the samples annealed at 300°C, 500°C, and 750°C using positron annihilation techniques. The Al defect density in the bulk film and the vacancy density near the SiOx/Si interface region decreased with increased temperature. Measurement results of Qf proved that the Al vacancy of the bulk film may not be related to Qf. The defect density in the SiOx region affected the chemical passivation, but other factors may dominantly influence chemical passivation at 750°C.

  11. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  12. Preparation and Thermal Characterization of Annealed Gold Coated Porous Silicon

    Directory of Open Access Journals (Sweden)

    Afarin Bahrami

    2012-01-01

    Full Text Available Porous silicon (PSi layers were formed on a p-type Si wafer. Six samples were anodised electrically with a 30 mA/cm2 fixed current density for different etching times. The samples were coated with a 50–60 nm gold layer and annealed at different temperatures under Ar flow. The morphology of the layers, before and after annealing, formed by this method was investigated by scanning electron microscopy (SEM. Photoacoustic spectroscopy (PAS measurements were carried out to measure the thermal diffusivity (TD of the PSi and Au/PSi samples. For the Au/PSi samples, the thermal diffusivity was measured before and after annealing to study the effect of annealing. Also to study the aging effect, a comparison was made between freshly annealed samples and samples 30 days after annealing.

  13. Formation and properties of porous silicon layers

    International Nuclear Information System (INIS)

    Vitanov, P.; Kamenova, M.; Dimova-Malinovska, D.

    1993-01-01

    Preparation, properties and application of porous silicon films are investigated. Porous silicon structures were formed by an electrochemical etching process resulting in selective dissolution of the silicon substrate. The silicon wafers used with a resistivity of 5-10Ω.cm were doped with B to concentrations 6x10 18 -1x10 19 Ω.cm -3 in the temperature region 950 o C-1050 o C. The density of each porous films was determined from the weight loss during the anodization and it depends on the surface resistivity of the Si wafer. The density decreases with decreasing of the surface resistivity. The surface of the porous silicon layers was studied by X-ray photoelectron spectroscopy which indicates the presence of SiF 4 . The kinetic dependence of the anode potential and the porous layer thickness on the time of anodization in a galvanostatic regime for the electrolytes with various HF concentration were studied. In order to compare the properties of the resulting porous layers and to establish the dependence of the porosity on the electrolyte, three types of electrolytes were used: concentrated HF, diluted HF:H 2 O=1:1 and ethanol-hydrofluoric solutions HF:C 2 H 5 OH:H 2 O=2:1:1. High quality uniform and reproducible layers were formed using aqueous-ethanol-hydrofluoric electrolyte. Both Kikuchi's line and ring patterns were observed by TEM. The porous silicon layer was single crystal with the same orientation as the substrate. The surface shows a polycrystalline structure only. The porous silicon layers exhibit visible photoluminescence (PL) at room temperature under 480 nm Ar + laser line excitation. The peak of PL was observed at about 730 nm with FWHM about 90 nm. Photodiodes was made with a W-porous silicon junction. The current voltage and capacity voltage characteristics were similar to those of an isotype heterojunction diode. (orig.)

  14. Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1997-01-01

    Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si--Ge layers followed by patterning into mesa structures. The mesa structures are stain etched resulting in porosification of the Si--Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si--Ge layers produced in a similar manner emitted visible light at room temperature.

  15. A parylene-filled-trench technique for thermal isolation in silicon-based microdevices

    International Nuclear Information System (INIS)

    Lei Yinhua; Wang Wei; Li Ting; Jin Yufeng; Zhang Haixia; Li Zhihong; Yu Huaiqiang; Luo Yingcun

    2009-01-01

    Microdevices prepared in a silicon substrate have been widely used in versatile fields due to the matured silicon-based microfabrication technique and the excellent physical properties of silicon material. However, the high thermal conductivity of silicon restricts its application in most thermal microdevices, especially devices comprising different temperature zones. In this work, a parylene-filled-trench technique was optimized to realize high-quality thermal isolation in silicon-based microdevices. Parylene C, a heat transfer barricading material, was deposited on parallel high-aspect-ratio trenches, which surrounded the isolated target zones. After removing the remnant silicon beneath the trenches by deep reactive ion etching from the back side, a high-quality heat transfer barrier was obtained. By using narrow trenches, only 5 µm thick parylene was required for a complete filling, which facilitated multi-layer interconnection thereafter. The parylene filling performance inside the high-aspect-ratio trench was optimized by two approaches: multiple etch–deposition cycling and trench profile controlling. A 4 × 6 array, in which each unit was kept at a constant temperature and was well thermally isolated individually, was achieved on a silicon substrate by using the present parylene-filled-trench technique. The preliminary experimental results indicated that the present parylene-filled-trench structure exhibited excellent thermal isolation performance, with a very low power requirement of 0.134 mW (K mm 2 ) −1 for heating the isolated silicon unit and a high thermal isolation efficiency of 72.5% between two adjacent units. Accompanied with high-quality isolation performance, the microdevices embedded the present parylene-filled-trench structure to retain a strong mechanical connection larger than 400 kPa between two isolated zones, which is very important for a high-reliability-required micro-electro-mechanical-system (MEMS) device. Considering its room

  16. ZnO buffer layer for metal films on silicon substrates

    Science.gov (United States)

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  17. Investigation of the interface region between a porous silicon layer and a silicon substrate

    International Nuclear Information System (INIS)

    Lee, Ki-Won; Park, Dae-Kyu; Kim, Young-You; Shin, Hyun-Joon

    2005-01-01

    Atomic force microscopy (AFM) measurement and X-ray diffraction (XRD) analysis were performed to investigate the physical and structural characteristics of the interface region between a porous silicon layer and a silicon substrate. We discovered that, when anodization time was increased under a constant current density, the Si crystallites in the interface region became larger and formed different lattice parameters than observed in the porous silicon layer. Secondary ion mass spectrometry (SIMS) analysis also revealed that the Si was more concentrated in the interface region than in the porous silicon layer. These results were interpreted by the deficiency of the HF solution in reaching to the interface through the pores during the porous silicon formation

  18. Photo-EMF sensitivity of porous silicon thin layer-crystalline silicon heterojunction to ammonia adsorption.

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  19. Thermal de-isolation of silicon microstructures in a plasma etching environment

    International Nuclear Information System (INIS)

    Lee, Yong-Seok; Jang, Yun-Ho; Kim, Yong-Kweon; Kim, Jung-Mu

    2013-01-01

    This paper presents a theoretical and experimental strategy for thermal de-isolation of silicon microstructures during a plasma etching process. Heat sinking blocks and thin metal layers are implemented around a thermally isolated mass to avoid severe spring width losses by a steep temperature rise. Thermal de-isolation significantly reduces the fabrication errors from −51.0% to −9.0% and from −39.5% to −6.7% for spring widths and resonant frequencies, respectively. Thermal de-isolation also reduces the standard deviation of resonant frequencies from 8.7% to 1.5% across a wafer, which clearly demonstrates the proposed method. (paper)

  20. Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.

    Science.gov (United States)

    Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe

    2016-12-28

    The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.

  1. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  2. Spontaneous layering of porous silicon layers formed at high current densities

    Energy Technology Data Exchange (ETDEWEB)

    Parkhutik, Vitali; Curiel-Esparza, Jorge; Millan, Mari-Carmen [R and D Center MTM, Technical University of Valencia, Valencia (Spain); Albella, Jose [Institute of Materials Science (ICMM CSIC) Madrid (Spain)

    2005-06-01

    We report here a curious effect of spontaneous fracturing of the silicon layers formed in galvanostatic conditions at medium and high current densities. Instead of formation of homogeneous p-Si layer as at low currents, a stack of thin layers is formed. Each layer is nearly separated from others and possesses rather flat interfaces. The effects is observed using p{sup +}-Si wafers for the p-Si formation and starts being noticeable at above 100 mA/cm{sup 2}. We interpret these results in terms of the porous silicon growth model where generation of dynamic mechanical stress during the p-Si growth causes sharp changes in Si dissolution mechanism from anisotropic etching of individual needle-like pores in silicon to their branching and isotropic etching. At this moment p-Si layer loses its adhesion to the surface of Si wafer and another p-Si layer starts growing. One of the mechanisms triggering on the separation of p-Si layers from one another is a fluctuation of local anodic current in the pore bottoms associated with gas bubble evolution during the p-Si formation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Deuterium trapping in the carbon-silicon co-deposition layers prepared by RF sputtering in D2 atmosphere

    Science.gov (United States)

    Zhang, Hongliang; Zhang, Weiyuan; Su, Ranran; Tu, Hanjun; Shi, Liqun; Hu, Jiansheng

    2018-04-01

    Deuterated carbon-silicon layers co-deposited on graphite and silicon substrates by radio frequency magnetron sputtering in pure D2 plasma were produced to study deuterium trapping and characteristics of the C-Si layers. The C-Si co-deposited layers were examined by ion beam analysis (IBA), Raman spectroscopy (RS), infrared absorption (IR) spectroscopy, thermal desorption spectroscopy (TDS) and scanning electron microscopy (SEM). It was found that the growth rate of the C-Si co-deposition layer decreased with increasing temperature from 350 K to 800 K, the D concentration and C/Si ratios increased differently on graphite and silicon substrates. TDS shows that D desorption is mainly as D2, HD, HDO, CD4, and C2D4 and release peaks occurred at temperatures of less than 900 K. RS and IR analysis reveal that the structure of the C-Si layers became more disordered with increasing temperatures. Rounded areas of peeling with 1-2 μm diameters were observed on the surface.

  4. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  5. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  6. Oxide layers for silicon detector protection against enviroment effects

    International Nuclear Information System (INIS)

    Bel'tsazh, E.; Brylovska, I.; Valerian, M.

    1986-01-01

    It is shown that for protection of silicon detectors of nuclear radiations oxide layers could be used. The layers are produced by electrochemical oxidation of silicon surface with the following low-temperature annealing. These layers have characteristics similar to those for oxide layers produced by treatment of silicon samples at elevated temperature in oxygen flow. To determine properties of oxide layers produced by electrochemical oxidation the α-particle back-scattering method and the method of volt-farad characteristics were used. Protection properties of such layers were checked on the surface-barrier detectors. It was shown that protection properties of such detectors were conserved during long storage at room temperature and during their storage under wet-bulb temperature. Detectors without protection layer have worsened their characteristics

  7. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  8. The silicon-silicon oxide multilayers utilization as intrinsic layer on pin solar cells

    International Nuclear Information System (INIS)

    Colder, H.; Marie, P.; Gourbilleau, F.

    2008-01-01

    Silicon nanostructures are promising candidate for the intrinsic layer on pin solar cells. In this work we report on new material: silicon-rich silicon oxide (SRSO) deposited by reactive magnetron sputtering of a pure silica target and an interesting structure: multilayers consisting of a stack of SRSO and pure silicon oxide layers. Two thicknesses of the SRSO sublayer, t SRSO , are studied 3 nm and 5 nm whereas the thickness of silica sublayer is maintaining at 3 nm. The presence of nanocrystallites of silicon, evidenced by X-Ray diffraction (XRD), leads to photoluminescence (PL) emission at room temperature due to the quantum confinement of the carriers. The PL peak shifts from 1.3 eV to 1.5 eV is correlated to the decreasing of t SRSO from 5 nm down to 3 nm. In the purpose of their potential utilization for i-layer, the optical properties are studied by absorption spectroscopy. The achievement a such structures at promising absorption properties. Moreover by favouring the carriers injection by the tunnel effect between silicon nanograins and silica sublayers, the multilayers seem to be interesting for solar cells

  9. Attenuation of Thermal Neutrons by Crystalline Silicon

    International Nuclear Information System (INIS)

    Adib, M.; Habib, N.; Ashry, A.; Fathalla, M.

    2002-01-01

    A simple formula is given which allows to calculate the contribution of the total neutron cross - section including the Bragg scattering from different (hkt) planes to the neutron * transmission through a solid crystalline silicon. The formula takes into account the silicon form of poly or mono crystals and its parameters. A computer program DSIC was developed to provide the required calculations. The calculated values of the total neutron cross-section of perfect silicon crystal at room and liquid nitrogen temperatures were compared with the experimental ones. The obtained agreement shows that the simple formula fits the experimental data with sufficient accuracy .A good agreement was also obtained between the calculated and measured values of polycrystalline silicon in the energy range from 5 eV to 500μ eV. The feasibility study on using a poly-crystalline silicon as a cold neutron filter and mono-crystalline as a thermal neutron one is given. The optimum crystal thickness, mosaic spread, temperature and cutting plane for efficiently transmitting the thermal reactor neutrons, while rejecting both fast neutrons and gamma rays accompanying the thermal ones for the mono crystalline silicon are also given

  10. Thermal performances of ETFE cushion roof integrated amorphous silicon photovoltaic

    International Nuclear Information System (INIS)

    Hu, Jianhui; Chen, Wujun; Qiu, Zhenyu; Zhao, Bing; Zhou, Jinyu; Qu, Yegao

    2015-01-01

    Highlights: • Thermal performances of a three layer ETFE cushion integrated a-Si PV is evaluated. • Temperature of a-Si PV obviously affects temperature field and temperature boundary. • The maximum temperature difference of 3.4 K between measured and numerical results. • Main transport mechanisms in upper and lower chambers are convection and conduction. • Heat transfer coefficients of this roof are less than those of other ETFE cushion roofs. - Abstract: Thermal performances of the ETFE cushion roof integrated amorphous silicon photovoltaic (a-Si PV) are essential to estimate building performances, such as temperature distribution and heat transfer coefficient. To investigate these thermal performances, an experimental mock-up composed of a-Si PV and a three-layer ETFE cushion roof was built and the experiment was carried out under summer sunny condition. Meanwhile, numerical model with real boundary conditions was performed in this paper. The experimental results show that the temperature sequence of the three layers was the middle, top and bottom layer and that the PV temperature caused by solar irradiance was 353.8 K. This gives evidence that the PV has a significant effect on the temperature distribution. The experimental temperature was in good agreement with the corresponding location of the numerical temperature since the maximum temperature difference was only 3.4 K. Therefore, the numerical results were justified and then used to analyze the airflow characteristics and calculate the thermal performances. For the airflow characteristics, it is found that the temperature distribution was not uniform and the main transport mechanisms in the upper and lower chambers formed by the three layers were the convection and conduction, respectively. For the thermal performances, the surface convective heat transfer coefficients were obtained, which have validated that thermal performances of the three-layer ETFE cushion integrated a-Si PV are better than

  11. Extreme temperature stability of thermally insulating graphene-mesoporous-silicon nanocomposite

    Science.gov (United States)

    Kolhatkar, Gitanjali; Boucherif, Abderraouf; Rahim Boucherif, Abderrahim; Dupuy, Arthur; Fréchette, Luc G.; Arès, Richard; Ruediger, Andreas

    2018-04-01

    We demonstrate the thermal stability and thermal insulation of graphene-mesoporous-silicon nanocomposites (GPSNC). By comparing the morphology of GPSNC carbonized at 650 °C as-formed to that after annealing, we show that this nanocomposite remains stable at temperatures as high as 1050 °C due to the presence of a few monolayers of graphene coating on the pore walls. This does not only make this material compatible with most thermal processes but also suggests applications in harsh high temperature environments. The thermal conductivity of GPSNCs carbonized at temperatures in the 500 °C-800 °C range is determined through Raman spectroscopy measurements. They indicate that the thermal conductivity of the composite is lower than that of silicon, with a value of 13 ± 1 W mK-1 at room temperature, and not affected by the thin graphene layer, suggesting a role of the high concentration of carbon related-defects as indicated by the high intensity of the D-band compared to G-band of the Raman spectra. This morphological stability at high temperature combined with a high thermal insulation make GPSNC a promising candidate for a broad range of applications including microelectromechanical systems and thermal effect microsystems such as flow sensors or IR detectors. Finally, at 120 °C, the thermal conductivity remains equal to that at room temperature, attesting to the potential of using our nanocomposite in devices that operate at high temperatures such as microreactors for distributed chemical conversion, solid oxide fuel cells, thermoelectric devices or thermal micromotors.

  12. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  13. Designing high performance precursors for atomic layer deposition of silicon oxide

    Energy Technology Data Exchange (ETDEWEB)

    Mallikarjunan, Anupama, E-mail: mallika@airproducts.com; Chandra, Haripin; Xiao, Manchao; Lei, Xinjian; Pearlstein, Ronald M.; Bowen, Heather R.; O' Neill, Mark L. [Air Products and Chemicals, Inc., 1969 Palomar Oaks Way, Carlsbad, California 92011 (United States); Derecskei-Kovacs, Agnes [Air Products and Chemicals, Inc., 7201 Hamilton Blvd., Allentown, Pennsylvania 18195 (United States); Han, Bing [Air Products and Chemicals, Inc., 2 Dongsanhuan North Road, Chaoyang District, Beijing 100027 (China)

    2015-01-15

    Conformal and continuous silicon oxide films produced by atomic layer deposition (ALD) are enabling novel processing schemes and integrated device structures. The increasing drive toward lower temperature processing requires new precursors with even higher reactivity. The aminosilane family of precursors has advantages due to their reactive nature and relative ease of use. In this paper, the authors present the experimental results that reveal the uniqueness of the monoaminosilane structure [(R{sub 2}N)SiH{sub 3}] in providing ultralow temperature silicon oxide depositions. Disubstituted aminosilanes with primary amines such as in bis(t-butylamino)silane and with secondary amines such as in bis(diethylamino)silane were compared with a representative monoaminosilane: di-sec-butylaminosilane (DSBAS). DSBAS showed the highest growth per cycle in both thermal and plasma enhanced ALD. These findings show the importance of the arrangement of the precursor's organic groups in an ALD silicon oxide process.

  14. The kinetics of solid phase epitaxy in As-doped buried amorphous silicon layers

    International Nuclear Information System (INIS)

    McCallum, J.C.

    1999-01-01

    Ion implantation is the principal method used to introduce dopants into silicon for fabrication of semiconductor devices. During ion implantation, damage accumulates in the crystalline silicon lattice and amorphisation may occur over the depth range of the ions if the implant dose is sufficiently high. As device dimensions shrink, the need to produce shallower and shallower highly-doped layers increases and the probability of amorphisation also increases. To achieve dopant-activation, the amorphous or damaged material must be returned to the crystalline state by thermal annealing. Amorphous silicon layers can be crystallised by the solid-state process of solid phase epitaxy (SPE) in which the amorphous layer transforms to crystalline silicon (c-Si) layer by layer using the underlying c-Si as a seed. The atomic mechanism that is responsible for the crystallisation is thought to involve highly-localised bond-breaking and rearrangement processes at the amorphous/crystalline (a/c) interface but the defect responsible for these bond rearrangements has not yet been identified. Since the bond breaking process necessarily generates dangling bonds, it has been suggested that the crystallisation process may solely involve the formation and migration of dangling bonds at the interface. One of the key factors which may shed further light on the nature of the SPE defect is the observed dopant-dependence of the rate of crystallisation. It has been found that moderate concentrations of dopants enhance the SPE crystallisation rate while the presence of equal concentrations of an n-type and a p-type dopant (impurity compensation) returns the SPE rate to the intrinsic value. This provides crucial evidence that the SPE mechanism is sensitive to the position of the Fermi level in the bandgap of the crystalline and/or the amorphous silicon phases and may lead to identification of an energy level within the bandgap that can be associated with the defect. This paper gives details of SPE

  15. Nonlocal laser annealing to improve thermal contacts between multi-layer graphene and metals

    International Nuclear Information System (INIS)

    Ermakov, Victor A; Alaferdov, Andrei V; Vaz, Alfredo R; Moshkalev, Stanislav A; Baranov, Alexander V

    2013-01-01

    The accuracy of thermal conductivity measurements by the micro-Raman technique for suspended multi-layer graphene flakes has been shown to depend critically on the quality of the thermal contacts between the flakes and the metal electrodes used as the heat sink. The quality of the contacts can be improved by nonlocal laser annealing at increased power. The improvement of the thermal contacts to initially rough metal electrodes is attributed to local melting of the metal surface under laser heating, and increased area of real metal–graphene contact. Improvement of the thermal contacts between multi-layer graphene and a silicon oxide surface was also observed, with more efficient heat transfer from graphene as compared with the graphene–metal case. (paper)

  16. Superlattice doped layers for amorphous silicon photovoltaic cells

    Science.gov (United States)

    Arya, Rajeewa R.

    1988-01-12

    Superlattice doped layers for amorphous silicon photovoltaic cells comprise a plurality of first and second lattices of amorphous silicon alternatingly formed on one another. Each of the first lattices has a first optical bandgap and each of the second lattices has a second optical bandgap different from the first optical bandgap. A method of fabricating the superlattice doped layers also is disclosed.

  17. Growth of a delta-doped silicon layer by molecular beam epitaxy on a charge-coupled device for reflection-limited ultraviolet quantum efficiency

    Science.gov (United States)

    Hoenk, Michael E.; Grunthaner, Paula J.; Grunthaner, Frank J.; Terhune, R. W.; Fattahi, Masoud; Tseng, Hsin-Fu

    1992-01-01

    Low-temperature silicon molecular beam epitaxy is used to grow a delta-doped silicon layer on a fully processed charge-coupled device (CCD). The measured quantum efficiency of the delta-doped backside-thinned CCD is in agreement with the reflection limit for light incident on the back surface in the spectral range of 260-600 nm. The 2.5 nm silicon layer, grown at 450 C, contained a boron delta-layer with surface density of about 2 x 10 exp 14/sq cm. Passivation of the surface was done by steam oxidation of a nominally undoped 1.5 nm Si cap layer. The UV quantum efficiency was found to be uniform and stable with respect to thermal cycling and illumination conditions.

  18. Thermal Properties of the Silicon Microstrip Endcap Detector

    CERN Document Server

    Feld, Lutz; Hammarström, R

    1998-01-01

    Irradiated silicon detectors must be cooled in order to guarantee stable short and long term operation. Using the SiF1 milestone prototype we have performed a detailed analysis of the thermal properties of the silicon microstrip endcap detector. The strongest constraint on the cooling system is shown to be set by the need to avoid thermal runaway of the silicon detectors. We show that, taking into account the radiation damage to the silicon after 10 years of LHC operation and including some safety margin, the detector will need a cooling fluid temperature of around -20 C. The highest temperature on the silicon will then be in the range -15 C to -10 C. This sets an upper limit on the ambient temperature in the tracker volume.

  19. Bovine serum albumin adsorption on passivated porous silicon layers

    Science.gov (United States)

    Lockwood, David; Boukherroub, Rabah

    2005-03-01

    Hydrogen-terminated porous silicon (pSi) films were fabricated through electrochemical anodization of crystalline Si in HF-based solutions. The pSi-H surface was chemically functionalized by thermal reaction with undecylenic acid to produce an organic monolayer covalently attached to the silicon surface through Si-C bonds and bearing an acid terminal group. Bovine serum albumin (BSA) was then adsorbed onto the modified surface. SEM showed that the porous films were damaged and partially lifted off the Si substrate after a prolonged BSA adsorption. Ellipsometry revealed that the BSA had penetrated ˜ 1.3 micrometers into the porous structure. The film damage results from BSA anchoring itself tightly through strong electrostatic interactions to the acid-covered Si sidewalls. A change in surface tension during BSA film formation then causes the pSi layer to buckle and lift-off the underlying Si substrate. FTIR results from the modified pSi surfaces showed the presence of strong characteristic Amide I, II and III vibrational bands after BSA adsorption.

  20. Effect of rapid thermal treatment on optical properties of porous silicon surface doped lithium

    Energy Technology Data Exchange (ETDEWEB)

    Haddadi, Ikbel, E-mail: haded.ikbel@yahoo.fr; Slema, Sonia Ben; Amor, Sana Ben; Bousbih, Rabaa; Bardaoui, Afrah; Dimassi, Wissem; Ezzaouia, Hatem

    2015-04-15

    In this paper, we have studied the effect of rapid thermal annealing on the optical properties of porous silicon layers doped with lithium (Li/PS). Surface modification of As-deposited Li/PS samples through thermal annealing were investigated by varying the temperature from 100 °C to 800 °C in an infrared (IR) heated belt furnace. A decrease in the reflectivity to about 6% for Li/PS annealed at 200 °C was obtained. From Photoluminescence (PL) spectra, a blue-shift of the gap was observed when the temperature is increased to 800 °C; we correlate these results to the change in chemical composition of the layers in order to find the optimized conditions for a potential application in silicon solar cells. - Highlights: • We have varied the annealing temperature of PS doped with Li. • PL intensity shows significant variation as function of temperature. • We observe reduce of Si–O–Li bands with increasing temperature. • Concurrent with the loss of Li we observe a decrease of the PL.

  1. Structurally controlled deposition of silicon onto nanowires

    Science.gov (United States)

    Wang, Weijie; Liu, Zuqin; Han, Song; Bornstein, Jonathan; Stefan, Constantin Ionel

    2018-03-20

    Provided herein are nanostructures for lithium ion battery electrodes and methods of fabrication. In some embodiments, a nanostructure template coated with a silicon coating is provided. The silicon coating may include a non-conformal, more porous layer and a conformal, denser layer on the non-conformal, more porous layer. In some embodiments, two different deposition processes, e.g., a PECVD layer to deposit the non-conformal layer and a thermal CVD process to deposit the conformal layer, are used. Anodes including the nanostructures have longer cycle lifetimes than anodes made using either a PECVD or thermal CVD method alone.

  2. Electrical behavior of free-standing porous silicon layers

    International Nuclear Information System (INIS)

    Bazrafkan, I.; Dariani, R.S.

    2009-01-01

    The electrical behavior of porous silicon (PS) layers has been investigated on one side of p-type silicon with various anodization currents and electrolytes. The two contact I-V characteristic is assigned by the metal/porous silicon rectifying interface, whereas, by using the van der Pauw technique, a nonlinear dependence of the current vs voltage was found. By using Dimethylformamide (DMF) in electrolyte, regular structures and columns were formed and porosity increased. Our results showed that by using DMF, surface resistivity of PS samples increased and became double for free-standing porous silicon (FPS). The reason could be due to increasing surface area and adsorbing some more gas molecules. Activation energy of PS samples was also increased from 0.31 to 0.34 eV and became 0.35 eV for FPS. The changes induced by storage are attributed to the oxidation process of the internal surface of free-standing porous silicon layers.

  3. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  4. Thermal grafting of fluorinated molecular monolayers on doped amorphous silicon surfaces

    International Nuclear Information System (INIS)

    Sabbah, H.; Zebda, A.; Ababou-Girard, S.; Solal, F.; Godet, C.; Conde, J. P.; Chu, V.

    2009-01-01

    Thermally induced (160-300 deg. C) gas phase grafting of linear alkene molecules (perfluorodecene) was performed on hydrogenated amorphous silicon (a-Si:H) films, either nominally undoped or doped with different boron and phosphorus concentrations. Dense and smooth a-Si:H films were grown using plasma decomposition of silane. Quantitative analysis of in situ x-ray photoelectron spectroscopy indicates the grafting of a single layer of organic molecules. The hydrophobic properties of perfluorodecene-modified surfaces were studied as a function of surface coverage. Annealing experiments in ultrahigh vacuum show the covalent binding and the thermal stability of these immobilized layers up to 370 deg. C; this temperature corresponds to the Si-C bond cleavage temperature. In contrast with hydrogenated crystalline Si(111):H, no heavy wet chemistry surface preparation is required for thermal grafting of alkene molecules on a-Si:H films. A threshold grafting temperature is observed, with a strong dependence on the doping level which produces a large contrast in the molecular coverage for grafting performed at 230 deg. C

  5. Passivation mechanism in silicon heterojunction solar cells with intrinsic hydrogenated amorphous silicon oxide layers

    Science.gov (United States)

    Deligiannis, Dimitrios; van Vliet, Jeroen; Vasudevan, Ravi; van Swaaij, René A. C. M. M.; Zeman, Miro

    2017-02-01

    In this work, we use intrinsic hydrogenated amorphous silicon oxide layers (a-SiOx:H) with varying oxygen content (cO) but similar hydrogen content to passivate the crystalline silicon wafers. Using our deposition conditions, we obtain an effective lifetime (τeff) above 5 ms for cO ≤ 6 at. % for passivation layers with a thickness of 36 ± 2 nm. We subsequently reduce the thickness of the layers using an accurate wet etching method to ˜7 nm and deposit p- and n-type doped layers fabricating a device structure. After the deposition of the doped layers, τeff appears to be predominantly determined by the doped layers themselves and is less dependent on the cO of the a-SiOx:H layers. The results suggest that τeff is determined by the field-effect rather than by chemical passivation.

  6. Excellent c-Si surface passivation by thermal atomic layer deposited aluminum oxide after industrial firing activation

    International Nuclear Information System (INIS)

    Liao, B; Stangl, R; Ma, F; Mueller, T; Lin, F; Aberle, A G; Bhatia, C S; Hoex, B

    2013-01-01

    We demonstrate that by using a water (H 2 O)-based thermal atomic layer deposited (ALD) aluminum oxide (Al 2 O 3 ) film, excellent surface passivation can be attained on planar low-resistivity silicon wafers. Effective carrier lifetime values of up to 12 ms and surface recombination velocities as low as 0.33 cm s −1 are achieved on float-zone wafers after a post-deposition thermal activation of the Al 2 O 3 passivation layer. This post-deposition activation is achieved using an industrial high-temperature firing process which is commonly used for contact formation of standard screen-printed silicon solar cells. Neither a low-temperature post-deposition anneal nor a silicon nitride capping layer is required in this case. Deposition temperatures in the 100–400 °C range and peak firing temperatures of about 800 °C (set temperature) are investigated. Photoluminescence imaging shows that the surface passivation is laterally uniform. Corona charging and capacitance–voltage measurements reveal that the negative fixed charge density near the AlO x /c-Si interface increases from 1.4 × 10 12 to 3.3 × 10 12 cm −2 due to firing, while the midgap interface defect density reduces from 3.3 × 10 11 to 0.8 × 10 11 cm −2 eV −1 . This work demonstrates that direct firing activation of thermal ALD Al 2 O 3 is feasible, which could be beneficial for solar cell manufacturing. (paper)

  7. Thermal conductivity of silicon nanocrystals and polystyrene nanocomposite thin films

    International Nuclear Information System (INIS)

    Juangsa, Firman Bagja; Muroya, Yoshiki; Nozaki, Tomohiro; Ryu, Meguya; Morikawa, Junko

    2016-01-01

    Silicon nanocrystals (SiNCs) are well known for their size-dependent optical and electronic properties; they also have the potential for low yet controllable thermal properties. As a silicon-based low-thermal conductivity material is required in microdevice applications, SiNCs can be utilized for thermal insulation. In this paper, SiNCs and polymer nanocomposites were produced, and their thermal conductivity, including the density and specific heat, was measured. Measurement results were compared with thermal conductivity models for composite materials, and the comparison shows a decreasing value of the thermal conductivity, indicating the effect of the size and presence of the nanostructure on the thermal conductivity. Moreover, employing silicon inks at room temperature during the fabrication process enables a low cost of fabrication and preserves the unique properties of SiNCs. (paper)

  8. Substrate and p-layer effects on polymorphous silicon solar cells

    Directory of Open Access Journals (Sweden)

    Abolmasov S.N.

    2014-07-01

    Full Text Available The influence of textured transparent conducting oxide (TCO substrate and p-layer on the performance of single-junction hydrogenated polymorphous silicon (pm-Si:H solar cells has been addressed. Comparative studies were performed using p-i-n devices with identical i/n-layers and back reflectors fabricated on textured Asahi U-type fluorine-doped SnO2, low-pressure chemical vapor deposited (LPCVD boron-doped ZnO and sputtered/etched aluminum-doped ZnO substrates. The p-layers were hydrogenated amorphous silicon carbon and microcrystalline silicon oxide. As expected, the type of TCO and p-layer both have a great influence on the initial conversion efficiency of the solar cells. However they have no effect on the defect density of the pm-Si:H absorber layer.

  9. Measurement and analysis of thermal conductivity of isotopically controlled silicon layers by time-resolved X-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Eon, S.; Frieling, R.; Bracht, H. [Institute for Materials Physics, University of Muenster, 48149 Muenster (Germany); Plech, A. [Institute for Photon Science and Synchrotron Radiation (IPS), 76344 Eggenstein-Leopoldshafen (Germany)

    2016-11-15

    Nanostructuring is considered to be an efficient way to tailor phonon scattering and to reduce the thermal conductivity while keeping good electronic properties. This can be ideally realized by mass modulation of chemical identical elements. In this work, we report measurements of the crossplane thermal conductivity of isotopically modulated {sup 28}Si/{sup 30}Si multilayer structures and of isotopically pure {sup 28}Si layers by means of time-resolved X-ray scattering. Compared to earlier investigations, an improved measurement technique has been applied to determine the cooling behavior of a top gold metal layer after laser excitation with picosecond time resolution until thermal equilibration is established. Detailed analysis of the cooling behavior not only confirms a reduced thermal conductivity of {sup 28}Si/{sup 30}Si multilayer structures compared to natural and isotopically enriched {sup 28}Si layers but also provides evidence of direct laser heating of the Si layer. This and extrinsic effects affecting the cooling behavior of the gold layer are taken into account to determine the thermal conductivity by means of the pump-and-probe measurement technique. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  11. On the use of silicon as thermal neutron filter

    International Nuclear Information System (INIS)

    Adib, M.; Habib, N.; Ashry, A.; Fathalla, M.

    2003-01-01

    A simple formula is given which allows to calculate the contribution of the total neutron cross-section including the Bragg scattering from different (hkl) planes to the neutron transmission through a solid crystalline silicon. The formula takes into account the silicon form of poly or mono crystals and its parameters. A computer program DSIC was developed to provide the required calculations. The calculated values of the total neutron cross-section of perfect silicon crystal at room and liquid nitrogen temperatures were compared with the experimental ones. The obtained agreement shows that the simple formula fits the experimental data with sufficient accuracy. A good agreement was also obtained between the calculated and measured values of polycrystalline silicon in the energy range from 5 eV to 500 μeV. The feasibility study on using a poly-crystalline silicon as a cold neutron filter and mono-crystalline as a thermal neutron one is given. The optimum crystal thickness, mosaic spread, temperature and cutting plane for efficiently transmitting the thermal reactor neutrons, while rejecting both fast neutrons and gamma rays accompanying the thermal ones for the mono crystalline silicon are also given

  12. On the use of silicon as thermal neutron filter

    Energy Technology Data Exchange (ETDEWEB)

    Adib, M.; Habib, N.; Ashry, A.; Fathalla, M. E-mail: mohamedfathalla@hotmail.com

    2003-12-01

    A simple formula is given which allows to calculate the contribution of the total neutron cross-section including the Bragg scattering from different (hkl) planes to the neutron transmission through a solid crystalline silicon. The formula takes into account the silicon form of poly or mono crystals and its parameters. A computer program DSIC was developed to provide the required calculations. The calculated values of the total neutron cross-section of perfect silicon crystal at room and liquid nitrogen temperatures were compared with the experimental ones. The obtained agreement shows that the simple formula fits the experimental data with sufficient accuracy. A good agreement was also obtained between the calculated and measured values of polycrystalline silicon in the energy range from 5 eV to 500 {mu}eV. The feasibility study on using a poly-crystalline silicon as a cold neutron filter and mono-crystalline as a thermal neutron one is given. The optimum crystal thickness, mosaic spread, temperature and cutting plane for efficiently transmitting the thermal reactor neutrons, while rejecting both fast neutrons and gamma rays accompanying the thermal ones for the mono crystalline silicon are also given.

  13. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  14. Broadband wavelength conversion in hydrogenated amorphous silicon waveguide with silicon nitride layer

    Science.gov (United States)

    Wang, Jiang; Li, Yongfang; Wang, Zhaolu; Han, Jing; Huang, Nan; Liu, Hongjun

    2018-01-01

    Broadband wavelength conversion based on degenerate four-wave mixing is theoretically investigated in a hydrogenated amorphous silicon (a-Si:H) waveguide with silicon nitride inter-cladding layer (a-Si:HN). We have found that enhancement of the non-linear effect of a-Si:H waveguide nitride intermediate layer facilitates broadband wavelength conversion. Conversion bandwidth of 490 nm and conversion efficiency of 11.4 dB were achieved in a numerical simulation of a 4 mm-long a-Si:HN waveguide under 1.55 μm continuous wave pumping. This broadband continuous-wave wavelength converter has potential applications in photonic networks, a type of readily manufactured low-cost highly integrated optical circuits.

  15. DEPTH MEASUREMENT OF DISRUPTED LAYER ON SILICON WAFER SURFACE USING AUGER SPECTROSCOPY METHOD

    Directory of Open Access Journals (Sweden)

    V. A. Solodukha

    2016-01-01

    Full Text Available The paper proposes a method for depth measurement of a disrupted layer on silicon wafer surface which is based on application of Auger spectroscopy with the precision sputtering of surface silicon layers and registration of the Auger electron yield intensity. In order to measure the disrupted layer with the help of Auger spectroscopy it is necessary to determine dependence of the released Auger electron amount on sputtering time (profile and then the dependence is analyzed. Silicon amount in the disrupted layer is less than in the volume. While going deeper the disruptive layer is decreasing that corresponds to an increase of atom density in a single layer. The essence of the method lies in the fact the disruptive layer is removed by ion beam sputtering and detection of interface region is carried out with the help of registration of the Auger electron yield intensity from the sputtered surface up to the moment when it reaches the value which is equal to the Auger electron yield intensity for single-crystal silicon. While removing surface silicon layers the registration of the Auger electron yield intensity from silicon surface makes it possible to control efficiently a presence of the disrupted layer on the silicon wafer surface. In this case depth control locality is about 1.0 nm due to some peculiarities of Auger spectroscopy method. The Auger electron yield intensity is determined automatically while using Auger spectrometer and while removing the disrupted layer the intensity is gradually increasing. Depth of the disrupted layer is determined by measuring height of the step which has been formed as a result of removal of the disrupted layer from the silicon wafer surface. Auger spectroscopy methods ensures an efficient depth control surface disruptions at the manufacturing stages of silicon wafers and integrated circuits. The depth measurement range of disruptions constitutes 0.001–1.000 um.

  16. On the photon annealing of silicon-implanted gallium-nitride layers

    International Nuclear Information System (INIS)

    Seleznev, B. I.; Moskalev, G. Ya.; Fedorov, D. G.

    2016-01-01

    The conditions for the formation of ion-doped layers in gallium nitride upon the incorporation of silicon ions followed by photon annealing in the presence of silicon dioxide and nitride coatings are analyzed. The conditions of the formation of ion-doped layers with a high degree of impurity activation are established. The temperature dependences of the surface concentration and mobility of charge carriers in ion-doped GaN layers annealed at different temperatures are studied.

  17. Interfacial Characteristics of TiN Coatings on SUS304 and Silicon Wafer Substrates with Pulsed Laser Thermal Shock

    International Nuclear Information System (INIS)

    Seo, Nokun; Jeon, Seol; Choi, Youngkue; Shin, Hyun-Gyoo; Lee, Heesoo; Jeon, Min-Seok

    2014-01-01

    TiN coatings prepared on different substrates that had different coefficients of thermal expansion were subjected to pulsed laser thermal shock and observed by using FIB milling to compare the deterioration behaviors. TiN coating on SUS304, which had a larger CTE (⁓17.3 × 10 - 6 /℃) than the coating was degraded with pores and cracks on the surface and showed significant spalling of the coating layer over a certain laser pulses. TiN coating on silicon wafer with a smaller CTE value, ⁓4.2 × 10‒6 /℃, than the coating exhibited less degradation of the coating layer at the same ablation condition. Cracks propagated at the interface were observed in the coating on the silicon wafer, which induced a compressive stress to the coating. The coating on the SUS304 showed less interface cracks while the tensile stress was applied to the coating. Delamination of the coating layer related to the intercolumnar cracks at the interface was observed in both coatings through bright-field TEM analysis.

  18. Thermal analysis of silicon carbide coating on a nickel based superalloy substrate and thickness measurement of top layers by lock-in infrared thermography

    Energy Technology Data Exchange (ETDEWEB)

    Ranjit, Shrestha; Kim, Won Tae [Kongju National University, Cheonan (Korea, Republic of)

    2017-04-15

    In this paper, we investigate the capacity of the lock-in infrared thermography technique for the evaluation of non-uniform top layers of a silicon carbide coating with a nickel based superalloy sample. The method utilized a multilayer heat transfer model to analyze the surface temperature response. The modelling of the sample was done in ANSYS. The sample consists of three layers, namely, the metal substrate, bond coat and top coat. A sinusoidal heating at different excitation frequencies was imposed upon the top layer of the sample according to the experimental procedures. The thermal response of the excited surface was recorded, and the phase angle image was computed by Fourier transform using the image processing software, MATLAB and Thermofit Pro. The correlation between the coating thickness and phase angle was established for each excitation frequency. The most appropriate excitation frequency was found to be 0.05 Hz. The method demonstrated potential in the evaluation of coating thickness and it was successfully applied to measure the non-uniform top layers ranging from 0.05 mm to 1 mm with an accuracy of 0.000002 mm to 0.045 mm.

  19. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  20. Use of hexamethyldisiloxane for p-type microcrystalline silicon oxycarbide layers

    Directory of Open Access Journals (Sweden)

    Goyal Prabal

    2016-01-01

    Full Text Available The use of hexamethyldisiloxane (HMDSO as an oxygen source for the growth of p-type silicon-based layers deposited by Plasma Enhanced Chemical Vapor Deposition is evaluated. The use of this source led to the incorporation of almost equivalent amounts of oxygen and carbon, resulting in microcrystalline silicon oxycarbide thin films. The layers were examined with characterisation techniques including Spectroscopic Ellipsometry, Dark Conductivity, Fourier Transform Infrared Spectroscopy, Secondary Ion Mass Spectrometry and Transmission Electron Microscopy to check material composition and structure. Materials studies show that the refractive indices of the layers can be tuned over the range from 2.5 to 3.85 (measured at 600 nm and in-plane dark conductivities over the range from 10-8 S/cm to 1 S/cm, suggesting that these doped layers are suitable for solar cell applications. The p-type layers were tested in single junction amorphous silicon p-i-n type solar cells.

  1. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  2. Optical property of silicon quantum dots embedded in silicon nitride by thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Baek Hyun, E-mail: bhkim@andrew.cmu.ed [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Davis, Robert F. [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Park, Seong-Ju [Nanophotonic Semiconductors Laboratory, Department of Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju, 500-712 (Korea, Republic of)

    2010-01-01

    We present the effects on the thermal annealing of silicon quantum dots (Si QDs) embedded in silicon nitride. The improved photoluminescence (PL) intensities and the red-shifted PL spectra were obtained with annealing treatment in the range of 700 to 1000 {sup o}C. The shifts of PL spectra were attributed to the increase in the size of Si QDs. The improvement of the PL intensities was also attributed to the reduction of point defects at Si QD/silicon nitride interface and in the silicon nitride due to hydrogen passivation effects.

  3. Mechanical properties of silicon in subsurface damage layer from nano-grinding studied by atomistic simulation

    Science.gov (United States)

    Zhang, Zhiwei; Chen, Pei; Qin, Fei; An, Tong; Yu, Huiping

    2018-05-01

    Ultra-thin silicon wafer is highly demanded by semi-conductor industry. During wafer thinning process, the grinding technology will inevitably induce damage to the surface and subsurface of silicon wafer. To understand the mechanism of subsurface damage (SSD) layer formation and mechanical properties of SSD layer, atomistic simulation is the effective tool to perform the study, since the SSD layer is in the scale of nanometer and hardly to be separated from underneath undamaged silicon. This paper is devoted to understand the formation of SSD layer, and the difference between mechanical properties of damaged silicon in SSD layer and ideal silicon. With the atomistic model, the nano-grinding process could be performed between a silicon workpiece and diamond tool under different grinding speed. To reach a thinnest SSD layer, nano-grinding speed will be optimized in the range of 50-400 m/s. Mechanical properties of six damaged silicon workpieces with different depths of cut will be studied. The SSD layer from each workpiece will be isolated, and a quasi-static tensile test is simulated to perform on the isolated SSD layer. The obtained stress-strain curve is an illustration of overall mechanical properties of SSD layer. By comparing the stress-strain curves of damaged silicon and ideal silicon, a degradation of Young's modulus, ultimate tensile strength (UTS), and strain at fracture is observed.

  4. Mechanical properties of silicon in subsurface damage layer from nano-grinding studied by atomistic simulation

    Directory of Open Access Journals (Sweden)

    Zhiwei Zhang

    2018-05-01

    Full Text Available Ultra-thin silicon wafer is highly demanded by semi-conductor industry. During wafer thinning process, the grinding technology will inevitably induce damage to the surface and subsurface of silicon wafer. To understand the mechanism of subsurface damage (SSD layer formation and mechanical properties of SSD layer, atomistic simulation is the effective tool to perform the study, since the SSD layer is in the scale of nanometer and hardly to be separated from underneath undamaged silicon. This paper is devoted to understand the formation of SSD layer, and the difference between mechanical properties of damaged silicon in SSD layer and ideal silicon. With the atomistic model, the nano-grinding process could be performed between a silicon workpiece and diamond tool under different grinding speed. To reach a thinnest SSD layer, nano-grinding speed will be optimized in the range of 50-400 m/s. Mechanical properties of six damaged silicon workpieces with different depths of cut will be studied. The SSD layer from each workpiece will be isolated, and a quasi-static tensile test is simulated to perform on the isolated SSD layer. The obtained stress-strain curve is an illustration of overall mechanical properties of SSD layer. By comparing the stress-strain curves of damaged silicon and ideal silicon, a degradation of Young’s modulus, ultimate tensile strength (UTS, and strain at fracture is observed.

  5. Thermally-isolated silicon-based integrated circuits and related methods

    Science.gov (United States)

    Wojciechowski, Kenneth; Olsson, Roy H.; Clews, Peggy J.; Bauer, Todd

    2017-05-09

    Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.

  6. Method of making thermally-isolated silicon-based integrated circuits

    Science.gov (United States)

    Wojciechowski, Kenneth; Olsson, Roy; Clews, Peggy J.; Bauer, Todd

    2017-11-21

    Thermally isolated devices may be formed by performing a series of etches on a silicon-based substrate. As a result of the series of etches, silicon material may be removed from underneath a region of an integrated circuit (IC). The removal of the silicon material from underneath the IC forms a gap between remaining substrate and the integrated circuit, though the integrated circuit remains connected to the substrate via a support bar arrangement that suspends the integrated circuit over the substrate. The creation of this gap functions to release the device from the substrate and create a thermally-isolated integrated circuit.

  7. Hopping absorption edge in silicon inversion layers

    International Nuclear Information System (INIS)

    Kostadinov, I.Z.

    1983-09-01

    The low frequency gap observed in the absorption spectrum of silicon inversion layers is related to the AC variable range hopping. The frequency dependence of the absorption coefficient is calculated. (author)

  8. Surface modification of aluminum nitride by polysilazane and its polymer-derived amorphous silicon oxycarbide ceramic for the enhancement of thermal conductivity in silicone rubber composite

    Science.gov (United States)

    Chiu, Hsien Tang; Sukachonmakul, Tanapon; Kuo, Ming Tai; Wang, Yu Hsiang; Wattanakul, Karnthidaporn

    2014-02-01

    Polysilazane (PSZ) and its polymer-derived amorphous silicon oxycarbide (SiOC) ceramic were coated on aluminum nitride (AlN) by using a dip-coating method to allow moisture-crosslinking of PSZ on AlN, followed by heat treatment at 700 °C in air to convert PSZ into SiOC on AlN. The results from FTIR, XPS and SEM indicated that the surface of AlN was successfully coated by PSZ and SiOC film. It was found that the introduction of PSZ and SiOC film help improve in the interfacial adhesion between the modified AlN (PSZ/AlN and SiOC/AlN) and silicone rubber lead to the increase in the thermal conductivity of the composites since the thermal boundary resistance at the filler-matrix interface was decreased. However, the introduction of SiOC as an intermediate layer between AlN and silicone rubber could help increase the thermal energy transport at the filler-matrix interface rather than using PSZ. This result was due to the decrease in the surface roughness and thickness of SiOC film after heat treatment at 700 °C in air. Thus, in the present work, a SiOC ceramic coating could provide a new surface modification for the improvement of the interfacial adhesion between the thermally conductive filler and the matrix in which can enhance the thermal conductivity of the composites.

  9. Photo and electroluminescence of porous silicon layers

    International Nuclear Information System (INIS)

    Keshmini, S.H.; Samadpour, S.; Haji-Ali, E.; Rokn-Abadi, M.R.

    1995-01-01

    Porous silicon (PSi) layers were prepared by both chemical and electrochemical methods on n- and p-type Si substrates. In the former technique, light emission was obtained from p-type and n-type samples. It was found that intense light illumination during the preparation process was essential for PSi formation on n-type substrates. An efficient electrochemical cell with some useful features was designed for electrochemical etching of silicon. Various preparation parameters were studied and photoluminescence emissions ranging from dark red to light blue were obtained from PSi samples prepared on p-type substrates. N-type samples produced emission ranging from dark red to orange yellow. Electroluminescence of porous silicon samples showed that the color of the emission was the same as the photoluminescence color of the sample, and its intensity and duration depended on the current density passed through the sample. The effects of exposure of samples to air, storage in vacuum and heat treatment in air on luminescence intensity of the samples and preparation of patterned porous layers were also studied. (author)

  10. Silicon dioxide with a silicon interfacial layer as an insulating gate for highly stable indium phosphide metal-insulator-semiconductor field effect transistors

    Science.gov (United States)

    Kapoor, V. J.; Shokrani, M.

    1991-01-01

    A novel gate insulator consisting of silicon dioxide (SiO2) with a thin silicon (Si) interfacial layer has been investigated for high-power microwave indium phosphide (InP) metal-insulator-semiconductor field effect transistors (MISFETs). The role of the silicon interfacial layer on the chemical nature of the SiO2/Si/InP interface was studied by high-resolution X-ray photoelectron spectroscopy. The results indicated that the silicon interfacial layer reacted with the native oxide at the InP surface, thus producing silicon dioxide, while reducing the native oxide which has been shown to be responsible for the instabilities in InP MISFETs. While a 1.2-V hysteresis was present in the capacitance-voltage (C-V) curve of the MIS capacitors with silicon dioxide, less than 0.1 V hysteresis was observed in the C-V curve of the capacitors with the silicon interfacial layer incorporated in the insulator. InP MISFETs fabricated with the silicon dioxide in combination with the silicon interfacial layer exhibited excellent stability with drain current drift of less than 3 percent in 10,000 sec, as compared to 15-18 percent drift in 10,000 sec for devices without the silicon interfacial layer. High-power microwave InP MISFETs with Si/SiO2 gate insulators resulted in an output power density of 1.75 W/mm gate width at 9.7 GHz, with an associated power gain of 2.5 dB and 24 percent power added efficiency.

  11. Molecular dynamics study of the thermal expansion coefficient of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Nejat Pishkenari, Hossein, E-mail: nejat@sharif.edu; Mohagheghian, Erfan; Rasouli, Ali

    2016-12-16

    Due to the growing applications of silicon in nano-scale systems, a molecular dynamics approach is employed to investigate thermal properties of silicon. Since simulation results rely upon interatomic potentials, thermal expansion coefficient (TEC) and lattice constant of bulk silicon have been obtained using different potentials (SW, Tersoff, MEAM, and EDIP) and results indicate that SW has a better agreement with the experimental observations. To investigate effect of size on TEC of silicon nanowires, further simulations are performed using SW potential. To this end, silicon nanowires of different sizes are examined and their TEC is calculated by averaging in different directions ([100], [110], [111], and [112]) and various temperatures. Results show that as the size increases, due to the decrease of the surface effects, TEC approaches its bulk value. - Highlights: • MD simulations of TEC and lattice constant of bulk silicon. • Effects of four potentials on the results. • Comparison to experimental data. • Investigating size effect on TEC of silicon nanowires.

  12. Layered structure in core–shell silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Van Tuan, Pham [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam); Anh Tuan, Chu; Thanh Thuy, Tran; Binh Nam, Vu [Institute of Materials Science (IMS), Vietnamese Academy of Science and Technology (VAST), 18 Hoang Quoc Viet Street, Hanoi 10000 (Viet Nam); Toan Thang, Pham [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam); Hong Duong, Pham, E-mail: duongphamhong@yahoo.com [Institute of Materials Science (IMS), Vietnamese Academy of Science and Technology (VAST), 18 Hoang Quoc Viet Street, Hanoi 10000 (Viet Nam); Thanh Huy, Pham, E-mail: huy.phamthanh@hust.edu.vn [Advanced Institute for Science and Technology (AIST) and International Training Institute for Materials Science Hanoi University of Science and Technology, 01 Dai Co Viet Street,Hanoi 10000,Vietnam (Viet Nam)

    2014-10-15

    Silicon nanowires (NWs) with core–shell structures were prepared using the Vapor–Liquid–Solid (VLS) method. The wires have lengths of several hundreds of nanometers and diameters in the range of 30–50 nm. Generally, these wires are too large to exhibit the quantum confinement effect of excitons in Si nanocrystals. However, the photoluminescence (PL) and Raman spectra are similar to those of nanocrystalline silicon embedded in a SiO{sub 2} matrix, in which the recombination of quantum-confined excitons plays an important role. This effect occurs only when the average size of the silicon nanocrystals is smaller than 5 nm. To understand this discrepancy, TEM images of nanowires were obtained and analyzed. The results revealed that the cores of wires have a layered Si/SiO{sub 2} structure, in which the thickness of each layer is much smaller than its diameter. The temperature dependence of the PL intensity was recorded from 11 to 300 K; the result is in good agreement with a model that takes into account the energy splitting between the excitonic singlet and triplet levels. - Highlights: • The cores of the Si NWs have a layered Si/SiO{sub 2} structure. • The Si NWs were formed due to the phase separation of Si and SiO{sub 2} and the partial oxidization by residual oxygen. • Two processes, the reaction of Si and oxygen atoms and the combination between Si atoms, occur simultaneously. • The formation of the layered structures is associated with the self-limiting oxidation phenomenon in Si nanostructures.

  13. Observing the morphology of single-layered embedded silicon nanocrystals by using temperature-stable TEM membranes

    Directory of Open Access Journals (Sweden)

    Sebastian Gutsch

    2015-04-01

    Full Text Available We use high-temperature-stable silicon nitride membranes to investigate single layers of silicon nanocrystal ensembles by energy filtered transmission electron microscopy. The silicon nanocrystals are prepared from the precipitation of a silicon-rich oxynitride layer sandwiched between two SiO2 diffusion barriers and subjected to a high-temperature annealing. We find that such single layers are very sensitive to the annealing parameters and may lead to a significant loss of excess silicon. In addition, these ultrathin layers suffer from significant electron beam damage that needs to be minimized in order to image the pristine sample morphology. Finally we demonstrate how the silicon nanocrystal size distribution develops from a broad to a narrow log-normal distribution, when the initial precipitation layer thickness and stoichiometry are below a critical value.

  14. Tailoring Thermal Radiative Properties with Doped-Silicon Nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhuomin [Georgia Inst. of Technology, Atlanta, GA (United States)

    2017-08-28

    Aligned doped-silicon nanowire (D-SiNW) arrays form a hyperbolic metamaterial in the mid-infrared and have unique thermal radiative properties, such as broadband omnidirectional absorption, low-loss negative refraction, etc. A combined theoretical and experimental investigation will be performed to characterize D-SiNW arrays and other metamaterials for tailoring thermal radiative properties. Near-field thermal radiation between anisotropic materials with hyperbolic dispersions will also be predicted for potential application in energy harvesting. A new kind of anisotropic metamaterial with a hyperbolic dispersion in a broad infrared region has been proposed and demonstrated based on aligned doped-silicon nanowire (D-SiNW) arrays. D-SiNW-based metamaterials have unique thermal radiative properties, such as broadband omnidirectional absorption whose width and location can be tuned by varying the filling ratio and/or doping level. Furthermore, high figure of merit (FOM) can be achieved in a wide spectral region, suggesting that D-SiNW arrays may be used as a negative refraction material with much less loss than other structured materials, such as layered semiconductor materials. We have also shown that D-SiNWs and other nanostructures can significantly enhance near-field thermal radiation. The study of near-field radiative heat transfer between closely spaced objects and the electromagnetic wave interactions with micro/nanostructured materials has become an emerging multidisciplinary field due to its importance in advanced energy systems, manufacturing, local thermal management, and high spatial resolution thermal sensing and mapping. We have performed extensive study on the energy streamlines involving anisotropic metamaterials and the applicability of the effective medium theory for near-field thermal radiation. Graphene as a 2D material has attracted great attention in nanoelectronics, plasmonics, and energy harvesting. We have shown that graphene can be used to

  15. Thermal carbonization of nanoporous silicon

    Indian Academy of Sciences (India)

    An interesting phenomenon is observed while carrying out thermal carbonization of porous silicon (PS) with an aim to arrest the natural surface degradation, and it is a burning issue for PS-based device applications. A tubular carbon structure has been observed on the PS surface. Raman, Fourier transform infrared ...

  16. Measurements of Silicon Detector Thermal Runaway

    CERN Document Server

    Heusch, C A; Moser, H G

    1999-01-01

    We measured thermal runaway properties of previously irradiated silicon detectors cooled by TPG bars. We simulated their expected behaviour to measure the energy gap in the detector material and to test the validity of various underlying assumptions.

  17. An anisotropic thermal-stress model for through-silicon via

    Science.gov (United States)

    Liu, Song; Shan, Guangbao

    2018-02-01

    A two-dimensional thermal-stress model of through-silicon via (TSV) is proposed considering the anisotropic elastic property of the silicon substrate. By using the complex variable approach, the distribution of thermal-stress in the substrate can be characterized more accurately. TCAD 3-D simulations are used to verify the model accuracy and well agree with analytical results (model can be integrated into stress-driven design flow for 3-D IC , leading to the more accurate timing analysis considering the thermal-stress effect. Project supported by the Aerospace Advanced Manufacturing Technology Research Joint Fund (No. U1537208).

  18. Effect of layer thickness on device response of silicon heavily supersaturated with sulfur

    Energy Technology Data Exchange (ETDEWEB)

    Hutchinson, David [Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy NY 12180 (United States); Department of Physics and Nuclear Engineering, United States Military Academy, West Point NY 10996 (United States); Mathews, Jay [US Army ARDEC – Benét Laboratories, Watervliet NY 12189 (United States); Department of Physics, University of Dayton, Dayton, OH 45469 (United States); Sullivan, Joseph T.; Buonassisi, Tonio [School of Engineering, Massachusetts Institute of Technology, Cambridge MA 02139 (United States); Akey, Austin [School of Engineering, Massachusetts Institute of Technology, Cambridge MA 02139 (United States); Harvard John A. Paulson School of Engineering and Applied Sciences, Cambridge MA 02138 (United States); Aziz, Michael J. [Harvard John A. Paulson School of Engineering and Applied Sciences, Cambridge MA 02138 (United States); Persans, Peter [Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy NY 12180 (United States); Warrender, Jeffrey M., E-mail: jwarrend@post.harvard.edu [US Army ARDEC – Benét Laboratories, Watervliet NY 12189 (United States)

    2016-05-15

    We report on a simple experiment in which the thickness of a hyperdoped silicon layer, supersaturated with sulfur by ion implantation followed by pulsed laser melting and rapid solidification, is systematically varied at constant average sulfur concentration, by varying the implantation energy, dose, and laser fluence. Contacts are deposited and the external quantum efficiency (EQE) is measured for visible wavelengths. We posit that the sulfur layer primarily absorbs light but contributes negligible photocurrent, and we seek to support this by analyzing the EQE data for the different layer thicknesses in two interlocking ways. In the first, we use the measured concentration depth profiles to obtain the approximate layer thicknesses, and, for each wavelength, fit the EQE vs. layer thickness curve to obtain the absorption coefficient of hyperdoped silicon for that wavelength. Comparison to literature values for the hyperdoped silicon absorption coefficients [S.H. Pan et al. Applied Physics Letters 98, 121913 (2011)] shows good agreement. Next, we essentially run this process in reverse; we fit with Beer’s law the curves of EQE vs. hyperdoped silicon absorption coefficient for those wavelengths that are primarily absorbed in the hyperdoped silicon layer, and find that the layer thicknesses obtained from the fit are in good agreement with the original values obtained from the depth profiles. We conclude that the data support our interpretation of the hyperdoped silicon layer as providing negligible photocurrent at high S concentrations. This work validates the absorption data of Pan et al. [Applied Physics Letters 98, 121913 (2011)], and is consistent with reports of short mobility-lifetime products in hyperdoped layers. It suggests that for optoelectronic devices containing hyperdoped layers, the most important contribution to the above band gap photoresponse may be due to photons absorbed below the hyperdoped layer.

  19. Effect of layer thickness on device response of silicon heavily supersaturated with sulfur

    Directory of Open Access Journals (Sweden)

    David Hutchinson

    2016-05-01

    Full Text Available We report on a simple experiment in which the thickness of a hyperdoped silicon layer, supersaturated with sulfur by ion implantation followed by pulsed laser melting and rapid solidification, is systematically varied at constant average sulfur concentration, by varying the implantation energy, dose, and laser fluence. Contacts are deposited and the external quantum efficiency (EQE is measured for visible wavelengths. We posit that the sulfur layer primarily absorbs light but contributes negligible photocurrent, and we seek to support this by analyzing the EQE data for the different layer thicknesses in two interlocking ways. In the first, we use the measured concentration depth profiles to obtain the approximate layer thicknesses, and, for each wavelength, fit the EQE vs. layer thickness curve to obtain the absorption coefficient of hyperdoped silicon for that wavelength. Comparison to literature values for the hyperdoped silicon absorption coefficients [S.H. Pan et al. Applied Physics Letters 98, 121913 (2011] shows good agreement. Next, we essentially run this process in reverse; we fit with Beer’s law the curves of EQE vs. hyperdoped silicon absorption coefficient for those wavelengths that are primarily absorbed in the hyperdoped silicon layer, and find that the layer thicknesses obtained from the fit are in good agreement with the original values obtained from the depth profiles. We conclude that the data support our interpretation of the hyperdoped silicon layer as providing negligible photocurrent at high S concentrations. This work validates the absorption data of Pan et al. [Applied Physics Letters 98, 121913 (2011], and is consistent with reports of short mobility-lifetime products in hyperdoped layers. It suggests that for optoelectronic devices containing hyperdoped layers, the most important contribution to the above band gap photoresponse may be due to photons absorbed below the hyperdoped layer.

  20. Improvement in photovoltaic properties of silicon solar cells with a doped porous silicon layer with rare earth (Ce, La) as antireflection coatings

    International Nuclear Information System (INIS)

    Atyaoui, Malek; Dimassi, Wissem; Atyaoui, Atef; Elyagoubi, Jalel; Ouertani, Rachid; Ezzaouia, Hatem

    2013-01-01

    The performance improvement of solar cells due to the formation of a porous silicon layer treated with rare earth (Ce, La) in the n + emitter of silicon n + /p junctions has been investigated. The photovoltaic properties of the cells with and without treatment of the porous silicon layer are compared. From the reflection measurements, it was shown that the cells with treated PS layers have lower reflectivity value compared to cell with untreated PS layer. The main result is that the photovoltaic energy conversion efficiency of solar cells can be enhanced by using the treated porous silicon layers with the rare earth (Ce, La) as anti-reflection coatings. -- Highlights: • The reduction of optical loss in silicon (c-Si) solar cells attracts the attention of many researches to achieve high efficiencies. • To attain this aim, the treated PS layers with rare earth (La, Ce) are suggested to be used as an (ARC) of c-Si solar cell. • The result showed a decrease in the optical losses which can explain the improved photovoltaic properties

  1. Improvement in photovoltaic properties of silicon solar cells with a doped porous silicon layer with rare earth (Ce, La) as antireflection coatings

    Energy Technology Data Exchange (ETDEWEB)

    Atyaoui, Malek, E-mail: atyaoui.malek@yahoo.fr [Laboratoire de Photovoltaïque, Centre de recherches et des technologies de l' energie, technopole de Borj-Cédria, PB:95, Hammam Lif 2050 (Tunisia); Dimassi, Wissem [Laboratoire de Photovoltaïque, Centre de recherches et des technologies de l' energie, technopole de Borj-Cédria, PB:95,Hammam Lif 2050 (Tunisia); Atyaoui, Atef [Laboratoire de traitement des eaux usées, Centre de recherches et des technologies des eaux, technopole de Borj-Cédria, PB: 273, Soliman 8020 (Tunisia); Elyagoubi, Jalel; Ouertani, Rachid; Ezzaouia, Hatem [Laboratoire de Photovoltaïque, Centre de recherches et des technologies de l' energie, technopole de Borj-Cédria, PB:95,Hammam Lif 2050 (Tunisia)

    2013-09-15

    The performance improvement of solar cells due to the formation of a porous silicon layer treated with rare earth (Ce, La) in the n{sup +} emitter of silicon n{sup +}/p junctions has been investigated. The photovoltaic properties of the cells with and without treatment of the porous silicon layer are compared. From the reflection measurements, it was shown that the cells with treated PS layers have lower reflectivity value compared to cell with untreated PS layer. The main result is that the photovoltaic energy conversion efficiency of solar cells can be enhanced by using the treated porous silicon layers with the rare earth (Ce, La) as anti-reflection coatings. -- Highlights: • The reduction of optical loss in silicon (c-Si) solar cells attracts the attention of many researches to achieve high efficiencies. • To attain this aim, the treated PS layers with rare earth (La, Ce) are suggested to be used as an (ARC) of c-Si solar cell. • The result showed a decrease in the optical losses which can explain the improved photovoltaic properties.

  2. Influence of oxygen on the ion-beam synthesis of silicon carbide buried layers in silicon

    International Nuclear Information System (INIS)

    Artamanov, V.V.; Valakh, M.Ya.; Klyui, N.I.; Mel'nik, V.P.; Romanyuk, A.B.; Romanyuk, B.N.; Yukhimchuk, V.A.

    1998-01-01

    The properties of silicon structures with silicon carbide (SiC) buried layers produced by high-dose carbon implantation followed by a high-temperature anneal are investigated by Raman and infrared spectroscopy. The influence of the coimplantation of oxygen on the features of SiC buried layer formation is also studied. It is shown that in identical implantation and post-implantation annealing regimes a SiC buried layer forms more efficiently in CZ Si wafers or in Si (CZ or FZ) subjected to the coimplantation of oxygen. Thus, oxygen promotes SiC layer formation as a result of the formation of SiO x precipitates and accommodation of the volume change in the region where the SiC phase forms. Carbon segregation and the formation of an amorphous carbon film on the SiC grain boundaries are also discovered

  3. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  4. Design criteria for XeF2 enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    KAUST Repository

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad Mustafa

    2016-01-01

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF2) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  5. Graphene Quantum Dot Layers with Energy-Down-Shift Effect on Crystalline-Silicon Solar Cells.

    Science.gov (United States)

    Lee, Kyung D; Park, Myung J; Kim, Do-Yeon; Kim, Soo M; Kang, Byungjun; Kim, Seongtak; Kim, Hyunho; Lee, Hae-Seok; Kang, Yoonmook; Yoon, Sam S; Hong, Byung H; Kim, Donghwan

    2015-09-02

    Graphene quantum dot (GQD) layers were deposited as an energy-down-shift layer on crystalline-silicon solar cell surfaces by kinetic spraying of GQD suspensions. A supersonic air jet was used to accelerate the GQDs onto the surfaces. Here, we report the coating results on a silicon substrate and the GQDs' application as an energy-down-shift layer in crystalline-silicon solar cells, which enhanced the power conversion efficiency (PCE). GQD layers deposited at nozzle scan speeds of 40, 30, 20, and 10 mm/s were evaluated after they were used to fabricate crystalline-silicon solar cells; the results indicate that GQDs play an important role in increasing the optical absorptivity of the cells. The short-circuit current density was enhanced by about 2.94% (0.9 mA/cm(2)) at 30 mm/s. Compared to a reference device without a GQD energy-down-shift layer, the PCE of p-type silicon solar cells was improved by 2.7% (0.4 percentage points).

  6. Thermal conductivity anisotropy in holey silicon nanostructures and its impact on thermoelectric cooling

    Science.gov (United States)

    Ren, Zongqing; Lee, Jaeho

    2018-01-01

    Artificial nanostructures have improved prospects of thermoelectric systems by enabling selective scattering of phonons and demonstrating significant thermal conductivity reductions. While the low thermal conductivity provides necessary temperature gradients for thermoelectric conversion, the heat generation is detrimental to electronic systems where high thermal conductivity are preferred. The contrasting needs of thermal conductivity are evident in thermoelectric cooling systems, which call for a fundamental breakthrough. Here we show a silicon nanostructure with vertically etched holes, or holey silicon, uniquely combines the low thermal conductivity in the in-plane direction and the high thermal conductivity in the cross-plane direction, and that the anisotropy is ideal for lateral thermoelectric cooling. The low in-plane thermal conductivity due to substantial phonon boundary scattering in small necks sustains large temperature gradients for lateral Peltier junctions. The high cross-plane thermal conductivity due to persistent long-wavelength phonons effectively dissipates heat from a hot spot to the on-chip cooling system. Our scaling analysis based on spectral phonon properties captures the anisotropic size effects in holey silicon and predicts the thermal conductivity anisotropy ratio up to 20. Our numerical simulations demonstrate the thermoelectric cooling effectiveness of holey silicon is at least 30% greater than that of high-thermal-conductivity bulk silicon and 400% greater than that of low-thermal-conductivity chalcogenides; these results contrast with the conventional perception preferring either high or low thermal conductivity materials. The thermal conductivity anisotropy is even more favorable in laterally confined systems and will provide effective thermal management solutions for advanced electronics.

  7. Thermal conductivity anisotropy in holey silicon nanostructures and its impact on thermoelectric cooling.

    Science.gov (United States)

    Ren, Zongqing; Lee, Jaeho

    2018-01-26

    Artificial nanostructures have improved prospects of thermoelectric systems by enabling selective scattering of phonons and demonstrating significant thermal conductivity reductions. While the low thermal conductivity provides necessary temperature gradients for thermoelectric conversion, the heat generation is detrimental to electronic systems where high thermal conductivity are preferred. The contrasting needs of thermal conductivity are evident in thermoelectric cooling systems, which call for a fundamental breakthrough. Here we show a silicon nanostructure with vertically etched holes, or holey silicon, uniquely combines the low thermal conductivity in the in-plane direction and the high thermal conductivity in the cross-plane direction, and that the anisotropy is ideal for lateral thermoelectric cooling. The low in-plane thermal conductivity due to substantial phonon boundary scattering in small necks sustains large temperature gradients for lateral Peltier junctions. The high cross-plane thermal conductivity due to persistent long-wavelength phonons effectively dissipates heat from a hot spot to the on-chip cooling system. Our scaling analysis based on spectral phonon properties captures the anisotropic size effects in holey silicon and predicts the thermal conductivity anisotropy ratio up to 20. Our numerical simulations demonstrate the thermoelectric cooling effectiveness of holey silicon is at least 30% greater than that of high-thermal-conductivity bulk silicon and 400% greater than that of low-thermal-conductivity chalcogenides; these results contrast with the conventional perception preferring either high or low thermal conductivity materials. The thermal conductivity anisotropy is even more favorable in laterally confined systems and will provide effective thermal management solutions for advanced electronics.

  8. Preparation and characterization of silicon nitride (Si−N)-coated carbon fibers and their effects on thermal properties in composites

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyeon-Hye [R& D Division, Korea Institute of Carbon Convergence Technology, Jeonju 561-844 (Korea, Republic of); Nano& Advanced Materials Engineering, Jeonju University, Jeonju 560-759 (Korea, Republic of); Han, Woong [R& D Division, Korea Institute of Carbon Convergence Technology, Jeonju 561-844 (Korea, Republic of); Lee, Hae-seong [Nano& Advanced Materials Engineering, Jeonju University, Jeonju 560-759 (Korea, Republic of); Min, Byung-Gak [Department of Polymer Science & Engineering, Korea National University of Transportation, Chungju 380-702 (Korea, Republic of); Kim, Byung-Joo, E-mail: ap2-kbj@hanmail.net [R& D Division, Korea Institute of Carbon Convergence Technology, Jeonju 561-844 (Korea, Republic of)

    2015-10-15

    Graphical abstract: We report preparation and characterization of silicon nitride (Si−N)-coated carbon fibers and their effects on thermal properties in composites. Thermally composites showed enhanced thermal conductivity increasing from up to 59% by the thermal network. - Highlights: • A new method of Si−N coating on carbon fibers was reported. • Silane layer were successfully converted to Si−N layer on carbon fiber surface. • Si−N formation was confirmed by FT-IR, XPS, and EDX. • Thermal conductivity of Si−N coated CF composites were enhanced to 0.59 W/mK. - Abstract: This study investigates the effect of silicon nitride (Si−N)-coated carbon fibers on the thermal conductivity of carbon-fiber-reinforced epoxy composite. The surface properties of the Si−N-coated carbon fibers (SiNCFs) were observe using Fourier transform infrared spectroscopy, scanning electron microscopy, energy dispersive spectroscopy, and X-ray photoelectron spectroscopy, and the thermal stability was analyzed using thermogravimetric analysis. SiNCFs were fabricated through the wet thermal treatment of carbon fibers (Step 1: silane finishing of the carbon fibers; Step 2: high-temperature thermal treatment in a N{sub 2}/NH{sub 3} environment). As a result, the Si−N belt was exhibited by SEM. The average thickness of the belt were 450–500 nm. The composition of Si−N was the mixture of Si−N, Si−O, and C−Si−N as confirmed by XPS. Thermal residue of the SiNCFs in air was enhanced from 3% to 50%. Thermal conductivity of the composites increased from 0.35 to 0.59 W/mK after Si−N coating on carbon surfaces.

  9. Structure and field emission of graphene layers on top of silicon nanowire arrays

    International Nuclear Information System (INIS)

    Huang, Bohr-Ran; Chan, Hui-Wen; Jou, Shyankay; Chen, Guan-Yu; Kuo, Hsiu-An; Song, Wan-Jhen

    2016-01-01

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  10. Structure and field emission of graphene layers on top of silicon nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Bohr-Ran; Chan, Hui-Wen [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Jou, Shyankay, E-mail: sjou@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Chen, Guan-Yu [Graduate Institute of Electro-Optical Engineering and Department of Electronic Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Kuo, Hsiu-An; Song, Wan-Jhen [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China)

    2016-01-30

    Graphical abstract: - Highlights: • We prepared graphene on top of silicon nanowires by transfer-print technique. • Graphene changed from discrete flakes to a continuous by repeated transfer-print. • The triple-layer graphene had high electron field emission due to large edge ratio. - Abstract: Monolayer graphene was grown on copper foils and then transferred on planar silicon substrates and on top of silicon nanowire (SiNW) arrays to form single- to quadruple-layer graphene films. The morphology, structure, and electron field emission (FE) of these graphene films were investigated. The graphene films on the planar silicon substrates were continuous. The single- to triple-layer graphene films on the SiNW arrays were discontinuous and while the quadruple-layer graphene film featured a mostly continuous area. The Raman spectra of the graphene films on the SiNW arrays showed G and G′ bands with a singular-Lorentzian shape together with a weak D band. The D band intensity decreased as the number of graphene layers increased. The FE efficiency of the graphene films on the planar silicon substrates and the SiNW arrays varied with the number of graphene layers. The turn-on field for the single- to quadruple-layer graphene films on planar silicon substrates were 4.3, 3.7, 3.5 and 3.4 V/μm, respectively. The turn-on field for the single- to quadruple-layer graphene films on SiNW arrays decreased to 3.9, 3.3, 3.0 and 3.3 V/μm, respectively. Correlation of the FE with structure and morphology of the graphene films is discussed.

  11. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0layers. Only its thickness is somewhat larger than in thermal oxide.

  12. Thermal and optical properties of porous silicon

    Directory of Open Access Journals (Sweden)

    Silva A. Ferreira da

    2001-01-01

    Full Text Available Thermal diffusivity and optical absorption have been investigated for porous silicon, at room temperature, using photoacoustic spectroscopy. The experimental results obtained conform well with the existing studies recently published. The value obtained for thermal diffusivity is 0.045 ± 0.002 cm²/s.The absorption onsets show energy structures, differing from the ordinary semiconductor of bulk type.

  13. Effects of silicon:carbon P+ layer interfaces on solar cells

    International Nuclear Information System (INIS)

    Jeffrey, F.R.; Vernstrom, G.D.; Weber, M.F.; Gilbert, J.R.

    1987-01-01

    Results are presented showing the effects on amorphous silicon (a-Si) photovoltaic performance of the interfaces associated with a silicon carbide (a-Si:C) p+ layer. Carbon grading into the intrinsic layer from the p+ layer increases open circuit voltage (Voc) from 0.7V to 0.88V. This effect is very similar to the boron profile effect reported earlier and supports the contention that Voc is being limited by an electron current at the p-i interface. The interface between the p+ a-Si:C layer and the transparent conductive oxide (TCO) is shown to be a potential source of high series resistance, with an abrupt interface showing the most serious problem. The effect is explained by electron injection from the TCO into the p+ layer being inhibited as a result of band mismatch

  14. Contribution to implanted silicon layers and their annealing

    International Nuclear Information System (INIS)

    Combasson, J.-L.

    1976-01-01

    Defects created by boron implantation in silicon have been characterized by measuring the diffusion coefficient during annealing. Implanted impurity distributions were calculated after analyzing the hypotheses relating to charged particle slowing down through matter. Profiles are predicted with a good accuracy, by replacing occasionally the electronic stopping law by an empirical law. The asymmetries predicted are generally observed but deviations may occur for crystalline targets, or when the ion is heavy with regard to the substrate (in the event the Thomas-Fermi potential is not yet valid due to the high impact parameters). When deviations are neglected, the displacement cascade from implantation is represented by a damage profile proportional to the distribution of the Frenkel pairs. The annealing of the implanted layers is characterized by three annealing stages. The first one (400 deg C-600 deg C) is imputed to divacancy annealing associated to the formation and migration of boron-vacancy complexes. The second one (500 deg C-650 deg C) is characterized by the Watkins replacement mechanism. At high temperatures, when the annealing duration is longer than that of precipitation, interstitial loops are dissolved, and the thermal diffusion of boron atoms involves the vacancy mechanism of thermal diffusion [fr

  15. Movable MEMS Devices on Flexible Silicon

    KAUST Repository

    Ahmed, Sally

    2013-05-05

    Flexible electronics have gained great attention recently. Applications such as flexible displays, artificial skin and health monitoring devices are a few examples of this technology. Looking closely at the components of these devices, although MEMS actuators and sensors can play critical role to extend the application areas of flexible electronics, fabricating movable MEMS devices on flexible substrates is highly challenging. Therefore, this thesis reports a process for fabricating free standing and movable MEMS devices on flexible silicon substrates; MEMS flexure thermal actuators have been fabricated to illustrate the viability of the process. Flexure thermal actuators consist of two arms: a thin hot arm and a wide cold arm separated by a small air gap; the arms are anchored to the substrate from one end and connected to each other from the other end. The actuator design has been modified by adding etch holes in the anchors to suit the process of releasing a thin layer of silicon from the bulk silicon substrate. Selecting materials that are compatible with the release process was challenging. Moreover, difficulties were faced in the fabrication process development; for example, the structural layer of the devices was partially etched during silicon release although it was protected by aluminum oxide which is not attacked by the releasing gas . Furthermore, the thin arm of the thermal actuator was thinned during the fabrication process but optimizing the patterning and etching steps of the structural layer successfully solved this problem. Simulation was carried out to compare the performance of the original and the modified designs for the thermal actuators and to study stress and temperature distribution across a device. A fabricated thermal actuator with a 250 μm long hot arm and a 225 μm long cold arm separated by a 3 μm gap produced a deflection of 3 μm before silicon release, however, the fabrication process must be optimized to obtain fully functioning

  16. Carbon nanotube-copper exhibiting metal-like thermal conductivity and silicon-like thermal expansion for efficient cooling of electronics.

    Science.gov (United States)

    Subramaniam, Chandramouli; Yasuda, Yuzuri; Takeya, Satoshi; Ata, Seisuke; Nishizawa, Ayumi; Futaba, Don; Yamada, Takeo; Hata, Kenji

    2014-03-07

    Increasing functional complexity and dimensional compactness of electronic devices have led to progressively higher power dissipation, mainly in the form of heat. Overheating of semiconductor-based electronics has been the primary reason for their failure. Such failures originate at the interface of the heat sink (commonly Cu and Al) and the substrate (silicon) due to the large mismatch in thermal expansion coefficients (∼300%) of metals and silicon. Therefore, the effective cooling of such electronics demands a material with both high thermal conductivity and a similar coefficient of thermal expansion (CTE) to silicon. Addressing this demand, we have developed a carbon nanotube-copper (CNT-Cu) composite with high metallic thermal conductivity (395 W m(-1) K(-1)) and a low, silicon-like CTE (5.0 ppm K(-1)). The thermal conductivity was identical to that of Cu (400 W m(-1) K(-1)) and higher than those of most metals (Ti, Al, Au). Importantly, the CTE mismatch between CNT-Cu and silicon was only ∼10%, meaning an excellent compatibility. The seamless integration of CNTs and Cu was achieved through a unique two-stage electrodeposition approach to create an extensive and continuous interface between the Cu and CNTs. This allowed for thermal contributions from both Cu and CNTs, resulting in high thermal conductivity. Simultaneously, the high volume fraction of CNTs balanced the thermal expansion of Cu, accounting for the low CTE of the CNT-Cu composite. The experimental observations were in good quantitative concurrence with the theoretically described 'matrix-bubble' model. Further, we demonstrated identical in-situ thermal strain behaviour of the CNT-Cu composite to Si-based dielectrics, thereby generating the least interfacial thermal strain. This unique combination of properties places CNT-Cu as an isolated spot in an Ashby map of thermal conductivity and CTE. Finally, the CNT-Cu composite exhibited the greatest stability to temperature as indicated by its low

  17. Mechanical grooving of oxidized porous silicon to reduce the reflectivity of monocrystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Zarroug, A.; Dimassi, W.; Ouertani, R.; Ezzaouia, H. [Laboratoire de Photovoltaique, Centre des Recherches et des Technologies de l' Energie, BP. 95, Hammam-Lif 2050 (Tunisia)

    2012-10-15

    In this work, we are interested to use oxidized porous silicon (ox-PS) as a mask. So, we display the creating of a rough surface which enhances the absorption of incident light by solar cells and reduces the reflectivity of monocrystalline silicon (c-Si). It clearly can be seen that the mechanical grooving enables us to elaborate the texturing of monocrystalline silicon wafer. Results demonstrated that the application of a PS layer followed by a thermal treatment under O2 ambient easily gives us an oxide layer of uniform size which can vary from a nanometer to about ten microns. In addition, the Fourier transform infrared (FTIR) spectroscopy investigations of the PS layer illustrates the possibility to realize oxide layer as a mask for porous silicon. We found also that this simple and low cost method decreases the total reflectivity (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Numerical analysis of temperature profile and thermal-stress during excimer laser induced heteroepitaxial growth of patterned amorphous silicon and germanium bi-layers deposited on Si(100)

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C., E-mail: jconde@uvigo.e [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, E-36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas y Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, E-36310 Vigo (Spain); Gontad, F.; Chiussi, S. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, E-36310 Vigo (Spain); Fornarini, L. [Enea-Frascati, Via Enrico Fermi 45, I-00044 Frascati (Roma) (Italy); Leon, B. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, E-36310 Vigo (Spain)

    2010-02-26

    A Finite Element Method (FEM) study of the coupled thermal-stress during the heteroepitaxial growth induced by excimer laser radiation of patterned amorphous hydrogenated silicon (a-Si:H) and germanium (a-Ge:H) bi-layers deposited on a Si(100) wafer is presented. The ArF (193 nm) excimer laser provides high energy densities during very short laser pulse (20 ns) provoking, at the same time, melting and solidification phenomena in the range of several tenths of nanoseconds. These phenomena play an important role during the growth of heteroepitaxial SiGe structures characterized by high Ge concentration buried under a Si rich surface. In addition, the thermal-stresses that appear before the melting and after the solidification processes can also affect to the epitaxial growth of high quality SiGe alloys in these patterned structures and, in consequence, it is necessary to predict their effects. The aim of this work is to estimate the energy threshold and the corresponding thermal-stresses in the interfaces and the borders of these patterned structures.

  19. Numerical analysis of temperature profile and thermal-stress during excimer laser induced heteroepitaxial growth of patterned amorphous silicon and germanium bi-layers deposited on Si(100)

    International Nuclear Information System (INIS)

    Conde, J.C.; Martin, E.; Gontad, F.; Chiussi, S.; Fornarini, L.; Leon, B.

    2010-01-01

    A Finite Element Method (FEM) study of the coupled thermal-stress during the heteroepitaxial growth induced by excimer laser radiation of patterned amorphous hydrogenated silicon (a-Si:H) and germanium (a-Ge:H) bi-layers deposited on a Si(100) wafer is presented. The ArF (193 nm) excimer laser provides high energy densities during very short laser pulse (20 ns) provoking, at the same time, melting and solidification phenomena in the range of several tenths of nanoseconds. These phenomena play an important role during the growth of heteroepitaxial SiGe structures characterized by high Ge concentration buried under a Si rich surface. In addition, the thermal-stresses that appear before the melting and after the solidification processes can also affect to the epitaxial growth of high quality SiGe alloys in these patterned structures and, in consequence, it is necessary to predict their effects. The aim of this work is to estimate the energy threshold and the corresponding thermal-stresses in the interfaces and the borders of these patterned structures.

  20. Enhanced photovoltaic performance of inverted pyramid-based nanostructured black-silicon solar cells passivated by an atomic-layer-deposited Al2O3 layer.

    Science.gov (United States)

    Chen, Hong-Yan; Lu, Hong-Liang; Ren, Qing-Hua; Zhang, Yuan; Yang, Xiao-Feng; Ding, Shi-Jin; Zhang, David Wei

    2015-10-07

    Inverted pyramid-based nanostructured black-silicon (BS) solar cells with an Al2O3 passivation layer grown by atomic layer deposition (ALD) have been demonstrated. A multi-scale textured BS surface combining silicon nanowires (SiNWs) and inverted pyramids was obtained for the first time by lithography and metal catalyzed wet etching. The reflectance of the as-prepared BS surface was about 2% lower than that of the more commonly reported upright pyramid-based SiNW BS surface over the whole of the visible light spectrum, which led to a 1.7 mA cm(-2) increase in short circuit current density. Moreover, the as-prepared solar cells were further passivated by an ALD-Al2O3 layer. The effect of annealing temperature on the photovoltaic performance of the solar cells was investigated. It was found that the values of all solar cell parameters including short circuit current, open circuit voltage, and fill factor exhibit a further increase under an optimized annealing temperature. Minority carrier lifetime measurements indicate that the enhanced cell performance is due to the improved passivation quality of the Al2O3 layer after thermal annealing treatments. By combining these two refinements, the optimized SiNW BS solar cells achieved a maximum conversion efficiency enhancement of 7.6% compared to the cells with an upright pyramid-based SiNWs surface and conventional SiNx passivation.

  1. Design criteria for XeF{sub 2} enabled deterministic transformation of bulk silicon (100) into flexible silicon layer

    Energy Technology Data Exchange (ETDEWEB)

    Hussain, Aftab M.; Shaikh, Sohail F.; Hussain, Muhammad M., E-mail: muhammadmustafa.hussain@kaust.edu.sa [Integrated Nanotechnology Laboratory (INL) and Integrated Disruptive Electronics Applications (IDEA) Laboratory, Computer Electrical Mathematical Science and Engineering Division, King Abdullah University of Science and Technology - KAUST, Thuwal 23955-6900 (Saudi Arabia)

    2016-07-15

    Isotropic etching of bulk silicon (100) using Xenon Difluoride (XeF{sub 2}) gas presents a unique opportunity to undercut and release ultra-thin flexible silicon layers with pre-fabricated state-of-the-art Complementary Metal Oxide Semiconductor (CMOS) electronics. In this work, we present design criteria and mechanism with a comprehensive mathematical model for this method. We consider various trench geometries and parametrize important metrics such as etch time, number of cycles and area efficiency in terms of the trench diameter and spacing so that optimization can be done for specific applications. From our theoretical analysis, we conclude that a honeycomb-inspired hexagonal distribution of trenches can produce the most efficient release of ultra-thin flexible silicon layers in terms of the number of etch cycles, while a rectangular distribution of circular trenches provides the most area efficient design. The theoretical results are verified by fabricating and releasing (varying sizes) flexible silicon layers. We observe uniform translation of design criteria into practice for etch distances and number of etch cycles, using reaction efficiency as a fitting parameter.

  2. UV radiation hardness of silicon inversion layer solar cells

    International Nuclear Information System (INIS)

    Hezel, R.

    1990-01-01

    For full utilization of the high spectral response of inversion layer solar cells in the very-short-wavelength range of the solar spectrum sufficient ultraviolet-radiation hardness is required. In addition to the charge-induced passivation achieved by cesium incorporation into the silicon nitride AR coating, in this paper the following means for further drastic reduction of UV light-induced effects in inversion layer solar cells without encapsulation are introduced and interpretations are given: increasing the nitride deposition temperature, silicon surface oxidation at low temperatures, and texture etching and using higher substrate resistivities. High UV radiation tolerance and improvement of the cell efficiency could be obtained simultaneously

  3. Thermal simulations of the new design for the BELLE silicon vertex detector

    International Nuclear Information System (INIS)

    Dragic, J.

    2000-01-01

    Full text: The experienced imperfections of the BELLE silicon vertex detector, SVD1 motioned the design of a new detector, SVD2, which targets on improving the main weaknesses encountered in the old design. In this report we focus on tile thermal aspects of the SVD2 ladder, whereby sufficient cooling of the detector is necessary in order to minimise the detector leakage currents. It is estimated that reducing the temperature of the silicon detector from 25 deg C to 15 deg C would result in a 50% reduction in leak current. Further, cooling the detector would help minimize mechanical stresses from the thermal cycling. Our task is to ensure that the heat generated by the readout chips is conducted down the SVD hybrid unit effectively, such that the chip and the hybrid temperature does not overbear the SVD silicon sensor temperature. We considered the performance of two materials to act as a heat spreading plate which is glued between the two hybrids in order to improve the heat conductivity of the hybrid unit, namely Copper and Thermal Pyrolytic Graphite (TPG). The effects of other ladder components were also considered in order to enhance the cooling of the silicon detectors. Finite element analysis with ANSYS software was used to simulate the thermal conditions of the SVD2 hybrid unit, in accordance with the baseline design for the mechanical structure of the ladder. It was found that Cu was a preferred material as it achieved equivalent silicon sensor cooling (3.6 deg C above cooling point), while its mechanical properties rendered it a lot more practical. Suppressing, the thermal path via a rib support block, by increasing its thermal resistivity, as well as increasing thermal conductivity of the ribs in the hybrid region, were deemed essential in the effective cooling of the silicon sensors

  4. Activity and lifetime of urease immobilized using layer-by-layer nano self-assembly on silicon microchannels.

    Science.gov (United States)

    Forrest, Scott R; Elmore, Bill B; Palmer, James D

    2005-01-01

    Urease has been immobilized and layered onto the walls of manufactured silicon microchannels. Enzyme immobilization was performed using layer-by-layer nano self-assembly. Alternating layers of oppositely charged polyelectrolytes, with enzyme layers "encased" between them, were deposited onto the walls of the silicon microchannels. The polycations used were polyethylenimine (PEI), polydiallyldimethylammonium (PDDA), and polyallylamine (PAH). The polyanions used were polystyrenesulfonate (PSS) and polyvinylsulfate (PVS). The activity of the immobilized enzyme was tested by pumping a 1 g/L urea solution through the microchannels at various flow rates. Effluent concentration was measured using an ultraviolet/visible spectrometer by monitoring the absorbance of a pH sensitive dye. The architecture of PEI/PSS/PEI/urease/PEI with single and multiple layers of enzyme demonstrated superior performance over the PDDA and PAH architectures. The precursor layer of PEI/PSS demonstrably improved the performance of the reactor. Conversion rates of 70% were achieved at a residence time of 26 s, on d 1 of operation, and >50% at 51 s, on d 15 with a six-layer PEI/urease architecture.

  5. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  6. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  7. Characterization of Ag-porous silicon nanostructured layer formed by an electrochemical etching of p-type silicon surface for bio-application

    Science.gov (United States)

    Naddaf, M.; Al-Mariri, A.; Haj-Mhmoud, N.

    2017-06-01

    Nanostructured layers composed of silver-porous silicon (Ag-PS) have been formed by an electrochemical etching of p-type (1 1 1) silicon substrate in a AgNO3:HF:C2H5OH solution at different etching times (10 min-30 min). Scanning electron microscopy (SEM) and energy-dispersive x-ray spectroscopy (EDS) results reveal that the produced layers consist of Ag dendrites and a silicon-rich porous structure. The nanostructuring nature of the layer has been confirmed by spatial micro-Raman scattering and x-ray diffraction techniques. The Ag dendrites exhibit a surface-enhanced Raman scattering (SERS) spectrum, while the porous structure shows a typical PS Raman spectrum. Upon increasing the etching time, the average size of silicon nanocrystallite in the PS network decreases, while the average size of Ag nanocrystals is slightly affected. In addition, the immobilization of prokaryote Salmonella typhimurium DNA via physical adsorption onto the Ag-PS layer has been performed to demonstrate its efficiency as a platform for detection of biological molecules using SERS.

  8. Silicon nanocrystal films for electronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Lechner, Robert W.

    2009-02-06

    Whether nanoparticles of silicon are really suited for such applications, whether layers fabricated from this exhibit semiconducting properties, whether they can be doped, and whether for instance via the doping the conductivity can be tuned, was studied in the present thesis. Starting material for this were on the one hand spherical silicon nanocrystals with a sharp size distribution and mean diameters in the range from 4-50 nm. Furthermore silicon particle were available, which are with 50-500 nm distinctly larger and exhibit a broad distribution of the mean size and a polycrystalline fine structure with strongly bifurcated external morphology. The small conductivities and tje low mobility values of the charge carriers in the layers of silicon nanocrystals suggest to apply suited thermal after-treatment procedures. So was found that the aluminium-induced layer exchange (ALILE) also can be transferred to the porous layers of nanocrystals. With the deuteron passivation a method was available to change the charge-carrier concentration in the polycrystalline layers. Additionally to ALILE laser crystallization as alternative after-treatment procedure of the nanocrystal layers was studied.

  9. Compositional analysis of silicon oxide/silicon nitride thin films

    Directory of Open Access Journals (Sweden)

    Meziani Samir

    2016-06-01

    Full Text Available Hydrogen, amorphous silicon nitride (SiNx:H abbreviated SiNx films were grown on multicrystalline silicon (mc-Si substrate by plasma enhanced chemical vapour deposition (PECVD in parallel configuration using NH3/SiH4 gas mixtures. The mc-Si wafers were taken from the same column of Si cast ingot. After the deposition process, the layers were oxidized (thermal oxidation in dry oxygen ambient environment at 950 °C to get oxide/nitride (ON structure. Secondary ion mass spectroscopy (SIMS, Rutherford backscattering spectroscopy (RBS, Auger electron spectroscopy (AES and energy dispersive X-ray analysis (EDX were employed for analyzing quantitatively the chemical composition and stoichiometry in the oxide-nitride stacked films. The effect of annealing temperature on the chemical composition of ON structure has been investigated. Some species, O, N, Si were redistributed in this structure during the thermal oxidation of SiNx. Indeed, oxygen diffused to the nitride layer into Si2O2N during dry oxidation.

  10. Second-harmonic generation in substoichiometric silicon nitride layers

    Science.gov (United States)

    Pecora, Emanuele; Capretti, Antonio; Miano, Giovanni; Dal Negro, Luca

    2013-03-01

    Harmonic generation in optical circuits offers the possibility to integrate wavelength converters, light amplifiers, lasers, and multiple optical signal processing devices with electronic components. Bulk silicon has a negligible second-order nonlinear optical susceptibility owing to its crystal centrosymmetry. Silicon nitride has its place in the microelectronic industry as an insulator and chemical barrier. In this work, we propose to take advantage of silicon excess in silicon nitride to increase the Second Harmonic Generation (SHG) efficiency. Thin films have been grown by reactive magnetron sputtering and their nonlinear optical properties have been studied by femtosecond pumping over a wide range of excitation wavelengths, silicon nitride stoichiometry and thermal processes. We demonstrate SHG in the visible range (375 - 450 nm) using a tunable 150 fs Ti:sapphire laser, and we optimize the SH emission at a silicon excess of 46 at.% demonstrating a maximum SHG efficiency of 4x10-6 in optimized films. Polarization properties, generation efficiency, and the second order nonlinear optical susceptibility are measured for all the investigated samples and discussed in terms of an effective theoretical model. Our findings show that the large nonlinear optical response demonstrated in optimized Si-rich silicon nitride materials can be utilized for the engineering of nonlinear optical functions and devices on a Si chip.

  11. Ultrahigh thermal conductivity of isotopically enriched silicon

    Science.gov (United States)

    Inyushkin, Alexander V.; Taldenkov, Alexander N.; Ager, Joel W.; Haller, Eugene E.; Riemann, Helge; Abrosimov, Nikolay V.; Pohl, Hans-Joachim; Becker, Peter

    2018-03-01

    Most of the stable elements have two and more stable isotopes. The physical properties of materials composed of such elements depend on the isotopic abundance to some extent. A remarkably strong isotope effect is observed in the phonon thermal conductivity, the principal mechanism of heat conduction in nonmetallic crystals. An isotopic disorder due to random distribution of the isotopes in the crystal lattice sites results in a rather strong phonon scattering and, consequently, in a reduction of thermal conductivity. In this paper, we present new results of accurate and precise measurements of thermal conductivity κ(T) for silicon single crystals having three different isotopic compositions at temperatures T from 2.4 to 420 K. The highly enriched crystal containing 99.995% of 28Si, which is one of the most perfect crystals ever synthesized, demonstrates a thermal conductivity of about 450 ± 10 W cm-1 K-1 at 24 K, the highest measured value among bulk dielectrics, which is ten times greater than the one for its counterpart natSi with the natural isotopic constitution. For highly enriched crystal 28Si and crystal natSi, the measurements were performed for two orientations [001] and [011], a magnitude of the phonon focusing effect on thermal conductivity was determined accurately at low temperatures. The anisotropy of thermal conductivity disappears above 31 K. The influence of the boundary scattering on thermal conductivity persists sizable up to much higher temperatures (˜80 K). The κ(T) measured in this work gives the most accurate approximation of the intrinsic thermal conductivity of single crystal silicon which is determined solely by the anharmonic phonon processes and diffusive boundary scattering over a wide temperature range.

  12. Pt thermal atomic layer deposition for silicon x-ray micropore optics.

    Science.gov (United States)

    Takeuchi, Kazuma; Ezoe, Yuichiro; Ishikawa, Kumi; Numazawa, Masaki; Terada, Masaru; Ishi, Daiki; Fujitani, Maiko; Sowa, Mark J; Ohashi, Takaya; Mitsuda, Kazuhisa

    2018-04-20

    We fabricated a silicon micropore optic using deep reactive ion etching and coated by Pt with atomic layer deposition (ALD). We confirmed that a metal/metal oxide bilayer of Al 2 O 3 ∼10  nm and Pt ∼20  nm was successfully deposited on the micropores whose width and depth are 20 μm and 300 μm, respectively. An increase of surface roughness of sidewalls of the micropores was observed with a transmission electron microscope and an atomic force microscope. X-ray reflectivity with an Al Kα line at 1.49 keV before and after the deposition was measured and compared to ray-tracing simulations. The surface roughness of the sidewalls was estimated to increase from 1.6±0.2  nm rms to 2.2±0.2  nm rms. This result is consistent with the microscope measurements. Post annealing of the Pt-coated optic at 1000°C for 2 h showed a sign of reduced surface roughness and better angular resolution. To reduce the surface roughness, possible methods such as the annealing after deposition and a plasma-enhanced ALD are discussed.

  13. A study on effective thermal conductivity of crystalline layers in layer melt crystallization

    International Nuclear Information System (INIS)

    Kim, Kwang-Joo; Ulrich, Joachim

    2002-01-01

    An effective thermal conductivity in layer melt crystallization was explored based on a model considering inclusions inside a crystalline layer during crystal growth, molecular diffusion of inclusions migration due to temperature gradient and heat generation due to recrystallization of inclusions in the crystalline layer. The effective thermal conductivity increases with time, in general, as a result of compactness of the layer. Lower cooling temperature, i.e. greater supercooling, results in a more porous layer with lower effective thermal conductivity. A similar result is seen for the parameter of melt temperature, but less pronounced. A high concentration of the melt results in a high effective thermal conductivity while low concentration yields low effective thermal conductivity. At higher impurity levels in the melt phase, constitutional supercooling becomes more pronounced and unstable growth morphologies occur more easily. Cooling rate and Reynolds number also affect the effective thermal conductivity. The predictions of an effective thermal conductivity agree with the experimental data. The model was applied to estimate the thermal conductivities of the crystalline layer during layer melt crystallization. (author)

  14. Studies of pulsed laser melting and rapid solidification using amorphous silicon

    International Nuclear Information System (INIS)

    Lowndes, D.H.; Wood, R.F.

    1984-06-01

    Pulsed-laser melting of ion implantation-amorphized silicon layers, and subsequent solidification were studied. Measurements of the onset of melting of amorphous silicon layers and of the duration of melting, and modified melting model calculations demonstrated that the thermal conductivity, K/sub a/, of amorphous silicon is very low (K/sub a/ approx. = 0.02 W/cm-K). K/sub a/ is also the dominant parameter determining the dynamical response of amorphous silicon to pulsed laser radiation. TEM indicates that bulk (volume) nucleation occurs directly from the highly undercooled liquid silicon that can be prepared by pulsed laser melting of amorphous silicon layers at low laser energy densities. A modified thermal melting model is presented. The model calculations demonstrate that the release of latent heat by bulk nucleation occurring during the melt-in process is essential to obtaining agreement with observed depths of melting. These calculations also show that this release of latent heat accompanying bulk nucleation can result in the existence of buried molten layers of silicon in the interior of the sample after the surface has solidified. The bulk nucleation implies that the liquid-to-amorphous phase transition (produced using picosecond or uv nanosecond laser pulses) cannot be explained using purely thermodynamic considerations

  15. Segregation and Clustering Effects on Complex Boron Redistribution in Strongly Doped Polycrystalline-Silicon Layers

    International Nuclear Information System (INIS)

    Abadli, S.; Mansour, F.

    2011-01-01

    This work deals with the investigation of the complex phenomenon of boron (B) transient enhanced diffusion (TED) in strongly implanted silicon (Si) layers. It concerns the instantaneous influences of the strong B concentrations, the Si layers crystallization, the clustering and the B trapping/segregation during thermal post-implantation annealing. We have used Si thin layers obtained from disilane (Si2H6) by low pressure chemical vapor deposition (LPCVD) and then B implanted with a dose of 4 x 1015 atoms/cm2 at an energy of 15 keV. To avoid long redistributions, thermal annealing was carried out at relatively low-temperatures (700, 750 and 800 'deg'C) for various short-times ranging between 1 and 30 minutes. To investigate the experimental secondary ion mass spectroscopy (SIMS) doping profiles, a redistribution model well adapted to the particular structure of Si-LPCVD layers and to the effects of strong-concentrations has been established. The good adjustment of the simulated profiles with the experimental SIMS profiles allowed a fundamental understanding about the instantaneous physical phenomena giving and disturbing the TED process in strongly doped Si-LPCVD layers. It was found that boron TED is strongly affected by the simultaneous complex kinetics of clustering, crystallization, trapping and segregation during annealing. The fast formation of small Si-B clusters enhances the B diffusivity whereas the evolution of the clusters and segregation reduce this enhancement. (author)

  16. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  17. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  18. Thermal Stress of Surface of Mold Cavities and Parting Line of Silicone Molds

    Directory of Open Access Journals (Sweden)

    Bajčičák Martin

    2014-06-01

    Full Text Available The paper is focused on the study of thermal stress of surface of mold cavities and parting line of silicone molds after pouring. The silicone mold White SD - THT was thermally stressed by pouring of ZnAl4Cu3 zinc alloy with pouring cycle 20, 30 and 40 seconds. The most thermally stressed part of surface at each pouring cycle is gating system and mold cavities. It could be further concluded that linear increase of the pouring cycle time leads to the exponential increasing of the maximum temperature of mold surface after its cooling. The elongated pouring cycle increases the temperature accumulated on the surface of cavities and the ability of silicone mold to conduct the heat on its surface decreases, because the low thermal conductivity of silicone molds enables the conduction of larger amount of heat into ambient environment.

  19. Apparatus and method of manufacture for depositing a composite anti-reflection layer on a silicon surface

    Science.gov (United States)

    Pain, Bedabrata (Inventor)

    2012-01-01

    An apparatus and associated method are provided. A first silicon layer having at least one of an associated passivation layer and barrier is included. Also included is a composite anti-reflection layer including a stack of layers each with a different thickness and refractive index. Such composite anti-reflection layer is disposed adjacent to the first silicon layer.

  20. Surface state of GaN after rapid-thermal-annealing using AlN cap-layer

    Energy Technology Data Exchange (ETDEWEB)

    El-Zammar, G., E-mail: georgio.elzammar@univ-tours.fr [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Khalfaoui, W. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Oheix, T. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Yvon, A.; Collard, E. [STMicroelectronics, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France); Cayrel, F.; Alquier, D. [Université François Rabelais, Tours, GREMAN, CNRS UMR 7347, 10 rue Thalès de Milet CS 97155, 37071 Tours Cedex 2 (France)

    2015-11-15

    Graphical abstract: Surface state of a crack-free AlN cap-layer reactive sputtered on GaN and annealed at high temperature showing a smooth, pit-free surface. - Highlights: • We deposit a crystalline AlN layer by reactive magnetron sputtering on GaN. • We show the effect of deposition parameters of AlN by reactive magnetron sputtering on the quality of the grown layer. • We demonstrate the efficiency of double cap-layer for GaN protection during high temperature thermal treatments. • We show an efficient selective etch of AlN without damaging GaN surface. - Abstract: Critical issues need to be overcome to produce high performance Schottky diodes on gallium nitride (GaN). To activate dopant, high temperature thermal treatments are required but damage GaN surface where hexagonal pits appear and prevent any device processing. In this paper, we investigated the efficiency of cap-layers on GaN during thermal treatments to avoid degradation. Aluminum nitride (AlN) and silicon oxide (SiO{sub x}) were grown on GaN by direct current reactive magnetron sputtering and plasma-enhanced chemical vapor deposition, respectively. AlN growth parameters were studied to understand their effect on the grown layers and their protection efficiency. Focused ion beam was used to measure AlN layer thickness. Crystalline quality and exact composition were verified using X-ray diffraction and energy dispersive X-ray spectroscopy. Two types of rapid thermal annealing at high temperatures were investigated. Surface roughness and pits density were evaluated using atomic force microscopy and scanning electron microscopy. Cap-layers wet etching was processed in H{sub 3}PO{sub 4} at 120 °C for AlN and in HF (10%) for SiO{sub x}. This work reveals effective protection of GaN during thermal treatments at temperatures as high as 1150 °C. Low surface roughness was obtained. Furthermore, no hexagonal pit was observed on the surface.

  1. Control of thermal deformation in dielectric mirrors using mechanical design and atomic layer deposition.

    Science.gov (United States)

    Gabriel, Nicholas T; Kim, Sangho S; Talghader, Joseph J

    2009-07-01

    A mechanical design technique for optical coatings that simultaneously controls thermal deformation and optical reflectivity is reported. The method requires measurement of the refractive index and thermal stress of single films prior to the design. Atomic layer deposition was used for deposition because of the high repeatability of the film constants. An Al2O3/HfO2 distributed Bragg reflector was deposited with a predicted peak reflectivity of 87.9% at 542.4 nm and predicted edge deformation of -360 nm/K on a 10 cm silicon substrate. The measured peak reflectivity was 85.7% at 541.7 nm with an edge deformation of -346 nm/K.

  2. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  3. Peculiarities of phase transformations in molybdenum-silicon system under ion bombardment

    International Nuclear Information System (INIS)

    Gurskij, L.I.; Zelenin, V.A.; Bobchenok, Yu.L.

    1984-01-01

    The problems of effect of ion bombardment and thermal treatment on the mechanisms of formation of transition layers and structural transformations in the molybdenum-silicon system, where the interface is subjected to ion bombardment through a film of molybdenum, are considered. The method of electron diffraction analysis has been applied to establish that at the molybdenum-silicon interface a transitional region appears during irradiation which has a semiamorphous structure at the doses up to 8x10 14 ion/cm 2 , while at higher doses it transforms into polycrystalline intermediate layer which consists of MoB and the compound close in composition to MoSisub(0.65). Due to thermal treatment for 60873 K a large-grain phase (Mo 3 Si+MoSi 2 ) appears in the transition layer below which a large-grain silicon layer is placed

  4. Structural, optical and thermal properties of nanoporous aluminum

    International Nuclear Information System (INIS)

    Ghrib, Taher

    2015-01-01

    Highlights: • A simple electrochemical technique is presented and used to manufacture a porous aluminum layer. • Manufactured pores of 40 nm diameter and 200 nm depth are filled by nanocrystal of silicon and graphite. • Dimensions of pores increase with the anodization current which ameliorate the optical and thermal properties. • A new thermal method is presented which permit to determine the pores density and the layer thickness. • All properties show that the manufactured material can be used with success in solar cells. - Abstract: In this work the structural, thermal and optical properties of porous aluminum thin film formed with various intensities of anodization current in sulfuric acid are highlighted. The obtained pores at the surface are filled by sprayed graphite and nanocrystalline silicon (nc-Si) thin films deposited by plasma enhancement chemical vapor deposition (PECVD) which the role is to improve its optical and thermal absorption giving a structure of an assembly of three different media such as deposited thin layer (graphite or silicon)/(porous aluminum layer filled with the deposited layer)/(Al sample). The effect of anodization current on the microstructure of porous aluminum and the effect of the deposited layer were systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM) and Raman spectroscopy. The thermal properties such as the thermal conductivity (K) and thermal diffusivity (D) are determined by the photothermal deflection (PTD) technique which is a non destructive technique. Based on this full characterization, it is demonstrated that the thermal and optical characteristics of these films are directly correlated to their micro-structural properties

  5. THERMAL CONSOLIDATION OF LAYERED POROUS HALF-SPACE TO VARIABLE THERMAL LOADING

    Institute of Scientific and Technical Information of China (English)

    BAI Bing

    2006-01-01

    An analytical method was derived for the thermal consolidation of layered,saturated porous half-space to variable thermal loading with time. In the coupled governing equations of linear thermoelastic media, the influences of thermo-osmosis effect and thermal filtration effect were introduced. Solutions in Laplace transform space were first obtained and then numerically inverted. The responses of a double-layered porous space subjected to exponential decaying thermal loading were studied. The influences of the differences between the properties of the two layers (e.g., the coefficient of thermal consolidation, elastic modulus) on thermal consolidation were discussed. The studies show that the coupling effects of displacement and stress fields on temperature field can be completely neglected, however, thc thermo-osmosis effect has an obvious influence on thermal responses.

  6. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    International Nuclear Information System (INIS)

    Acero, M.C.; Esteve, J.; Montserrat, J.; Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R.

    1993-01-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10 17 cm -2 to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10 17 cm -2 , layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author)

  7. A Numerical Study on Phonon Spectral Contributions to Thermal Conduction in Silicon-on-Insulator Transistor Using Electron-Phonon Interaction Model

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Hyung-sun; Koh, Young Ha; Jin, Jae Sik [Chosun College of Science and Technology, Gwangju (Korea, Republic of)

    2017-06-15

    The aim of this study is to understand the phonon transfer characteristics of a silicon thin film transistor. For this purpose, the Joule heating mechanism was considered through the electron-phonon interaction model whose validation has been done. The phonon transport characteristics were investigated in terms of phonon mean free path for the variations in the device power and silicon layer thickness from 41 nm to 177 nm. The results may be used for developing the thermal design strategy for achieving reliability and efficiency of the silicon-on-insulator (SOI) transistor, further, they will increase the understanding of heat conduction in SOI systems, which are very important in the semiconductor industry and the nano-fabrication technology.

  8. Mobility of charge carriers in porous silicon layers

    International Nuclear Information System (INIS)

    Forsh, P. A.; Martyshov, M. N.; Latysheva, A. P.; Vorontsov, A. S.; Timoshenko, V. Yu.; Kashkarov, P. K.

    2008-01-01

    The (conduction) mobility of majority charge carriers in porous silicon layers of the n and p types is estimated by joint measurements of electrical conductivity and free charge carrier concentration, which is determined from IR absorption spectra. Adsorption of donor and acceptor molecules leading to a change in local electric fields in the structure is used to identify the processes controlling the mobility in porous silicon. It is found that adsorption of acceptor and donor molecules at porous silicon of the p and n types, respectively, leads to a strong increase in electrical conductivity, which is associated with an increase in the concentration of free carrier as well as in their mobility. The increase in the mobility of charge carriers as a result of adsorption indicates the key role of potential barriers at the boundaries of silicon nanocrystals and may be due to a decrease in the barrier height as a result of adsorption

  9. Analysis of buried etch-stop layers in silicon by nitrogen-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Acero, M.C.; Esteve, J.; Montserrat, J. (Centro Nacional de Microelectronica (CNM-CSIC), Bellaterra (Spain)); Perez-Rodriguez, A.; Garrido, B.; Romano-Rodriguez, A.; Morante, J.R. (Barcelona Univ. (Spain). Dept. Fisica Aplicada i Electronica)

    1993-09-01

    The analysis of the etch-stop properties of layers obtained by substoichiometric nitrogen-ion implantation and annealing in silicon has been performed as a function of the implantation conditions. The analysis of the etching efficiency has been tested in TMAH-IPA systems. The results obtained show the need to implant at doses higher than 2 x 10[sup 17] cm[sup -2] to obtain etch-stop layers stable under high-temperature annealing. So, for implantation doses of 5 x 10[sup 17] cm[sup -2], layers stand unetched for times longer than 2 h. The preliminary structural analysis of the samples suggests the presence of an amorphous silicon nitride layer for higher implantation doses. (author).

  10. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  11. Application of hydrogen-plasma technology for property modification of silicon and producing the silicon-based structures

    International Nuclear Information System (INIS)

    Fedotov, A.K.; Mazanik, A.V.; Ul'yashin, A.G.; Dzhob, R; Farner, V.R.

    2000-01-01

    Effects of atomic hydrogen on the properties of Czochralski-grown single crystal silicon as well as polycrystalline shaped silicon have been investigated. It was established that the buried defect layers created by high-energy hydrogen or helium ion implantation act as a good getter centers for hydrogen atoms introduced in silicon in the process of hydrogen plasma hydrogenation. Atomic hydrogen was shown to be active as a catalyzer significantly enhancing the rate of thermal donors formation in p-type single crystal silicon. This effect can be used for n-p- and p-n-p-silicon based device structures producing [ru

  12. The role of nitrogen in the formation of oxygen-related thermal donors in silicon

    International Nuclear Information System (INIS)

    Griffin, J.A.; Hartung, J.; Weber, J.

    1989-01-01

    Nitrogen doped silicon is investigated by Photothermal Ionisation Spectroscopy (PTIS) and Infrared Absorption (IR). The Shallow Thermal Donors (STD) are observed in this nitrogen doped Cz-silicon as well as the deeper Thermal Donors (TD). The Thermal Donor Growth in nitrogen doped material is reduced in comparison to nominally undoped oxygen-rich silicon. The half-widths of the spectral lines arising from the STD-transitions are observed to be dependent on the nitrogen concentration. The results suggest only a catalytic role of N in the STD-growth. (author) 13 refs., 3 figs., 1 tab

  13. Ultrathin silicon dioxide layers with a low leakage current density formed by chemical oxidation of Si

    Science.gov (United States)

    Asuha,; Kobayashi, Takuya; Maida, Osamu; Inoue, Morio; Takahashi, Masao; Todokoro, Yoshihiro; Kobayashi, Hikaru

    2002-10-01

    Chemical oxidation of Si by use of azeotrope of nitric acid and water can form 1.4-nm-thick silicon dioxide layers with a leakage current density as low as those of thermally grown SiO2 layers. The capacitance-voltage (C-V) curves for these ultrathin chemical SiO2 layers have been measured due to the low leakage current density. The leakage current density is further decreased to approx1/5 (cf. 0.4 A/cm2 at the forward gate bias of 1 V) by post-metallization annealing at 200 degC in hydrogen. Photoelectron spectroscopy and C-V measurements show that this decrease results from (i) increase in the energy discontinuity at the Si/SiO2 interface, and (ii) elimination of Si/SiO2 interface states and SiO2 gap states.

  14. Surface plasmons based terahertz modulator consisting of silicon-air-metal-dielectric-metal layers

    Science.gov (United States)

    Wang, Wei; Yang, Dongxiao; Qian, Zhenhai

    2018-05-01

    An optically controlled modulator of the terahertz wave, which is composed of a metal-dielectric-metal structure etched with circular loop arrays on both the metal layers and a photoexcited silicon wafer separated by an air layer, is proposed. Simulation results based on experimentally measured complex permittivities predict that modification of complex permittivity of the silicon wafer through excitation laser leads to a significant tuning of transmission characteristics of the modulator, forming the modulation depths of 59.62% and 96.64% based on localized surface plasmon peak and propagating surface plasmon peak, respectively. The influences of the complex permittivity of the silicon wafer and the thicknesses of both the air layer and the silicon wafer are numerically studied for better understanding the modulation mechanism. This study proposes a feasible methodology to design an optically controlled terahertz modulator with large modulation depth, high speed and suitable insertion loss, which is useful for terahertz applications in the future.

  15. Adsorption and diffusion of lithium on layered silicon for Li-ion storage.

    Science.gov (United States)

    Tritsaris, Georgios A; Kaxiras, Efthimios; Meng, Sheng; Wang, Enge

    2013-05-08

    The energy density of Li-ion batteries depends critically on the specific charge capacity of the constituent electrodes. Silicene, the silicon analogue to graphene, being of atomic thickness could serve as high-capacity host of Li in Li-ion secondary batteries. In this work, we employ first-principles calculations to investigate the interaction of Li with Si in model electrodes of free-standing single-layer and double-layer silicene. More specifically, we identify strong binding sites for Li, calculate the energy barriers accompanying Li diffusion, and present our findings in the context of previous theoretical work related to Li-ion storage in other structural forms of silicon: the bulk and nanowires. The binding energy of Li is ~2.2 eV per Li atom and shows small variation with respect to Li content and silicene thickness (one or two layers) while the barriers for Li diffusion are relatively low, typically less than 0.6 eV. We use our theoretical findings to assess the suitability of two-dimensional silicon in the form of silicene layers for Li-ion storage.

  16. Low cost silicon-on-ceramic photovoltaic solar cells

    Science.gov (United States)

    Koepke, B. G.; Heaps, J. D.; Grung, B. L.; Zook, J. D.; Sibold, J. D.; Leipold, M. H.

    1980-01-01

    A technique has been developed for coating low-cost mullite-based refractory substrates with thin layers of solar cell quality silicon. The technique involves first carbonizing one surface of the ceramic and then contacting it with molten silicon. The silicon wets the carbonized surface and, under the proper thermal conditions, solidifies as a large-grained sheet. Solar cells produced from this composite silicon-on-ceramic material have exhibited total area conversion efficiencies of ten percent.

  17. Thermally promoted addition of undecylenic acid on thermally hydrocarbonized porous silicon optical reflectors

    OpenAIRE

    Jalkanen, Tero; Mäkilä, Ermei; Sakka, Tetsuo; Salonen, Jarno; Ogata, Yukio H

    2012-01-01

    Thermally promoted addition of undecylenic acid is studied as a method for modifying porous silicon optical reflectors that have been pre-treated with thermal hydrocarbonization. Successful derivatization of undecylenic acid is demonstrated and confirmed with Fourier transform infrared and X-ray photoelectron spectroscopies. The results indicate that the hydrocarbonization pre-treatment considerably improves stability against oxidation and chemical dissolution in basic environments. The two-s...

  18. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  19. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  20. Recrystallization of implanted amorphous silicon layers. I. Electrical properties of silicon implanted with BF+2 or Si++B+

    International Nuclear Information System (INIS)

    Tsai, M.Y.; Streetman, B.G.

    1979-01-01

    Electrical properties of recrystallized amorphous silicon layers, formed by BF + 2 implants or Si + +B + implants, have been studied by differential resistivity and Hall-effect measurements. Electrical carrier distribution profiles show that boron atoms inside the amorphized Si layers can be fully activated during recrystallization at 550 0 C. The mobility is also recovered. However, the tail of the B distribution, located inside a damaged region near the original amorphous-crystalline interface, remains inactive. This inactive tail has been observed for all samples implanted with BF + 2 . Only in a thicker amorphous layer, formed for example by Si + predamage implants, can the entire B profile be activated. The etch rate of amorphous silicon in HF and the effect of fluorine on the recrystallization rate are also reported

  1. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  2. Thermal Oxidation of Structured Silicon Dioxide

    DEFF Research Database (Denmark)

    Christiansen, Thomas Lehrmann; Hansen, Ole; Jensen, Jørgen Arendt

    2014-01-01

    The topography of thermally oxidized, structured silicon dioxide is investigated through simulations, atomic force microscopy, and a proposed analytical model. A 357 nm thick oxide is structured by removing regions of the oxide in a masked etch with either reactive ion etching or hydrofluoric acid....... Subsequent thermal oxidation is performed in both dry and wet ambients in the temperature range 950◦C to 1100◦C growing a 205 ± 12 nm thick oxide in the etched mask windows. Lifting of the original oxide near the edge of the mask in the range 6 nm to 37 nm is seen with increased lifting for increasing...

  3. Combined analyses of ion beam synthesized layers in porous silicon

    International Nuclear Information System (INIS)

    Ramos, A.R.; Silva, M.F. da; Silva, M.R. da; Soares, J.C.; Paszti, F.; Horvath, Z.E.; Vazsonyi, E.; Conde, O.

    2001-01-01

    High dose ion implantation was used to form polycrystalline silicide films on porous silicon with different native concentrations of light impurities (C and O). Porous silicon layers several μm thick were implanted with 170 KeV Cr + ions to fluences of 3x10'1 7 ions/cm 2 both at room temperature and 450 o C. Similar samples were implanted with 100 keV Co + ions to fluences of 2x10 17 ions/cm 2 at room temperature and 350 o C and 450 o C. The formed silicide compounds were studied by Rutherford backscattering spectrometry, elastic recoil detection, glancing incidence X-ray diffraction, and four point-probe sheet resistance measurements. Selected Co implanted samples were analysed by cross-section transmission electron microscopy. Results show that the light impurities were partially expelled from the forming silicide layer. Combining cross-section transmission electron microscopy with ion beam methods it was possible to show that, in the implanted region, the porous structure collapses and densities during implantation, but the underlying porous silicon remains intact. The layer structure as well as the quality and type of the formed silicide, were found to depend on the original impurity level, implantation temperature, and annealing. (author)

  4. Influence of thermal effects induced by nonlinear absorption on four-wave mixing in silicon waveguides

    DEFF Research Database (Denmark)

    Pu, Minhao; Chen, Yaohui; Yvind, Kresten

    2014-01-01

    Influence of thermal effects induced by nonlinear absorption on four-wave mixing in silicon waveguides is investigated. A conversion bandwidth reduction up to 63% is observed in simulation due to the thermal effects.......Influence of thermal effects induced by nonlinear absorption on four-wave mixing in silicon waveguides is investigated. A conversion bandwidth reduction up to 63% is observed in simulation due to the thermal effects....

  5. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    Science.gov (United States)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  6. Single-layer graphene on silicon nitride micromembrane resonators

    DEFF Research Database (Denmark)

    Schmid, Silvan; Bagci, Tolga; Zeuthen, Emil

    2014-01-01

    Due to their low mass, high quality factor, and good optical properties, silicon nitride (SiN) micromembrane resonators are widely used in force and mass sensing applications, particularly in optomechanics. The metallization of such membranes would enable an electronic integration with the prospect...... for exciting new devices, such as optoelectromechanical transducers. Here, we add a single-layer graphene on SiN micromembranes and compare electromechanical coupling and mechanical properties to bare dielectric membranes and to membranes metallized with an aluminium layer. The electrostatic coupling...

  7. Linear thermal expansion measurements on silicon from 6 to 340 K

    International Nuclear Information System (INIS)

    Lyon, K.G.; Salinger, G.L.; Swenson, C.A.; White, G.K.

    1977-01-01

    Linear thermal expansion measurements have been carried out from 6 to 340 K on a high-purity silicon sample using a linear absolute capacitance dilatometer. The accuracy of the measurements varies from +- 0.01 x 10 -8 K -1 at the lowest temperatures to +- 0.1 x 10 -8 K -1 or 0.1%, whichever is greater, near room temperature, and is sufficient to establish silicon as a thermal expansion standard for these temperatures. The agreement with previous data is satisfactory at low temperatures and excellent above room temperature where laser-interferometry data of comparable accuracy exist. Thermal expansions calculated from ultrasonic and heat-capacity data are preferred below 13 K where experimental problems occurred

  8. Improvement in IBC-silicon solar cell performance by insertion of highly doped crystalline layer at heterojunction interfaces

    International Nuclear Information System (INIS)

    Bashiri, Hadi; Azim Karami, Mohammad; Mohammadnejad, Shahramm

    2017-01-01

    By inserting a thin highly doped crystalline silicon layer between the base region and amorphous silicon layer in an interdigitated back-contact (IBC) silicon solar cell, a new passivation layer is investigated. The passivation layer performance is characterized by numerical simulations. Moreover, the dependence of the output parameters of the solar cell on the additional layer parameters (doping concentration and thickness) is studied. By optimizing the additional passivation layer in terms of doping concentration and thickness, the power conversion efficiency could be improved by a factor of 2.5%, open circuit voltage is increased by 30 mV and the fill factor of the solar cell by 7.4%. The performance enhancement is achieved due to the decrease of recombination rate, a decrease in solar cell resistivity and improvement of field effect passivation at heterojunction interface. The above-mentioned results are compared with reported results of the same conventional interdigitated back-contact silicon solar cell structure. Furthermore, the effect of a-Si:H/c-Si interface defect density on IBC silicon solar cell parameters with a new passivation layer is studied. The additional passivation layer also reduces the sensitivity of output parameter of solar cell to interface defect density. (paper)

  9. Influence of Crucible Thermal Conductivity on Crystal Growth in an Industrial Directional Solidification Process for Silicon Ingots

    Directory of Open Access Journals (Sweden)

    Zaoyang Li

    2016-01-01

    Full Text Available We carried out transient global simulations of heating, melting, growing, annealing, and cooling stages for an industrial directional solidification (DS process for silicon ingots. The crucible thermal conductivity is varied in a reasonable range to investigate its influence on the global heat transfer and silicon crystal growth. It is found that the crucible plays an important role in heat transfer, and therefore its thermal conductivity can influence the crystal growth significantly in the entire DS process. Increasing the crucible thermal conductivity can shorten the time for melting of silicon feedstock and growing of silicon crystal significantly, and therefore large thermal conductivity is helpful in saving both production time and power energy. However, the high temperature gradient in the silicon ingots and the locally concave melt-crystal interface shape for large crucible thermal conductivity indicate that high thermal stress and dislocation propagation are likely to occur during both growing and annealing stages. Based on the numerical simulations, some discussions on designing and choosing the crucible thermal conductivity are presented.

  10. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  11. Hardness and thermal stability of cubic silicon nitride

    DEFF Research Database (Denmark)

    Jiang, Jianzhong; Kragh, Flemming; Frost, D. J.

    2001-01-01

    The hardness and thermal stability of cubic spinel silicon nitride (c-Si3N4), synthesized under high-pressure and high-temperature conditions, have been studied by microindentation measurements, and x-ray powder diffraction and scanning electron microscopy, respectively The phase at ambient...

  12. Influence of intermediate layers on the surface condition of laser crystallized silicon thin films and solar cell performance

    Energy Technology Data Exchange (ETDEWEB)

    Höger, Ingmar, E-mail: ingmar.hoeger@ipht-jena.de; Gawlik, Annett; Brückner, Uwe; Andrä, Gudrun [Leibniz-Institut für Photonische Technologien, PF 100239, 07702 Jena (Germany); Himmerlich, Marcel; Krischok, Stefan [Institut für Mikro-und Nanotechnologien, Technische Universität Ilmenau, PF 100565, 98684 Ilmenau (Germany)

    2016-01-28

    The intermediate layer (IL) between glass substrate and silicon plays a significant role in the optimization of multicrystalline liquid phase crystallized silicon thin film solar cells on glass. This study deals with the influence of the IL on the surface condition and the required chemical surface treatment of the crystallized silicon (mc-Si), which is of particular interest for a-Si:H heterojunction thin film solar cells. Two types of IL were investigated: sputtered silicon nitride (SiN) and a layer stack consisting of silicon nitride and silicon oxide (SiN/SiO). X-ray photoelectron spectroscopy measurements revealed the formation of silicon oxynitride (SiO{sub x}N{sub y}) or silicon oxide (SiO{sub 2}) layers at the surface of the mc-Si after liquid phase crystallization on SiN or SiN/SiO, respectively. We propose that SiO{sub x}N{sub y} formation is governed by dissolving nitrogen from the SiN layer in the silicon melt, which segregates at the crystallization front during crystallization. This process is successfully hindered, when additional SiO layers are introduced into the IL. In order to achieve solar cell open circuit voltages above 500 mV, a removal of the formed SiO{sub x}N{sub y} top layer is required using sophisticated cleaning of the crystallized silicon prior to a-Si:H deposition. However, solar cells crystallized on SiN/SiO yield high open circuit voltage even when a simple wet chemical surface treatment is applied. The implementation of SiN/SiO intermediate layers facilitates the production of mesa type solar cells with open circuit voltages above 600 mV and a power conversion efficiency of 10%.

  13. Gadolinium oxide coated fully depleted silicon-on-insulator transistors for thermal neutron dosimetry

    Energy Technology Data Exchange (ETDEWEB)

    Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu; Gouker, Pascale M.

    2013-09-01

    Fully depleted silicon-on-insulator transistors coated with gadolinium oxide are shown to be effective thermal neutron dosimeters. The theoretical neutron detection efficiency is calculated to be higher for Gd{sub 2}O{sub 3} than for other practical converter materials. Proof-of-concept dosimeter devices were fabricated and tested during thermal neutron irradiation. The transistor current changes linearly with neutron dose, consistent with increasing positive charge in the SOI buried oxide layer generated by ionization from high energy {sup 157}Gd(n,γ){sup 158}Gd conversion electrons. The measured neutron sensitivity is approximately 1/6 the maximum theoretical value, possibly due to electron–hole recombination or conversion electron loss in interconnect wiring above the transistors. -- Highlights: • A novel Gd{sub 2}O{sub 3} coated FDSOI MOSFET thermal neutron dosimeter is presented. • Dosimeter can detect charges generated from {sup 157}Gd(n,γ){sup 158}Gd conversion electrons. • Measured neutron sensitivity is comparable to that calculated theoretically. • Dosimeter requires zero power during operation, enabling new application areas.

  14. Recovery of indium-tin-oxide/silicon heterojunction solar cells by thermal annealing

    OpenAIRE

    Morales Vilches, Ana Belén; Voz Sánchez, Cristóbal; Colina Brito, Mónica Alejandra; López Rodríguez, Gema; Martín García, Isidro; Ortega Villasclaras, Pablo Rafael; Orpella García, Alberto; Alcubilla González, Ramón

    2014-01-01

    The emitter of silicon heterojunction solar cells consists of very thin hydrogenated amorphous silicon layers deposited at low temperature. The high sheet resistance of this type of emitter requires a transparent conductive oxide layer, which also acts as an effective antireflection coating. The deposition of this front electrode, typically by Sputtering, involves a relatively high energy ion bombardment at the surface that could degrade the emitter quality. The work function of the tra...

  15. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  16. Ballistic Phonon Penetration Depth in Amorphous Silicon Dioxide.

    Science.gov (United States)

    Yang, Lin; Zhang, Qian; Cui, Zhiguang; Gerboth, Matthew; Zhao, Yang; Xu, Terry T; Walker, D Greg; Li, Deyu

    2017-12-13

    Thermal transport in amorphous silicon dioxide (a-SiO 2 ) is traditionally treated as random walks of vibrations owing to its greatly disordered structure, which results in a mean free path (MFP) approximately the same as the interatomic distance. However, this picture has been debated constantly and in view of the ubiquitous existence of thin a-SiO 2 layers in nanoelectronic devices, it is imperative to better understand this issue for precise thermal management of electronic devices. Different from the commonly used cross-plane measurement approaches, here we report on a study that explores the in-plane thermal conductivity of double silicon nanoribbons with a layer of a-SiO 2 sandwiched in-between. Through comparing the thermal conductivity of the double ribbon samples with that of corresponding single ribbons, we show that thermal phonons can ballistically penetrate through a-SiO 2 of up to 5 nm thick even at room temperature. Comprehensive examination of double ribbon samples with various oxide layer thicknesses and van der Waals bonding strengths allows for extraction of the average ballistic phonon penetration depth in a-SiO 2 . With solid experimental data demonstrating ballistic phonon transport through a-SiO 2 , this work should provide important insight into thermal management of electronic devices.

  17. Analysis of borophosphosilicate glass layers on silicon wafers by X-ray emission from photon and electron excitation

    International Nuclear Information System (INIS)

    Elgersma, O.; Borstrok, J.J.M.

    1989-01-01

    Phosphorus and oxygen concentrations in the homogeneous layer of borosilicate glass (BPSG) deposited on Si-integrated circuits are determined by X-ray fluorescence from photon excitation. The X-ray emission from electron excitation in an open X-ray tube instrument yields a sufficiently precise determination of the boron content. The thickness of the layer can be derived from silicon Kα-fluorescence. A calibration model is proposed for photon as well as for electron excitation. The experimentally determined parameters in this model well agree with those derived from fundamental parameters for X-ray absorption and emission. The chemical surrounding of silicon affects strongly the peak profile of the silicon Kβ-emission. This enables to distinguish emission from the silicon atoms in the wafer and from the silicon atoms in the silicon oxide complexes of the BPSG-layer. (author)

  18. Rapid thermal annealing of phosphorus implanted silicon

    International Nuclear Information System (INIS)

    Lee, Y.H.; Pogany, A.; Harrison, H.B.; Williams, J.S.

    1985-01-01

    Rapid thermal annealing (RTA) of phosphorus-implanted silicon has been investigated by four point probe, Van der Pauw methods and transmission electron microscopy. The results have been compared to furnace annealing. Experiments show that RTA, even at temperatures as low as 605 deg C, results in good electrical properties with little remnant damage and compares favourably with furnace annealing

  19. Nitrogen doped silicon-carbon multilayer protective coatings on carbon obtained by thermionic vacuum arc (TVA) method

    Science.gov (United States)

    Ciupinǎ, Victor; Vasile, Eugeniu; Porosnicu, Corneliu; Vladoiu, Rodica; Mandes, Aurelia; Dinca, Virginia; Nicolescu, Virginia; Manu, Radu; Dinca, Paul; Zaharia, Agripina

    2018-02-01

    To obtain protective nitrogen doped Si-C multilayer coatings on carbon, used to improve the oxidation resistance of carbon, was used TVA method. The initial carbon layer has been deposed on a silicon substrate in the absence of nitrogen, and then a 3nm Si thin film to cover carbon layer was deposed. Further, seven Si and C layers were alternatively deposed in the presence of nitrogen ions. In order to form silicon carbide at the interface between silicon and carbon layers, all carbon, silicon and nitrogen ions energy has increased up to 150eV. The characterization of microstructure and electrical properties of as-prepared N-Si-C multilayer structures were done using Transmission Electron Microscopy (TEM, STEM) techniques, Thermal Desorption Spectroscopy (TDS) and electrical measurements. The retention of oxygen in the protective layer of N-Si-C is due to the following phenomena: (a) The reaction between oxygen and silicon carbide resulting in silicon oxide and carbon dioxide; (b) The reaction involving oxygen, nitrogen and silicon resulting silicon oxinitride with a variable composition; (c) Nitrogen acts as a trapping barrier for oxygen. To perform electrical measurements, ohmic contacts were attached on the N-Si-C samples. Electrical conductivity was measured in constant current mode. To explain the temperature behavior of electrical conductivity we assumed a thermally activated electric transport mechanism.

  20. Effect of porous silicon layer on the performance of Si/oxide photovoltaic and photoelectrochemical cells

    International Nuclear Information System (INIS)

    Badawy, Waheed A.

    2008-01-01

    Photovoltaic and photoelectrochemical systems were prepared by the formation of a thin porous film on silicon. The porous silicon layer was formed on the top of a clean oxide free silicon wafer surface by anodic etching in HF/H 2 O/C 2 H 5 OH mixture (2:1:1). The silicon was then covered by an oxide film (tin oxide, ITO or titanium oxide). The oxide films were prepared by the spray/pyrolysis technique which enables doping of the oxide film by different atoms like In, Ru or Sb during the spray process. Doping of SnO 2 or TiO 2 films with Ru atoms improves the surface characteristics of the oxide film which improves the solar conversion efficiency. The prepared solar cells are stable against environmental attack due to the presence of the stable oxide film. It gives relatively high short circuit currents (I sc ), due to the presence of the porous silicon layer, which leads to the recorded high conversion efficiency. Although the open-circuit potential (V oc ) and fill factor (FF) were not affected by the thickness of the porous silicon film, the short circuit current was found to be sensitive to this thickness. An optimum thickness of the porous film and also the oxide layer is required to optimize the solar cell efficiency. The results represent a promising system for the application of porous silicon layers in solar energy converters. The use of porous silicon instead of silicon single crystals in solar cell fabrication and the optimization of the solar conversion efficiency will lead to the reduction of the cost as an important factor and also the increase of the solar cell efficiency making use of the large area of the porous structures

  1. Influence of deposition temperature of thermal ALD deposited Al2O3 films on silicon surface passivation

    Directory of Open Access Journals (Sweden)

    Neha Batra

    2015-06-01

    Full Text Available The effect of deposition temperature (Tdep and subsequent annealing time (tanl of atomic layer deposited aluminum oxide (Al2O3 films on silicon surface passivation (in terms of surface recombination velocity, SRV is investigated. The pristine samples (as-deposited show presence of positive fixed charges, QF. The interface defect density (Dit decreases with increase in Tdep which further decreases with tanl up to 100s. An effective surface passivation (SRV<8 cm/s is realized for Tdep ≥ 200 °C. The present investigation suggests that low thermal budget processing provides the same quality of passivation as realized by high thermal budget process (tanl between 10 to 30 min.

  2. Increasing the radiation resistance of single-crystal silicon epitaxial layers

    Directory of Open Access Journals (Sweden)

    Kurmashev Sh. D.

    2014-12-01

    Full Text Available The authors investigate the possibility of increasing the radiation resistance of silicon epitaxial layers by creating radiation defects sinks in the form of dislocation networks of the density of 109—1012 m–2. Such networks are created before the epitaxial layer is applied on the front surface of the silicon substrate by its preliminary oxidation and subsequent etching of the oxide layer. The substrates were silicon wafers KEF-4.5 and KDB-10 with a diameter of about 40 mm, grown by the Czochralski method. Irradiation of the samples was carried out using electron linear accelerator "Electronics" (ЭЛУ-4. Energy of the particles was 2,3—3,0 MeV, radiation dose 1015—1020 m–2, electron beam current 2 mA/m2. It is shown that in structures containing dislocation networks, irradiation results in reduction of the reverse currents by 5—8 times and of the density of defects by 5—10 times, while the mobility of the charge carriers is increased by 1,2 times. Wafer yield for operation under radiation exposure, when the semiconductor structures are formed in the optimal mode, is increased by 7—10% compared to the structures without dislocation networks. The results obtained can be used in manufacturing technology for radiation-resistant integrated circuits (bipolar, CMOS, BiCMOS, etc..

  3. Retinal Layers Measurements following Silicone Oil Tamponade for Retinal Detachment Surgery.

    Science.gov (United States)

    Jurišić, Darija; Geber, Mia Zorić; Ćavar, Ivan; Utrobičić, Dobrila Karlica

    2017-12-19

    This study aimed to investigate the influence of silicone oil on the retinal nerve fiber layer (RNFL) thickness in patients with primary rhegmatogenous retinal detachment who underwent vitreoretinal surgery. The study included 47 patients (eyes), who underwent a pars plana vitrectomy with the silicone oil tamponade. The control group included unoperated eye of all participants. Spectral-domain optical coherence tomography (SD-OCT) was used for the measurements of peripapilar and macular RNFL thickness. The average peripapillary RNFL thickness was significantly higher in the silicone oil filled eyes during endotamponade and after its removal. The eyes with elevated IOP had less thickening of the RNFL in comparison to the eyes with normal IOP. Central macular thickness and macular volume were decreased in the silicone oil filled eyes in comparison to the control eyes. In conclusion, silicone oil caused peripapilar RNFL thickening in the vitrectomized eyes during endotamponade and after silicone oil removal.

  4. A buffer-layer/a-SiO{sub x}:H(p) window-layer optimization for thin film amorphous silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jinjoo; Dao, Vinh Ai [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Shin, Chonghoon [Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Park, Hyeongsik [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Minbum; Jung, Junhee [Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Doyoung [School of Electricity and Electronics, Ulsan College West Campus, Ulsan 680-749 (Korea, Republic of); Yi, Junsin, E-mail: yi@yurim.skku.ac.kr [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2013-11-01

    Amorphous silicon based (a-Si:H-based) solar cells with a buffer-layer/boron doped hydrogenated amorphous silicon oxide (a-SiO{sub x}:H(p)) window-layer were fabricated and investigated. In the first part, in order to reduce the Schottky barrier height at the fluorine doped tin oxide (FTO)/a-SiO{sub x}:H(p) window-layer heterointerface, we have used buffer-layer/a-SiO{sub x}:H(p) for the window-layer, in which boron doped hydrogenated amorphous silicon (a-Si:H(p)) or boron doped microcrystalline silicon (μc-Si:H(p)) is introduced as a buffer layer between the a-SiO{sub x}:H(p) and FTO of the a-Si:H-based solar cells. The a-Si:H-based solar cell using a μc-Si:H(p) buffer-layer shows the highest efficiency compared to the optimized bufferless, and a-Si:H(p) buffer-layer in the a-Si:H-based solar cells. This highest performance was attributed not only to the lower absorption of the μc-Si:H(p) buffer-layer but also to the lower Schottky barrier height at the FTO/window-layer interface. Then, we present the dependence of the built-in potential (V{sub bi}) and blue response of the devices on the inversion of activation energy (ξ) of the a-SiO{sub x}:H(p), in the μc-Si:H(p)/a-SiO{sub x}:H(p) window-layer. The enhancement of both V{sub bi} and blue response is observed, by increasing the value of ξ. The improvement of V{sub bi} and blue response can be ascribed to the enlargement of the optical gap of a-SiO{sub x}:H(p) films in the μc-Si:H(p)/a-SiO{sub x}:H(p) window-layer. Finally, the conversion efficiency was increased by 22.0%, by employing μc-Si:H(p) as a buffer-layer and raising the ξ of the a-SiO{sub x}:H(p), compared to the optimized bufferless case, with a 10 nm-thick a-SiO{sub x}:H(p) window-layer. - Highlights: • Low Schottky barrier height benefits fill factor, and open-circuit voltage (V{sub oc}). • High band gap is beneficial for short-circuit current density (J{sub sc}). • Boron doped microcrystalline silicon is a suitable buffer-layer for

  5. Adhesion and thermal stability enhancement of IZO films by adding a primer layer on polycarbonate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xuan; Zhang, Xiaofeng; Yan, Yue; Zhong, Yanli; Li, Lei; Zhang, Guanli [Beijing Institute of Aeronautical Materials (BIAM), Haidian District, Beijing, 100095 (China)

    2015-04-01

    A silicone-based primer layer was developed to improve the adhesion and thermal stability of amorphous transparent indium zinc oxide (IZO) films on polycarbonate (PC). The IZO films deposited by direct current magnetron sputtering at room temperature on primer-treated and untreated PCs were evaluated ex situ in terms of surface morphology, adhesion, optical, and electrical properties during annealing at 120 C in air. Nano-scratch tests indicated the adhesion of IZO films on primer-treated substrates was superior to that on untreated PCs. This superior adhesion can be attributed to the strong Si-O-Si inorganic bonds abundant in the primer layer and better matches of the primer layer in the terms of thermal expansion to the IZO. Moreover, the electrical resistivity of IZO films prepared on primer-treated PCs remained stable during the annealing treatment, whereas those of IZO films on untreated PCs presented a continuously increasing trend, which was attributed to the decrease in carrier concentration that resulted from oxygen adsorption. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  7. MEMS monocrystalline-silicon based thermal devices for chemical and microfluidic applications

    NARCIS (Netherlands)

    Mihailovic, M.

    2011-01-01

    This thesis explores the employment of monocrystalline silicon in microsystems as an active material for different thermal functions, such as heat generation and heat transfer by conduction. In chapter 1 applications that need thermal micro devices, micro heaters and micro heat exchangers, are

  8. Silicon Effects on Properties of Melt Infiltrated SiC/SiC Composites

    Science.gov (United States)

    Bhatt, Ramakrishna T.; Gyekenyesi, John Z.; Hurst, Janet B.

    2000-01-01

    Silicon effects on tensile and creep properties, and thermal conductivity of Hi-Nicalon SiC/SiC composites have been investigated. The composites consist of 8 layers of 5HS 2-D woven preforms of BN/SiC coated Hi-Nicalon fiber mats and a silicon matrix, or a mixture of silicon matrix and SiC particles. The Hi-Nicalon SiC/silicon and Hi-Nicalon SiC/SiC composites contained about 24 and 13 vol% silicon, respectively. Results indicate residual silicon up to 24 vol% has no significant effect on creep and thermal conductivity, but does decrease the primary elastic modulus and stress corresponding to deviation from linear stress-strain behavior.

  9. Amorphous silicon as high index photonic material

    Science.gov (United States)

    Lipka, T.; Harke, A.; Horn, O.; Amthor, J.; Müller, J.

    2009-05-01

    Silicon-on-Insulator (SOI) photonics has become an attractive research topic within the area of integrated optics. This paper aims to fabricate SOI-structures for optical communication applications with lower costs compared to standard fabrication processes as well as to provide a higher flexibility with respect to waveguide and substrate material choice. Amorphous silicon is deposited on thermal oxidized silicon wafers with plasma-enhanced chemical vapor deposition (PECVD). The material is optimized in terms of optical light transmission and refractive index. Different a-Si:H waveguides with low propagation losses are presented. The waveguides were processed with CMOS-compatible fabrication technologies and standard DUV-lithography enabling high volume production. To overcome the large mode-field diameter mismatch between incoupling fiber and sub-μm waveguides three dimensional, amorphous silicon tapers were fabricated with a KOH etched shadow mask for patterning. Using ellipsometric and Raman spectroscopic measurements the material properties as refractive index, layer thickness, crystallinity and material composition were analyzed. Rapid thermal annealing (RTA) experiments of amorphous thin films and rib waveguides were performed aiming to tune the refractive index of the deposited a-Si:H waveguide core layer after deposition.

  10. Etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon

    International Nuclear Information System (INIS)

    Perez-Rodriguez, A.; Romano-Rodriguez, A.; Morante, J.R.; Acero, M.C. Esteve, J.; Montserrat, J.; El-Hassani, A.

    1996-01-01

    In this work the etch-stop behavior of buried layers formed by substoichiometric nitrogen ion implantation into silicon is studied as a function of the processing parameters, the implantation dose and temperature, and the presence of capping layers during implantation. Etching characteristics have been probed using tetramethylammonium hydroxide or KOH solutions for different times up to 6 h. Results show that, after annealing, the minimum dose required for the formation of an efficient etch-stop layer is about 4 x 10 17 cm -2 , for an implantation energy of 75 keV. This is defined as a layer with an efficient etch selectivity in relation to Si of s ≥ 100. For larger implantation doses efficient etch selectivities larger than 100 are obtained. However, for these doses a considerable density of pits is observed in the etch-stop layer. These are related to the presence of nitrogen poor Si regions in the buried layer after annealing, due to a partial separation of silicon and silicon nitride phases during the annealing process. The influence of this separation of phases as well as nitrogen gettering in the buried layer on the etch-stop behavior is discussed as a function of the processing parameters

  11. Effect of ultraviolet illumination and ambient gases on the photoluminescence and electrical properties of nanoporous silicon layer for organic vapor sensor.

    Science.gov (United States)

    Atiwongsangthong, Narin

    2012-08-01

    The purpose of this research, the nanoporous silicon layer were fabricated and investigated the physical properties such as photoluminescence and the electrical properties in order to develop organic vapor sensor by using nanoporous silicon. The Changes in the photoluminescence intensity of nanoporous silicon samples are studied during ultraviolet illumination in various ambient gases such as nitrogen, oxigen and vacuum. In this paper, the nanoporous silicon layer was used as organic vapor adsorption and sensing element. The advantage of this device are simple process compatible in silicon technology and usable in room temperature. The structure of this device consists of nanoporous silicon layer which is formed by anodization of silicon wafer in hydrofluoric acid solution and aluminum electrode which deposited on the top of nanoporous silicon layer by evaporator. The nanoporous silicon sensors were placed in a gas chamber with various organic vapor such as ethanol, methanol and isopropyl alcohol. From studying on electrical characteristics of this device, it is found that the nanoporous silicon layer can detect the different organic vapor. Therefore, the nanoporous silicon is important material for organic vapor sensor and it can develop to other applications about gas sensors in the future.

  12. Thermally Stable and Electrically Conductive, Vertically Aligned Carbon Nanotube/Silicon Infiltrated Composite Structures for High-Temperature Electrodes.

    Science.gov (United States)

    Zou, Qi Ming; Deng, Lei Min; Li, Da Wei; Zhou, Yun Shen; Golgir, Hossein Rabiee; Keramatnejad, Kamran; Fan, Li Sha; Jiang, Lan; Silvain, Jean-Francois; Lu, Yong Feng

    2017-10-25

    Traditional ceramic-based, high-temperature electrode materials (e.g., lanthanum chromate) are severely limited due to their conditional electrical conductivity and poor stability under harsh circumstances. Advanced composite structures based on vertically aligned carbon nanotubes (VACNTs) and high-temperature ceramics are expected to address this grand challenge, in which ceramic serves as a shielding layer protecting the VACNTs from the oxidation and erosive environment, while the VACNTs work as a conductor. However, it is still a great challenge to fabricate VACNT/ceramic composite structures due to the limited diffusion of ceramics inside the VACNT arrays. In this work, we report on the controllable fabrication of infiltrated (and noninfiltrated) VACNT/silicon composite structures via thermal chemical vapor deposition (CVD) [and laser-assisted CVD]. In laser-assisted CVD, low-crystalline silicon (Si) was quickly deposited at the VACNT subsurfaces/surfaces followed by the formation of high-crystalline Si layers, thus resulting in noninfiltrated composite structures. Unlike laser-assisted CVD, thermal CVD activated the precursors inside and outside the VACNTs simultaneously, which realized uniform infiltrated VACNT/Si composite structures. The growth mechanisms for infiltrated and noninfiltrated VACNT/ceramic composites, which we attributed to the different temperature distributions and gas diffusion mechanism in VACNTs, were investigated. More importantly, the as-farbicated composite structures exhibited excellent multifunctional properties, such as excellent antioxidative ability (up to 1100 °C), high thermal stability (up to 1400 °C), good high velocity hot gas erosion resistance, and good electrical conductivity (∼8.95 Sm -1 at 823 K). The work presented here brings a simple, new approach to the fabrication of advanced composite structures for hot electrode applications.

  13. Thermal neutron scattering kernels for sapphire and silicon single crystals

    International Nuclear Information System (INIS)

    Cantargi, F.; Granada, J.R.; Mayer, R.E.

    2015-01-01

    Highlights: • Thermal cross section libraries for sapphire and silicon single crystals were generated. • Debye model was used to represent the vibrational frequency spectra to feed the NJOY code. • Sapphire total cross section was measured at Centro Atómico Bariloche. • Cross section libraries were validated with experimental data available. - Abstract: Sapphire and silicon are materials usually employed as filters in facilities with thermal neutron beams. Due to the lack of the corresponding thermal cross section libraries for those materials, necessary in calculations performed in order to optimize beams for specific applications, here we present the generation of new thermal neutron scattering kernels for those materials. The Debye model was used in both cases to represent the vibrational frequency spectra required to feed the NJOY nuclear data processing system in order to produce the corresponding libraries in ENDF and ACE format. These libraries were validated with available experimental data, some from the literature and others obtained at the pulsed neutron source at Centro Atómico Bariloche

  14. Influence of hydrogen effusion from hydrogenated silicon nitride layers on the regeneration of boron-oxygen related defects in crystalline silicon

    International Nuclear Information System (INIS)

    Wilking, S.; Ebert, S.; Herguth, A.; Hahn, G.

    2013-01-01

    The degradation effect boron doped and oxygen-rich crystalline silicon materials suffer from under illumination can be neutralized in hydrogenated silicon by the application of a regeneration process consisting of a combination of slightly elevated temperature and carrier injection. In this paper, the influence of variations in short high temperature steps on the kinetics of the regeneration process is investigated. It is found that hotter and longer firing steps allowing an effective hydrogenation from a hydrogen-rich silicon nitride passivation layer result in an acceleration of the regeneration process. Additionally, a fast cool down from high temperature to around 550 °C seems to be crucial for a fast regeneration process. It is suggested that high cooling rates suppress hydrogen effusion from the silicon bulk in a temperature range where the hydrogenated passivation layer cannot release hydrogen in considerable amounts. Thus, the hydrogen content of the silicon bulk after the complete high temperature step can be increased resulting in a faster regeneration process. Hence, the data presented here back up the theory that the regeneration process might be a hydrogen passivation of boron-oxygen related defects

  15. Silicone metalization

    Energy Technology Data Exchange (ETDEWEB)

    Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)

    2008-12-09

    A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.

  16. Micro-architecture embedding ultra-thin interlayer to bond diamond and silicon via direct fusion

    Science.gov (United States)

    Kim, Jong Cheol; Kim, Jongsik; Xin, Yan; Lee, Jinhyung; Kim, Young-Gyun; Subhash, Ghatu; Singh, Rajiv K.; Arjunan, Arul C.; Lee, Haigun

    2018-05-01

    The continuous demand on miniaturized electronic circuits bearing high power density illuminates the need to modify the silicon-on-insulator-based chip architecture. This is because of the low thermal conductivity of the few hundred nanometer-thick insulator present between the silicon substrate and active layers. The thick insulator is notorious for releasing the heat generated from the active layers during the operation of devices, leading to degradation in their performance and thus reducing their lifetime. To avoid the heat accumulation, we propose a method to fabricate the silicon-on-diamond (SOD) microstructure featured by an exceptionally thin silicon oxycarbide interlayer (˜3 nm). While exploiting the diamond as an insulator, we employ spark plasma sintering to render the silicon directly fused to the diamond. Notably, this process can manufacture the SOD microarchitecture via a simple/rapid way and incorporates the ultra-thin interlayer for minute thermal resistance. The method invented herein expects to minimize the thermal interfacial resistance of the devices and is thus deemed as a breakthrough appealing to the current chip industry.

  17. CHARACTERIZATION OF THE ELECTROPHYSICAL PROPERTIES OF SILICON-SILICON DIOXIDE INTERFACE USING PROBE ELECTROMETRY METHODS

    Directory of Open Access Journals (Sweden)

    V. А. Pilipenko

    2017-01-01

    Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.

  18. High aspect ratio silicon nanomoulds for UV embossing fabricated by directional thermal oxidation using an oxidation mask

    International Nuclear Information System (INIS)

    Chen, L Q; Chan-Park, Mary B; Yan, Y H; Zhang Qing; Li, C M; Zhang Jun

    2007-01-01

    Nanomoulding is simple and economical but moulds with nanoscale features are usually prohibitively expensive to fabricate because nanolithographic techniques are mostly serial and time-consuming for large-area patterning. This paper describes a novel, simple and inexpensive parallel technique for fabricating nanoscale pattern moulds by silicon etching followed by thermal oxidation. The mask pattern can be made by direct photolithography or photolithography followed by metal overetching for submicron- and nanoscale features, respectively. To successfully make nanoscale channels having a post-oxidation cross-sectional shape similar to that of the original channel, an oxidation mask to promote unidirectional (specifically horizontal) oxide growth is found to be essential. A silicon nitride or metal mask layer prevents vertical oxidation of the Si directly beneath it. Without this mask, rectangular channels become smaller but are V-shaped after oxidation. By controlling the silicon etch depth and oxidation time, moulds with high aspect ratio channels having widths ranging from 500 to 50 nm and smaller can be obtained. The nanomould, when passivated with a Teflon-like layer, can be used for first-generation replication using ultraviolet (UV) nanoembossing and second-generation replication in other materials, such as polydimethylsiloxane (PDMS). The PDMS stamp, which was subsequently coated with Au, was used for transfer printing of Au electrodes with a 600 nm gap which will find applications in plastics nanoelectronics

  19. The kinetics of dewetting ultra-thin Si layers from silicon dioxide

    International Nuclear Information System (INIS)

    Aouassa, M; Favre, L; Ronda, A; Berbezier, I; Maaref, H

    2012-01-01

    In this study, we investigate the kinetically driven dewetting of ultra-thin silicon films on silicon oxide substrate under ultra-high vacuum, at temperatures where oxide desorption and silicon lost could be ruled out. We show that in ultra-clean experimental conditions, the three different regimes of dewetting, namely (i) nucleation of holes, (ii) film retraction and (iii) coalescence of holes, can be quantitatively measured as a function of temperature, time and thickness. For a nominal flat clean sample these three regimes co-exist during the film retraction until complete dewetting. To discriminate their roles in the kinetics of dewetting, we have compared the dewetting evolution of flat unpatterned crystalline silicon layers (homogeneous dewetting), patterned crystalline silicon layers (heterogeneous dewetting) and amorphous silicon layers (crystallization-induced dewetting). The first regime (nucleation) is described by a breaking time which follows an exponential evolution with temperature with an activation energy E H ∼ 3.2 eV. The second regime (retraction) is controlled by surface diffusion of matter from the edges of the holes. It involves a very fast redistribution of matter onto the flat Si layer, which prevents the formation of a rim on the edges of the holes during both heterogeneous and homogeneous dewetting. The time evolution of the linear dewetting front measured during heterogeneous dewetting follows a characteristic power law x ∼ t 0.45 consistent with a surface diffusion-limited mechanism. It also evolves as x ∼ h -1 as expected from mass conservation in the absence of thickened rim. When the surface energy is isotropic (during dewetting of amorphous Si) the dynamics of dewetting is considerably modified: firstly, there is no measurable breaking time; secondly, the speed of dewetting is two orders of magnitude larger than for crystalline Si; and thirdly, the activation energy of dewetting is much smaller due to the different driving

  20. Growth of nanocrystalline silicon thin film with layer-by-layer technique for fast photo-detecting applications

    International Nuclear Information System (INIS)

    Lin, C.-Y.; Fang, Y.-K.; Chen, S.-F.; Lin, P.-C.; Lin, C.-S.; Chou, T.-H; Hwang, J.S.; Lin, K.I.

    2006-01-01

    High mobility nanocrystalline silicon (nc-Si) films with layer-by-layer technique for fast photo-detecting applications were studied. The structure and morphology of films were studied by means of XRD, micro-Raman scattering, SEM and AFM. The Hall mobility and absorption properties have been investigated and found they were seriously affected by the number of layers in growing, i.e., with increasing of layer number, Hall mobility increased but absorption coefficient decreased. The optimum layer number of nc-Si films for fast near-IR photo-detecting is 7 with film thickness of 1400 nm, while that for fast visible photo-detecting is 17 with film thickness of 3400 nm

  1. Nanoscale investigation of the interface situation of plated nickel and thermally formed nickel silicide for silicon solar cell metallization

    Energy Technology Data Exchange (ETDEWEB)

    Mondon, A., E-mail: andrew.mondon@ise.fraunhofer.de [Fraunhofer ISE, Heidenhofst. 2, D-79110 Freiburg (Germany); Wang, D. [Karlsruhe Nano Micro Facility (KNMF), H.-von-Helmholz-Platz 1, D-76344 Eggenstein-Leopoldshafen (Germany); Zuschlag, A. [Universität Konstanz FB Physik, Jacob-Burckhardt-Str. 27, D-78464 Konstanz (Germany); Bartsch, J.; Glatthaar, M.; Glunz, S.W. [Fraunhofer ISE, Heidenhofst. 2, D-79110 Freiburg (Germany)

    2014-12-30

    Highlights: • Adhesion of metallization of fully plated nickel–copper contacts on silicon solar cells can be achieved by formation of nickel silicide at the cost of degraded cell performance. • Understanding of silicide growth mechanisms and controlled growth may lead to high performance together with excellent adhesion. • Silicide formation is well known from CMOS production from PVD-Ni on flat surfaces. Yet the deposition methods and therefore layer characteristics and the surface topography are different for plated metallization. • TEM analysis is performed for differently processed samples. • A nickel silicide growth model is created for plated Ni on textured silicon solar cells. - Abstract: In the context of nickel silicide formation from plated nickel layers for solar cell metallization, there are several open questions regarding contact adhesion and electrical properties. Nanoscale characterization by transmission electron microscopy has been employed to support these investigations. Interfacial oxides and silicide phases were investigated on differently prepared samples by different analytical methods associated with transmission electron microscopy analysis. Processing variations included the pre-treatment of samples before nickel plating, the used plating solution and the thermal budget for the nickel–silicon solid-state reaction. It was shown that interface oxides of only few nm thickness on both silicon and nickel silicide are present on the samples, depending on the chosen process sequence, which have been shown to play an important role in adhesion of nickel on silicide in an earlier publication. From sample pretreatment variations, conclusions about the role of an interfacial oxide in silicide formation and its influence on phase formation were drawn. Such an oxide layer hinders silicide formation except for pinhole sites. This reduces the availability of Ni and causes a silicide with low Ni content to form. Without an interfacial oxide

  2. Thermal endurance tests on silicone rubber specimens

    International Nuclear Information System (INIS)

    Warburton, C.

    1977-07-01

    Thermal endurance tests have been performed on a range of silicone rubber specimens at temperature above 300 0 C. It is suggested that the rubber mix A2426, the compound from which Wylfa sealing rings are manufactured, will fail at temperatures above 300 0 C within weeks. Hardness measurements show that this particular rubber performs in a similar manner to Walker's S.I.L./60. (author)

  3. Effect of p-layer properties on nanocrystalline absorber layer and thin film silicon solar cells

    International Nuclear Information System (INIS)

    Chowdhury, Amartya; Adhikary, Koel; Mukhopadhyay, Sumita; Ray, Swati

    2008-01-01

    The influence of the p-layer on the crystallinity of the absorber layer and nanocrystalline silicon thin film solar cells has been studied. Boron doped Si : H p-layers of different crystallinities have been prepared under different power pressure conditions using the plasma enhanced chemical vapour deposition method. The crystalline volume fraction of p-layers increases with the increase in deposition power. Optical absorption of the p-layer reduces as the crystalline volume fraction increases. Structural studies at the p/i interface have been done by Raman scattering studies. The crystalline volume fraction of the i-layer increases as that of the p-layer increases, the effect being more prominent near the p/i interface. Grain sizes of the absorber layer decrease from 9.2 to 7.2 nm and the density of crystallites increases as the crystalline volume fraction of the p-layer increases and its grain size decreases. With increasing crystalline volume fraction of the p-layer solar cell efficiency increases

  4. Current-voltage characteristics of porous-silicon structures

    International Nuclear Information System (INIS)

    Diligenti, A.; Nannini, A.; Pennelli, G.; Pieri, F.; Fuso, F.; Allegrini, M.

    1996-01-01

    I-V DC characteristics have been measured on metal/porous-silicon structures. In particular, the measurements on metal/free-standing porous-silicon film/metal devices confirmed the result, already obtained, that the metal/porous-silicon interface plays a crucial role in the transport of any device. Four-contacts measurements on free-standing layers showed that the current linearly depends on the voltage and that the conduction process is thermally activated, the activation energy depending on the porous silicon film production parameters. Finally, annealing experiments performed in order to improve the conduction of rectifying contacts, are described

  5. Design and Fabrication of Silicon-on-Silicon-Carbide Substrates and Power Devices for Space Applications

    Directory of Open Access Journals (Sweden)

    Gammon P.M.

    2017-01-01

    Full Text Available A new generation of power electronic semiconductor devices are being developed for the benefit of space and terrestrial harsh-environment applications. 200-600 V lateral transistors and diodes are being fabricated in a thin layer of silicon (Si wafer bonded to silicon carbide (SiC. This novel silicon-on-silicon-carbide (Si/SiC substrate solution promises to combine the benefits of silicon-on-insulator (SOI technology (i.e device confinement, radiation tolerance, high and low temperature performance with that of SiC (i.e. high thermal conductivity, radiation hardness, high temperature performance. Details of a process are given that produces thin films of silicon 1, 2 and 5 μm thick on semi-insulating 4H-SiC. Simulations of the hybrid Si/SiC substrate show that the high thermal conductivity of the SiC offers a junction-to-case temperature ca. 4× less that an equivalent SOI device; reducing the effects of self-heating, and allowing much greater power density. Extensive electrical simulations are used to optimise a 600 V laterally diffused metal-oxide-semiconductor field-effect transistor (LDMOSFET implemented entirely within the silicon thin film, and highlight the differences between Si/SiC and SOI solutions.

  6. Ellipsometry measurements of thickness of oxide and water layers on spherical and flat silicon surfaces

    International Nuclear Information System (INIS)

    Kenny, M.J.; Netterfield, R.; Wielunski, L.S.

    1998-01-01

    Full text: Ellipsometry has been used to measure the thickness of oxide layers on single crystal silicon surfaces, both flat and spherical and also to measure the extent of adsorption of moisture on the surface as a function of partial water vapour pressure. The measurements form part of an international collaborative project to make a precise determination of the Avogadro constant (ΔN A /N A -8 ) which will then be used to obtain an absolute definition of the kilogram, rather than one in terms of an artefact. Typically the native oxide layer on a cleaned silicon wafer is about 2 nm thick. On a polished sphere this oxide layer is typically 8 to 10 nm thick, the increased thickness being attributed to parameters related to the polishing process. Ellipsometry measurements on an 89 mm diameter polished silicon sphere at both VUW and CSIRO indicated a SiO 2 layer at 7 to 10 nm thick. It was observed that this thickness varied regularly. The crystal orientation of the sphere was determined using electron patterns generated from an electron microscope and the oxide layer was then measured through 180 arcs of great circles along (110) and (100) planes. It was observed that the thickness varied systematically with orientation. The minimum thickness was 7.4 nm at the axis (softest direction in silicon) and the greatest thickness was 9.5 nm at the axis (hardest direction in silicon). This is similar to an orientation dependent cubic pattern which has been observed to be superimposed on polished silicon spheres. At VUW, the sphere was placed in an evacuated bell jar and the ellipsometry signal was observed as the water vapour pressure was progressively increased up to saturation. The amount of water vapour adsorbed at saturation was one or two monolayers, indicating that the sphere does not wet

  7. Al-Si alloy point contact formation and rear surface passivation for silicon solar cells using double layer porous silicon

    International Nuclear Information System (INIS)

    Moumni, Besma; Ben Jaballah, Abdelkader; Bessais, Brahim

    2012-01-01

    Lowering the rear surface recombination velocities by a dielectric layer has fascinating advantages compared with the standard fully covered Al back-contact silicon solar cells. In this work the passivation effect by double layer porous silicon (PS) (wide band gap) and the formation of Al-Si alloy in narrow p-type Si point contact areas for rear passivated solar cells are analysed. As revealed by Fourier transform infrared spectroscopy, we found that a thin passivating aluminum oxide (Al 2 O 3 ) layer is formed. Scanning electron microscopy analysis performed in cross sections shows that with bilayer PS, liquid Al penetrates into the openings, alloying with the Si substrate at depth and decreasing the contact resistivity. At the solar cell level, the reduction in the contact area and resistivity leads to a minimization of the fill factor losses.

  8. Nanoscale investigation of the interface situation of plated nickel and thermally formed nickel silicide for silicon solar cell metallization

    Science.gov (United States)

    Mondon, A.; Wang, D.; Zuschlag, A.; Bartsch, J.; Glatthaar, M.; Glunz, S. W.

    2014-12-01

    In the context of nickel silicide formation from plated nickel layers for solar cell metallization, there are several open questions regarding contact adhesion and electrical properties. Nanoscale characterization by transmission electron microscopy has been employed to support these investigations. Interfacial oxides and silicide phases were investigated on differently prepared samples by different analytical methods associated with transmission electron microscopy analysis. Processing variations included the pre-treatment of samples before nickel plating, the used plating solution and the thermal budget for the nickel-silicon solid-state reaction. It was shown that interface oxides of only few nm thickness on both silicon and nickel silicide are present on the samples, depending on the chosen process sequence, which have been shown to play an important role in adhesion of nickel on silicide in an earlier publication. From sample pretreatment variations, conclusions about the role of an interfacial oxide in silicide formation and its influence on phase formation were drawn. Such an oxide layer hinders silicide formation except for pinhole sites. This reduces the availability of Ni and causes a silicide with low Ni content to form. Without an interfacial oxide a continuous nickel silicide of greater depth, polycrystalline modification and expected phase according to thermal budget is formed. Information about the nature of silicide growth on typical solar cell surfaces could be obtained from silicide phase and geometric observations, which were supported by FIB tomography. The theory of isotropic NiSi growth and orientation dependent NiSi2 growth was derived. By this, a very well performing low-cost metallization for silicon solar cells has been brought an important step closer to industrial introduction.

  9. Thermal diffusivity of diamond films using a laser pulse technique

    International Nuclear Information System (INIS)

    Albin, S.; Winfree, W.P.; Crews, B.S.

    1990-01-01

    Polycrystalline diamond films were deposited using a microwave plasma-enhanced chemical vapor deposition process. A laser pulse technique was developed to measure the thermal diffusivity of diamond films deposited on a silicon substrate. The effective thermal diffusivity of a diamond film on silicon was measured by observing the phase and amplitude of the cyclic thermal waves generated by laser pulses. An analytical model is presented to calculate the effective inplane (face-parallel) diffusivity of a two-layer system. The model is used to reduce the effective thermal diffusivity of the diamond/silicon sample to a value for the thermal diffusivity and conductivity of the diamond film

  10. Numerical study of self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride substrate

    International Nuclear Information System (INIS)

    Ding Yanfang; Zhu Ziqiang; Zhu Ming; Lin Chenglu

    2006-01-01

    Compared with bulk-silicon technology, silicon-on-insulator (SOI) technology possesses many advantages but it is inevitable that the buried silicon dioxide layer also thermally insulates the metal-oxide-silicon field-effect transistors (MOSFETs) from the bulk due to the low thermal conductivity. One of the alternative insulator to replace the buried oxide layer is aluminum nitride (MN), which has a thermal conductivity that is about 200 times higher than that of SiO 2 (320 W·m -1 ·K -1 versus 1.4 W·m -1 ·K -l ). To investigate the self-heating effects of small-size MOSFETs fabricated on silicon-on-aluminum nitride (SOAN) substrate, a two-dimensional numerical analysis is performed by using a device simulator called MEDICI run on a Solaris workstation to simulate the electrical characteristics and temperature distribution by comparing with those of bulk and standard SOI MOSFETs. Our study suggests that AIN is a suitable alternative to silicon dioxide as a buried dielectric in SOI and expands the applications of SOI to high temperature conditions. (authors)

  11. Thermal oxidation of silicon with two oxidizing species

    International Nuclear Information System (INIS)

    Vild-Maior, A.A.; Filimon, S.

    1979-01-01

    A theoretical model for the thermal oxidation of silicon in wet oxygen is presented. It is shown that the presence of oxygen in the oxidation furnace has an important effect when the water temperature is not too high (less than about 65 deg C). The model is in good agreement with the experimental data. (author)

  12. Control of biaxial strain in single-layer molybdenite using local thermal expansion of the substrate

    Science.gov (United States)

    Plechinger, Gerd; Castellanos-Gomez, Andres; Buscema, Michele; van der Zant, Herre S. J.; Steele, Gary A.; Kuc, Agnieszka; Heine, Thomas; Schüller, Christian; Korn, Tobias

    2015-03-01

    Single-layer MoS2 is a direct-gap semiconductor whose electronic band structure strongly depends on the strain applied to its crystal lattice. While uniaxial strain can be easily applied in a controlled way, e.g., by bending of a flexible substrate with the atomically thin MoS2 layer on top, experimental realization of biaxial strain is more challenging. Here, we exploit the large mismatch between the thermal expansion coefficients of MoS2 and a silicone-based substrate to apply a controllable biaxial tensile strain by heating the substrate with a focused laser. The effect of this biaxial strain is directly observable in optical spectroscopy as a redshift of the MoS2 photoluminescence. We also demonstrate the potential of this method to engineer more complex strain patterns by employing highly absorptive features on the substrate to achieve non-uniform heat profiles. By comparison of the observed redshift to strain-dependent band structure calculations, we estimate the biaxial strain applied by the silicone-based substrate to be up to 0.2%, corresponding to a band gap modulation of 105 meV per percentage of biaxial tensile strain.

  13. An attempt to specify thermal history in CZ silicon wafers and possibilities for its modification

    International Nuclear Information System (INIS)

    Kissinger, G.; Sattler, A.; Mueller, T.; Ammon, W. von

    2007-01-01

    The term thermal history of silicon wafers represents the whole variety of process parameters of crystal growth. The aim of this contribution is an attempt to specify thermal history by one parameter that is directly correlated to the bulk microdefect density. The parameter that reflects thermal history and correlates it with nucleation of oxide precipitates is the concentration of VO 2 complexes. The VO 2 concentration in silicon wafers is too low to be measured by FTIR but it can be obtained from the loss of interstitial oxygen during a standardized thermal treatment. Based on this, the vacancy concentration frozen during crystal cooling in the ingot can be calculated. RTA treatments above 1150 deg. C create a well defined level of the VO 2 concentration in silicon wafers. This means that a well controlled modification of the thermal history is possible. We also investigated the kinetics of reduction of the as-grown excess VO 2 concentration during RTA treatments at 950 deg. C and 1050 deg. C and the effectiveness of this attempt to totally delete the thermal history

  14. Engineering the size and density of silicon agglomerates by controlling the initial surface carbonated contamination

    Energy Technology Data Exchange (ETDEWEB)

    Borowik, Ł., E-mail: Lukasz.Borowik@cea.fr [CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France); Chevalier, N.; Mariolle, D.; Martinez, E.; Bertin, F.; Chabli, A.; Barbé, J.-C. [CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble Cedex 9 (France)

    2013-04-01

    Actually, thermally induced thin-films dewetting silicon in the silicon-on-insulator is a way to obtain silicon agglomerates with a size and a density fixed by the silicon film thickness. In this paper we report a new method to monitor both the size and the density of the Si agglomerates thanks to the deposition of a carbon-like layer. We show that using a 5-nm thick layer of silicon and additional ≤1-nm carbonated layer; we obtain agglomerates sizes ranging from 35 nm to 60 nm with respectively an agglomerate density ranging from 38 μm{sup −2} to 18 μm{sup −2}. Additionally, for the case of strained silicon films an alternative dewetting mechanism can be induced by monitoring the chemical composition of the sample surface.

  15. Dependence of silicon carbide coating properties on deposition parameters: preliminary report

    International Nuclear Information System (INIS)

    Lauf, R.J.; Braski, D.N.

    1980-05-01

    Fuel particles for the High-Temperature Gas-Cooled Reactor (HTGR) contain a layer of pyrolytic silicon carbide, which acts as a pressure vessel and provides containment of metallic fission products. The silicon carbide (SiC) is deposited by the thermal decomposition of methyltrichlorosilane (CH 3 SiCl 3 or MTS) in an excess of hydrogen. The purpose of the current study is to determine how the deposition variables affect the structure and properties of the SiC layer

  16. Thermal shock behavior of toughened gadolinium zirconate/YSZ double-ceramic-layered thermal barrier coating

    International Nuclear Information System (INIS)

    Zhong, Xinghua; Zhao, Huayu; Zhou, Xiaming; Liu, Chenguang; Wang, Liang; Shao, Fang; Yang, Kai; Tao, Shunyan; Ding, Chuanxian

    2014-01-01

    Highlights: • Gd 2 Zr 2 O 7 /YSZ DCL thermal barrier coating was designed and fabricated. • The Gd 2 Zr 2 O 7 top ceramic layer was toughened by addition of nanostructured 3YSZ. • Remarkable improvement in thermal shock resistance of the DCL coating was achieved. - Abstract: Double-ceramic-layered (DCL) thermal barrier coating system comprising of toughened Gadolinium zirconate (Gd 2 Zr 2 O 7 , GZ) as the top ceramic layer and 4.5 mol% Y 2 O 3 partially-stabilized ZrO 2 (4.5YSZ) as the bottom ceramic layer was fabricated by plasma spraying and thermal shock behavior of the DCL coating was investigated. The GZ top ceramic layer was toughened by addition of nanostructured 3 mol% Y 2 O 3 partially-stabilized ZrO 2 (3YSZ) to improve fracture toughness of the matrix. The thermal shock resistance of the DCL coating was enhanced significantly compared to that of single-ceramic-layered (SCL) GZ-3YSZ composite coating, which is believed to be primarily attributed to the two factors: (i) the increase in fracture toughness of the top ceramic layer by incorporating nanostructured YSZ particles and (ii) the improvement in strain tolerance through the utilization of 4.5YSZ as the bottom ceramic layer. In addition, the failure mechanisms are mainly attributed to the still low fracture toughness of the top ceramic layer and oxidation of the bond-coat

  17. Study on the fabrication of silicon nanoparticles in an amorphous silicon light absorbing layer for solar cell applications

    International Nuclear Information System (INIS)

    Park, Joo Hyung; Song, Jin Soo; Lee, Jae Hee; Lee, Jeong Chul

    2012-01-01

    Hydrogenated amorphous-silicon (a-Si:H) thin-film solar cells have advantages of relatively simple technology, less material consumption, higher absorption ratio compared to crystalline silicon, and low cost due to the use of cheaper substrates rather than silicon wafers. However, together with those advantages, amorphous-silicon thin-film solar cells face several issues such as a relatively lower efficiency, a relatively wider bandgap, and the Staebler-Wronski effect (SWE) compared to other competing materials (i.e., crystalline silicon, CdTe, Cu(In x Ga (1-x) )Se 2 (CIGS), etc.). As a remedy for those drawbacks and a way to enhance the cell conversion efficiency at the same time, the employment of crystalline silicon nanoparticles (Si-NPs) in the a-Si matrix is proposed to organize the quantum-dot (QD) structure as the light-absorbing layer. This structure of the light absorbing layer consists of single-crystal Si-NPs in an a-Si:H thin-film matrix. The single-crystal Si-NPs are synthesized by using SiH 4 gas decomposition with CO 2 laser pyrolysis, and the sizes of Si-NPs are calibrated to control their bandgaps. The synthesized size-controlled Si-NPs are directly transferred to another chamber to form a QD structure by using co-deposition of the Si-NPs and the a-Si:H matrix. Transmission electron microscopy (TEM) analyses are employed to verify the sizes and the crystalline properties of the Si-NPs alone and of the Si-NPs in the a-Si:H matrix. The TEM results show successful co-deposition of size-controlled Si-NPs in the a-Si:H matrix, which is meaningful because it suggests the possibility of further enhancement of the a-Si:H solar-cell structure and of tandem structure applications by using a single element.

  18. Thermal conductivity engineering in width-modulated silicon nanowires and thermoelectric efficiency enhancement

    Science.gov (United States)

    Zianni, Xanthippi

    2018-03-01

    Width-modulated nanowires have been proposed as efficient thermoelectric materials. Here, the electron and phonon transport properties and the thermoelectric efficiency are discussed for dimensions above the quantum confinement regime. The thermal conductivity decreases dramatically in the presence of thin constrictions due to their ballistic thermal resistance. It shows a scaling behavior upon the width-modulation rate that allows for thermal conductivity engineering. The electron conductivity also decreases due to enhanced boundary scattering by the constrictions. The effect of boundary scattering is weaker for electrons than for phonons and the overall thermoelectric efficiency is enhanced. A ZT enhancement by a factor of 20-30 is predicted for width-modulated nanowires compared to bulk silicon. Our findings indicate that width-modulated nanostructures are promising for developing silicon nanostructures with high thermoelectric efficiency.

  19. Optimizing thermal shock resistance of layered refractories

    Energy Technology Data Exchange (ETDEWEB)

    Hein, Jarno; Kuna, Meinhard [Institute of Mechanics and Fluid Dynamics, Technical University Bergakademie Freiberg, Lampadiusstrasse 4, 09599 Freiberg (Germany)

    2012-06-15

    Severe thermal shocks may cause critical thermal stresses and failure in refractories or ceramic materials. To increase the thermal shock resistance, layered material structures are suggested. In order to optimize properties of these alternative structures, thermo-mechanical simulations are required. In this study, a finite difference method (FDM) is used for solving the partial differential equation of heat conduction with spatially varying parameters. The optimization of the strip's thermal shock resistance is exemplarily done on a 10 layered strip subjected to constant temperature jump on the top surface. Each layer can be set with different porous Al{sub 2}O{sub 3} and MgO ceramics, whose material properties are theoretically determined. In this study, an improved optimization method is developed that consists of a combination and sequence of Monte Carlo simulations and evolution strategies to overcome certain disadvantages of both techniques. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Study of silicon chip soldering in high-power transistor housing

    Directory of Open Access Journals (Sweden)

    Vasily S. Anosov

    2017-09-01

    We experimentally assessed the effect of outer housing layer materials and back side chip metallization. For lead-silver soldering of silicon chips, the best housing is that with a nickel outer layer rather than with a gold-plated one, because the resultant thermal resistance is lower and the absence of gold makes the technology cheaper. We obtained a 0.6 K/W thermal resistance for a 24 mm2 chip area.

  1. High-field EPR spectroscopy of thermal donors in silicon

    DEFF Research Database (Denmark)

    Dirksen, R.; Rasmussen, F.B.; Gregorkiewicz, T.

    1997-01-01

    Thermal donors generated in p-type boron-doped Czochralski-grown silicon by a 450 degrees C heat treatment have been studied by high-field magnetic resonance spectroscopy. In the experiments conducted at a microwave frequency of 140 GHz and in a magnetic field of approximately 5 T four individual...

  2. Intensifying the Casimir force between two silicon substrates within three different layers of materials

    International Nuclear Information System (INIS)

    Seyedzahedi, A.; Moradian, A.; Setare, M.R.

    2016-01-01

    We investigate the Casimir force for a system composed of two thick slabs as substrates within three different homogeneous layers. We use the scattering approach along with the Matsubara formalism in order to calculate the Casimir force at finite temperature. First, we focus on constructing the reflection matrices and then we calculate the Casimir force for a water–lipid system. According to the conventional use of silicon as a substrate, we apply the formalism to calculate the Casimir force for layers of Au, VO 2 , mica, KCl and foam rubber on the thick slabs of silicon. Afterwards, introducing an increasing factor, we compare our results with Lifshitz force in the vacuum between two semispaces of silicon in order to illustrate the influence of the layers on intensifying the Casimir force. We also calculate the Casimir force between two slabs of the forementioned materials with finite thicknesses to indicate the substrate's role in increasing the obtained Casimir force. Our simple calculation is interesting since one can extend it along with the Rigorous Coupled Wave Analysis to systems containing inhomogeneous layers as good candidates for designing nanomechanical devices.

  3. Intensifying the Casimir force between two silicon substrates within three different layers of materials

    Energy Technology Data Exchange (ETDEWEB)

    Seyedzahedi, A. [Department of Science, University of Kurdistan, Sanandaj (Iran, Islamic Republic of); Moradian, A., E-mail: a.moradian@uok.ac.ir [Department of Science, Campus of Bijar, University of Kurdistan, Bijar (Iran, Islamic Republic of); Setare, M.R., E-mail: rezakord@ipm.ir [Department of Science, University of Kurdistan, Sanandaj (Iran, Islamic Republic of)

    2016-04-01

    We investigate the Casimir force for a system composed of two thick slabs as substrates within three different homogeneous layers. We use the scattering approach along with the Matsubara formalism in order to calculate the Casimir force at finite temperature. First, we focus on constructing the reflection matrices and then we calculate the Casimir force for a water–lipid system. According to the conventional use of silicon as a substrate, we apply the formalism to calculate the Casimir force for layers of Au, VO{sub 2}, mica, KCl and foam rubber on the thick slabs of silicon. Afterwards, introducing an increasing factor, we compare our results with Lifshitz force in the vacuum between two semispaces of silicon in order to illustrate the influence of the layers on intensifying the Casimir force. We also calculate the Casimir force between two slabs of the forementioned materials with finite thicknesses to indicate the substrate's role in increasing the obtained Casimir force. Our simple calculation is interesting since one can extend it along with the Rigorous Coupled Wave Analysis to systems containing inhomogeneous layers as good candidates for designing nanomechanical devices.

  4. Influence of silicon dangling bonds on germanium thermal diffusion within SiO{sub 2} glass

    Energy Technology Data Exchange (ETDEWEB)

    Barba, D.; Martin, F.; Ross, G. G. [INRS Centre for Energy, Materials and Telecommunications, 1650 Boul. Lionel-Boulet, Varennes, Québec J3X 1S2 (Canada); Cai, R. S.; Wang, Y. Q. [The Cultivation Base for State Key Laboratory, Qingdao University, Qingdao 266071 (China); Demarche, J.; Terwagne, G. [LARN, Centre de Recherche en Physique de la Matière et du Rayonnement (PMR), University of Namur (FUNDP), B-5000 Namur (Belgium); Rosei, F. [INRS Centre for Energy, Materials and Telecommunications, 1650 Boul. Lionel-Boulet, Varennes, Québec J3X 1S2 (Canada); Center for Self-Assembled Chemical Structures, McGill University, Montreal, Quebec H3A 2K6 (Canada)

    2014-03-17

    We study the influence of silicon dangling bonds on germanium thermal diffusion within silicon oxide and fused silica substrates heated to high temperatures. By using scanning electron microscopy and Rutherford backscattering spectroscopy, we determine that the lower mobility of Ge found within SiO{sub 2}/Si films can be associated with the presence of unsaturated SiO{sub x} chemical bonds. Comparative measurements obtained by x-ray photoelectron spectroscopy show that 10% of silicon dangling bonds can reduce Ge desorption by 80%. Thus, the decrease of the silicon oxidation state yields a greater thermal stability of Ge inside SiO{sub 2} glass, which could enable to considerably extend the performance of Ge-based devices above 1300 K.

  5. Investigation of charges carrier density in phosphorus and boron doped SiNx:H layers for crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Paviet-Salomon, B.; Gall, S.; Slaoui, A.

    2013-01-01

    Highlights: ► We investigate the properties of phosphorus and boron-doped silicon nitride films. ► Phosphorus-doped layers yield higher lifetimes than undoped ones. ► The fixed charges density decreases when increasing the films phosphorus content. ► Boron-doped films feature very low lifetimes. ► These doped layers are of particular interest for crystalline silicon solar cells. -- Abstract: Dielectric layers are of major importance in crystalline silicon solar cells processing, especially as anti-reflection coatings and for surface passivation purposes. In this paper we investigate the fixed charge densities (Q fix ) and the effective lifetimes (τ eff ) of phosphorus (P) and boron (B) doped silicon nitride layers deposited by plasma-enhanced chemical vapour deposition. P-doped layers exhibit a higher τ eff than standard undoped layers. In contrast, B-doped layers exhibit lower τ eff . A strong Q fix decrease is to be seen when increasing the P content within the film. Based on numerical simulations we also demonstrate that the passivation obtained with P- and B-doped layers are limited by the interface states rather than by the fixed charges

  6. Real-time observations of interface formation for barium strontium titanate films on silicon

    Science.gov (United States)

    Mueller, A. H.; Suvorova, N. A.; Irene, E. A.; Auciello, O.; Schultz, J. A.

    2002-05-01

    Ba.5Sr.5TiO3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Å film with intermediate static dielectric constant (K˜12) and refractive index (n˜2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST.

  7. Real-time observations of interface formation for barium strontium titanate films on silicon

    International Nuclear Information System (INIS)

    Mueller, A.H.; Suvorova, N.A.; Irene, E.A.; Auciello, O.; Schultz, J.A.

    2002-01-01

    Ba .5 Sr .5 TiO 3 (BST) film growth by ion sputtering on bare and thermally oxidized silicon was observed in real time using in-situ spectroscopic ellipsometry and time of flight ion scattering and recoil spectrometry techniques. At the outset of BST film deposition on silicon, an approximately 30 Aa film with intermediate static dielectric constant (K∼12) and refractive index (n∼2.6 at photon energies of 1.5-3.25 eV) interface layer formed on bare silicon. The interface layer growth rate was greatly reduced on an oxidized silicon substrate. The results have profound implications on the static dielectric constant of BST

  8. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy

    2012-08-20

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  9. Optical characteristics of silicon nanowires grown from tin catalyst layers on silicon coated glass

    KAUST Repository

    Ball, Jeremy; Centeno, Anthony; Mendis, Budhika G.; Reehal, H. S.; Alford, Neil

    2012-01-01

    The optical characteristics of silicon nanowires grown on Si layers on glass have been modeled using the FDTD (Finite Difference Time Domain) technique and compared with experimental results. The wires were grown by the VLS (vapour-liquid-solid) method using Sn catalyst layers and exhibit a conical shape. The resulting measured and modeled absorption, reflectance and transmittance spectra have been investigated as a function of the thickness of the underlying Si layer and the initial catalyst layer, the latter having a strong influence on wire density. High levels of absorption (>90% in the visible wavelength range) and good agreement between the modeling and experiment have been observed when the nanowires have a relatively high density of ∼4 wires/μ m2. The experimental and modeled results diverge for samples with a lower density of wire growth. The results are discussed along with some implications for solar cell fabrication. © 2012 Optical Society of America.

  10. Test-to-Failure of Crystalline Silicon Modules: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Hacke, P.; Terwilliger, K.; Glick, S.; Trudell, D.; Bosco, N.; Johnston, S.; Kurtz, S. R.

    2010-10-01

    Accelerated lifetime testing of five crystalline silicon module designs was carried out according to the Terrestrial Photovoltaic Module Accelerated Test-to-Failure Protocol. This protocol compares the reliability of various module constructions on a quantitative basis. The modules under test are subdivided into three accelerated lifetime testing paths: 85..deg..C/85% relative humidity with system bias, thermal cycling between ?40..deg..C and 85..deg..C, and a path that alternates between damp heat and thermal cycling. The most severe stressor is damp heat with system bias applied to simulate the voltages that modules experience when connected in an array. Positive 600 V applied to the active layer with respect to the grounded module frame accelerates corrosion of the silver grid fingers and degrades the silicon nitride antireflective coating on the cells. Dark I-V curve fitting indicates increased series resistance and saturation current around the maximum power point; however, an improvement in junction recombination characteristics is obtained. Shunt paths and cell-metallization interface failures are seen developing in the silicon cells as determined by electroluminescence, thermal imaging, and I-V curves in the case of negative 600 V bias applied to the active layer. Ability to withstand electrolytic corrosion, moisture ingress, and ion drift under system voltage bias are differentiated.

  11. Poling effect of a charge-trapping layer in glass waveguides

    DEFF Research Database (Denmark)

    Ren, Yitao; Marckmann, Carl Johan; Jacobsen, Rune Shim

    2004-01-01

    Germanium-doped multi-layer waveguides containing a silicon oxy-nitride layer as a charge trapper are thermally poled in an air environment. Compared to the waveguides without the trapping layer, the induced linear electro-optic coefficient increases more than 20%. A comparable rise in the intern...

  12. Modulation of thermal conductivity in kinked silicon nanowires: phonon interchanging and pinching effects.

    Science.gov (United States)

    Jiang, Jin-Wu; Yang, Nuo; Wang, Bing-Shen; Rabczuk, Timon

    2013-04-10

    We perform molecular dynamics simulations to investigate the reduction of the thermal conductivity by kinks in silicon nanowires. The reduction percentage can be as high as 70% at room temperature. The temperature dependence of the reduction is also calculated. By calculating phonon polarization vectors, two mechanisms are found to be responsible for the reduced thermal conductivity: (1) the interchanging effect between the longitudinal and transverse phonon modes and (2) the pinching effect, that is, a new type of localization, for the twisting and transverse phonon modes in the kinked silicon nanowires. Our work demonstrates that the phonon interchanging and pinching effects, induced by kinking, are brand-new and effective ways in modulating heat transfer in nanowires, which enables the kinked silicon nanowires to be a promising candidate for thermoelectric materials.

  13. Silicon Nanowires for Solar Thermal Energy Harvesting: an Experimental Evaluation on the Trade-off Effects of the Spectral Optical Properties.

    Science.gov (United States)

    Sekone, Abdoul Karim; Chen, Yu-Bin; Lu, Ming-Chang; Chen, Wen-Kai; Liu, Chia-An; Lee, Ming-Tsang

    2016-12-01

    Silicon nanowire possesses great potential as the material for renewable energy harvesting and conversion. The significantly reduced spectral reflectivity of silicon nanowire to visible light makes it even more attractive in solar energy applications. However, the benefit of its use for solar thermal energy harvesting remains to be investigated and has so far not been clearly reported. The purpose of this study is to provide practical information and insight into the performance of silicon nanowires in solar thermal energy conversion systems. Spectral hemispherical reflectivity and transmissivity of the black silicon nanowire array on silicon wafer substrate were measured. It was observed that the reflectivity is lower in the visible range but higher in the infrared range compared to the plain silicon wafer. A drying experiment and a theoretical calculation were carried out to directly evaluate the effects of the trade-off between scattering properties at different wavelengths. It is clearly seen that silicon nanowires can improve the solar thermal energy harnessing. The results showed that a 17.8 % increase in the harvest and utilization of solar thermal energy could be achieved using a silicon nanowire array on silicon substrate as compared to that obtained with a plain silicon wafer.

  14. Thermal post-deposition treatment effects on nanocrystalline hydrogenated silicon prepared by PECVD under different hydrogen flow rates

    Energy Technology Data Exchange (ETDEWEB)

    Amor, Sana Ben, E-mail: sana.benamor1@gmail.com [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia); University of Applied Medical Sciences of Hafr El Baten (Saudi Arabia); Meddeb, Hosny; Daik, Ridha; Othman, Afef Ben; Slama, Sonia Ben; Dimassi, Wissem; Ezzaouia, Hatem [Photovoltaic Laboratory Research and Technology Centre of Energy, Borj-Cedria Science and Technology Park, BP 95, 2050 Hammam-Lif (Tunisia)

    2016-01-01

    Graphical abstract: At high annealing temperatures, many atoms do not suffer the attraction of surface species due to the thermal agitation and consequently few atoms are adsorbed. As the temperature is lowered the adsorption is more efficient to the point that is no more atoms in the gas phase. Indeed at relatively low temperatures, the atoms have too little energy to escape from the surface or even to vibrate against it. They lost their degree of freedom in the direction perpendicular to the surface. But this does not prevent the atoms to diffuse along the surface. As a result, the layer's thickness decrease with increasing the annealing temperature. - Highlights: The results extracted from this work are: • The post-deposition thermal treatment improves the crystallinity the film at moderate temperature (500 °C). • The higher annealing temperature can lead to decrease the silicon–hydrogen bonds and increase the Si–Si bonds. • Moderate annealing temperature (700 °C) seems to be crucial for obtaining high minority carrier life times. • Hydrogen effusion phenomenon start occurring at 500–550 °C and get worsen at 900 °C. - Abstract: In this paper, hydrogenated nanocrystalline silicon (nc-Si:H) thin films were deposited on mono-crystalline silicon substrate by plasma enhanced chemical vapor deposition (PECVD) under different hydrogen flow rates followed by a thermal treatment in an infrared furnace at different temperature ranging from 300 to 900 °C. The investigated structural, morphological and optoelectronic properties of samples were found to be strongly dependent on the annealing temperature. Raman spectroscopy revealed that nc-Si:H films contain crystalline, amorphous and mixed structures as well. We find that post-deposition thermal treatment may lead to a tendency for structural improvement and a decrease of the disorder in the film network at moderate temperature under 500 °C. As for annealing at higher temperature up to 900

  15. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  16. Influence of amorphous layers on the thermal conductivity of phononic crystals

    Science.gov (United States)

    Verdier, Maxime; Lacroix, David; Didenko, Stanislav; Robillard, Jean-François; Lampin, Evelyne; Bah, Thierno-Moussa; Termentzidis, Konstantinos

    2018-03-01

    The impact of amorphous phases around the holes and at the upper and lower free surfaces on thermal transport in silicon phononic membranes is studied. By means of molecular dynamics and Monte Carlo simulations, we explore the impact of the amorphous phase (oxidation and amorphous silicon), surfaces roughness, and a series of geometric parameters on thermal transport. We show that the crystalline phase drives the phenomena; the two main parameters are (i) the crystalline fraction between two holes and (ii) the crystalline thickness of the membranes. We reveal the hierarchical impact of nanostructurations on the thermal conductivity, namely, from the most resistive to the less resistive: the creation of holes, the amorphous phase around them, and the amorphization of the membranes edges. The surfaces or interfaces perpendicular to the heat flow hinder the thermal conductivity to a much greater extent than those parallel to the heat flow.

  17. THERMAL CONDUCTIVITY OF NON-REPOSITORY LITHOSTRATIGRAPHIC LAYERS

    International Nuclear Information System (INIS)

    R. JONES

    2004-01-01

    This model report addresses activities described in ''Technical Work Plan for: Near-Field Environment and Transport Thermal Properties and Analysis Reports Integration'' (BSC 2004 [DIRS 171708]). The model develops values for thermal conductivity, and its uncertainty, for the nonrepository layers of Yucca Mountain; in addition, the model provides estimates for matrix porosity and dry bulk density for the nonrepository layers. The studied lithostratigraphic units, as identified in the ''Geologic Framework Model'' (GFM 2000) (BSC 2004 [DIRS 170029]), are the Timber Mountain Group, the Tiva Canyon Tuff, the Yucca Mountain Tuff, the Pah Canyon Tuff, the Topopah Spring Tuff (excluding the repository layers), the Calico Hills Formation, the Prow Pass Tuff, the Bullfrog Tuff, and the Tram Tuff. The deepest model units of the GFM (Tund and Paleozoic) are excluded from this study because no data suitable for model input are available. The parameter estimates developed in this report are used as input to various models and calculations that simulate heat transport through the rock mass. Specifically, analysis model reports that use product output from this report are: (1) Drift-scale coupled processes (DST and TH seepage) models; (2) Drift degradation analysis; (3) Multiscale thermohydrologic model; and (4) Ventilation model and analysis report. In keeping with the methodology of the thermal conductivity model for the repository layers in ''Thermal Conductivity of the Potential Repository Horizon'' (BSC 2004 [DIRS 169854]), the Hsu and others (1995 [DIRS 158073]) three-dimensional (3-D) cubic model (referred to herein as ''the Hsu model'') was used to represent the matrix thermal conductivity as a function of the four parameters (matrix porosity, thermal conductivity of the saturating fluid, thermal conductivity of the solid, and geometric connectivity of the solid). The Hsu model requires input data from each test specimen to meet three specific conditions: (1) Known value

  18. Bioconjugate functionalization of thermally carbonized porous silicon using a radical coupling reaction†

    Science.gov (United States)

    Sciacca, Beniamino; Alvarez, Sara D.; Geobaldo, Francesco; Sailor, Michael J.

    2011-01-01

    The high stability of Salonen’s thermally carbonized porous silicon (TCPSi) has attracted attention for environmental and biochemical sensing applications, where corrosion-induced zero point drift of porous silicon-based sensor elements has historically been a significant problem. Prepared by the high temperature reaction of porous silicon with acetylene gas, the stability of this silicon carbide-like material also poses a challenge—many sensor applications require a functionalized surface, and the low reactivity of TCPSi has limited the ability to chemically modify its surface. This work presents a simple reaction to modify the surface of TCPSi with an alkyl carboxylate. The method involves radical coupling of a dicarboxylic acid (sebacic acid) to the TCPSi surface using a benzoyl peroxide initiator. The grafted carboxylic acid species provides a route for bioconjugate chemical modification, demonstrated in this work by coupling propylamine to the surface carboxylic acid group through the intermediacy of pentafluorophenol and 1-ethyl-3-[3-dimethylaminopropyl]carbodiimide hydrochloride (EDC). The stability of the carbonized porous Si surface, both before and after chemical modification, is tested in phosphate buffered saline solution and found to be superior to either hydrosilylated (with undecylenic acid) or thermally oxidized porous Si surfaces. PMID:20967329

  19. Predicting the performance of amorphous and crystalline silicon based photovoltaic solar thermal collectors

    International Nuclear Information System (INIS)

    Daghigh, Ronak; Ibrahim, Adnan; Jin, Goh Li; Ruslan, Mohd Hafidz; Sopian, Kamaruzzaman

    2011-01-01

    BIPVT is an application where solar PV/T modules are integrated into the building structure. System design parameters such as thermal conductivity and fin efficiency, type of cells, type of coolant and operating conditions are factors which influence the performance of BIPVT. Attempts have been made to improve the efficiency of building-integrated photovoltaic thermal (BIPVT). A new design concept of water-based PVT collector for building-integrated applications has been designed and evaluated. The results of simulation study of amorphous silicon (a-Si) PV/T and crystalline silicon (c-Si) module types are based on the metrological condition of Malaysia for a typical day in March. At a flow rate of 0.02 kg/s, solar radiation level between 700 and 900 W/m 2 and ambient temperature between 22 and 32 o C, the electrical, thermal and combined photovoltaic thermal efficiencies for the PV/T (a-Si) were 4.9%, 72% and 77%, respectively. Moreover, the electrical, thermal and combined photovoltaic thermal efficiencies of the PV/T (c-Si) were 11.6%, 51% and 63%.

  20. Temperature dependant thermal and mechanical properties of a metal-phase change layer interface using the time resolved pump probe technique

    International Nuclear Information System (INIS)

    Schick, V; Battaglia, J-L; Kusiak, A; Rossignol, C; Wiemer, C

    2011-01-01

    Time Resolved Pump Probe (TRPP) technique has been implemented to study the thermal and mechanical properties of Ge 2 Sb 2 Te 5 (GST) film deposited on a silicon substrate. According to the knowledge of the thermal properties of the GST layer, the temperature dependant Thermal Boundary Resistance (TBR) at the metal-GST interface is evaluated. Measuring the acoustic oscillation and more particularly its damping leads to characterize the adhesion at the metal - GST interface. This quantity can be efficiently related to the temperature dependent TBR in the 25 deg. C - 400 deg. C range. The TBR increases with temperature and follows the changes of the crystalline structure of materials. A linear relation between the acoustic reflection coefficient and the logarithm of the thermal boundary resistance is found.

  1. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  2. Characterization of Ge Nano structures Embedded Inside Porous Silicon for Photonics Application

    International Nuclear Information System (INIS)

    Rahim, A.F.A.; Hashim, M.R.; Rahim, A.F.A.; Ali, N.K.

    2011-01-01

    In this work we prepared germanium nano structures by means of filling the material inside porous silicon (PS) using conventional and cost effective technique, thermal evaporator. The PS acts as patterned substrate. It was prepared by anodization of silicon wafer in ethanoic hydrofluoric acid (HF). A Ge layer was then deposited onto the PS by thermal evaporation. This was followed by deposition of Si layer by thermal evaporation and anneal at 650 degree Celsius for 30 min. The process was completed by Ni metal deposition using thermal evaporator followed by metal annealing of 400 degree Celsius for 10 min to form metal semiconductor metal (MSM) photodetector. Structural analysis of the samples was performed using energy dispersive x-ray analysis (EDX), scanning electron microscope (SEM), X-ray diffraction (XRD) and Raman spectroscopy (RS). EDX spectrum suggests the presence of Ge inside the pores structure. Raman spectrum showed that good crystalline structure of Ge can be produced inside silicon pores with a phase with the diamond structure by (111), (220) and (400) reflections. Finally current-voltage (I-V) measurement of the MSM photodetector was carried out and showed lower dark currents compared to that of Si control device. Interestingly the device showed enhanced current gain compared to Si device which can be associated with the presence of Ge nano structures in the porous silicon. (author)

  3. High-Tc superconducting antenna-coupled microbolometer on silicon

    Science.gov (United States)

    Rice, Joseph P.; Grossman, Erich N.; Borcherdt, L. J.; Rudman, D. A.

    1994-05-01

    A process is described for fabricating antenna-coupled resistive-edge microbolometers based on the high-Tc superconductor YBa2Cu3O7 (YBCO) on silicon. The YBCO and a buffer layer of yttria-stabilized zirconia (YSZ) were grown epitaxially on silicon to minimize excess electrical noise. A silicon-micromachined YBCO/YSZ air-bridge was incorporated to minimize the thermal conductance and the heat capacity. The thermal conductance of the air-bridge was measured to be 3 X 10-6 W/K at a temperature of 100 K. At an operating temperature of 89 K, the detector is estimated to have a response time of 2 microsecond(s) , a responsivity of the 1000 V/W range, and a noise-equivalent power in the 10-12 W/Hz1/2 range at 1000 Hz.

  4. Surface effects on the thermal conductivity of silicon nanowires

    Science.gov (United States)

    Li, Hai-Peng; Zhang, Rui-Qin

    2018-03-01

    Thermal transport in silicon nanowires (SiNWs) has recently attracted considerable attention due to their potential applications in energy harvesting and generation and thermal management. The adjustment of the thermal conductivity of SiNWs through surface effects is a topic worthy of focus. In this paper, we briefly review the recent progress made in this field through theoretical calculations and experiments. We come to the conclusion that surface engineering methods are feasible and effective methods for adjusting nanoscale thermal transport and may foster further advancements in this field. Project supported by the National Natural Science Foundation ofChina (Grant No. 11504418), China Scholarship Council (Grant No. 201706425053), Basic Research Program in Shenzhen, China (Grant No. JCYJ20160229165210666), and the Fundamental Research Funds for the Central Universities of China (Grant No. 2015XKMS075).

  5. Nitrogen doped silicon-carbon multilayer protective coatings on carbon obtained by TVA method

    Science.gov (United States)

    Ciupina, Victor; Vasile, Eugeniu; Porosnicu, Corneliu; Lungu, Cristian P.; Vladoiu, Rodica; Jepu, Ionut; Mandes, Aurelia; Dinca, Virginia; Caraiane, Aureliana; Nicolescu, Virginia; Cupsa, Ovidiu; Dinca, Paul; Zaharia, Agripina

    2017-08-01

    Protective nitrogen doped Si-C multilayer coatings on carbon, used to improve the oxidation resistance of carbon, were obtained by Thermionic Vacuum Arc (TVA) method. The initial carbon layer having a thickness of 100nm has been deposed on a silicon substrate in the absence of nitrogen, and then a 3nm Si thin film to cover carbon layer was deposed. Further, seven Si and C layers were alternatively deposed in the presence of nitrogen ions, each having a thickness of 40nm. In order to form silicon carbide at the interface between silicon and carbon layers, all carbon, silicon and nitrogen ions energy has increased up to 150eV . The characterization of microstructure and electrical properties of as-prepared N-Si-C multilayer structures were done using Transmission Electron Microscopy (TEM, STEM) techniques, Thermal Desorption Spectroscopy (TDS) and electrical measurements. Oxidation protection of carbon is based on the reaction between oxygen and silicon carbide, resulting in SiO2, SiO and CO2, and also by reaction involving N, O and Si, resulting in silicon oxynitride (SiNxOy) with a continuously variable composition, and on the other hand, since nitrogen acts as a trapping barrier for oxygen. To perform electrical measurements, 80% silver filled two-component epoxy-based glue ohmic contacts were attached on the N-Si-C samples. Electrical conductivity was measured in constant current mode. The experimental data show the increase of conductivity with the increase of the nitrogen content. To explain the temperature behavior of electrical conductivity we assumed a thermally activated electric transport mechanism.

  6. Amorphous silicon oxide layers for surface passivation and contacting of heterostructure solar cells of amorphous and crystalline silicon; Amorphe Siliziumoxidschichten zur Oberflaechenpassivierung und Kontaktierung von Heterostruktur-Solarzellen aus amorphen und kristallinem Silizium

    Energy Technology Data Exchange (ETDEWEB)

    Einsele, Florian

    2010-02-05

    Atomic hydrogen plays a dominant role in the passivation of crystalline silicon surfaces by layers of amorphous silicon. In order to research into this role, this thesis presents the method of hydrogen effusion from thin amorphous films of silicon (a-Si:H) and silicon oxide (a-SiO{sub x}:H). The oxygen concentration of the sub-stoichiometric a-SiO{sub x}:H films ranges up to 10 at.-%. The effusion experiment yields information about the content and thermal stability of hydrogen and about the microstructure of the films. A mathematical description of the diffusion process of atomic hydrogen yields an analytical expression of the effusion rate R{sub E} depending on the linearly increasing temperature in the experiment. Fitting of the calculated effusion rates R{sub E} to measured effusion spectra yields the diffusion coefficient of atomic hydrogen in a-SiO{sub x}:H. With increasing oxygen concentration, the diffusion coefficient of hydrogen in the a-SiO{sub x}:H films decreases. This is attributed to an increasing Si-H bond energy due to back bonded oxygen, resulting in a higher stability of hydrogen in the films. This result is confirmed by an increasing thermal stability of the p-type c-Si passivation with a-SiO{sub x}:H of increasing oxygen concentrations up to 5 at.-%. The passivation reaches very low recombination velocities of S < 10 cm/s at the interface. However, for higher oxygen concentrations up to 10 at.-%, the passivation quality decreases significantly. Here, infrared spectroscopy of Si-H vibrational modes and hydrogen effusion show an increase of hydrogen-rich interconnected voids in the films. This microstructure results in a high amount of molecular hydrogen (H{sub 2}) in the layers, which is not suitable for the saturation of c-Si interface defects. Annealing of the films at temperatures around 400 C leads to a release of H{sub 2} from the voids, as a result of which Si-Si bonds in the material reconstruct. Subsequently, hydrogen migration in the

  7. A deep-level transient spectroscopy study of gamma-ray irradiation on the passivation properties of silicon nitride layer on silicon

    Science.gov (United States)

    Dong, Peng; Yu, Xuegong; Ma, Yao; Xie, Meng; Li, Yun; Huang, Chunlai; Li, Mo; Dai, Gang; Zhang, Jian

    2017-08-01

    Plasma-enhanced chemical vapor deposited silicon nitride (SiNx) films are extensively used as passivation material in the solar cell industry. Such SiNx passivation layers are the most sensitive part to gamma-ray irradiation in solar cells. In this work, deep-level transient spectroscopy has been applied to analyse the influence of gamma-ray irradiation on the passivation properties of SiNx layer on silicon. It is shown that the effective carrier lifetime decreases with the irradiation dose. At the same time, the interface state density is significantly increased after irradiation, and its energy distribution is broadened and shifts deeper with respect to the conduction band edge, which makes the interface states becoming more efficient recombination centers for carriers. Besides, C-V characteristics show a progressive negative shift with increasing dose, indicating the generation of effective positive charges in SiNx films. Such positive charges are beneficial for shielding holes from the n-type silicon substrates, i. e. the field-effect passivation. However, based on the reduced carrier lifetime after irradiation, it can be inferred that the irradiation induced interface defects play a dominant role over the trapped positive charges, and therefore lead to the degradation of passivation properties of SiNx on silicon.

  8. Thermal insulation layer for the vacuum containers of a thermonuclear device

    International Nuclear Information System (INIS)

    Nishikawa, Masana; Yamada, Masao; Kameari, Akihisa; Niikura, Setsuo.

    1980-01-01

    Purpose: To prevent temperature rise of a thermal insulation layer for a vacuum container of a thermonuclear device higher than allowable value when irradiated by neutron by constructing the layer of a cooling unit in thermal insulation material. Constitution: A metal plate attached with cooling pipes is buried in a thermal insulation material forming a thermal insulation layer to form the layer provided between a vacuum container of a thermonuclear device and a shield. (Yoshihara, H.)

  9. Transparent conducting oxide layers for thin film silicon solar cells

    NARCIS (Netherlands)

    Rath, J.K.; Liu, Y.; de Jong, M.M.; de Wild, J.; Schuttauf, J.A.; Brinza, M.; Schropp, R.E.I.

    2009-01-01

    Texture etching of ZnO:1%Al layers using diluted HCl solution provides excellent TCOs with crater type surface features for the front contact of superstrate type of thin film silicon solar cells. The texture etched ZnO:Al definitely gives superior performance than Asahi SnO2:F TCO in case of

  10. Two orders of magnitude reduction in silicon membrane thermal conductivity by resonance hybridizations

    Science.gov (United States)

    Honarvar, Hossein; Hussein, Mahmoud I.

    2018-05-01

    The thermal conductivity of a freestanding single-crystal silicon membrane may be reduced significantly by attaching nanoscale pillars on one or both surfaces. Atomic resonances of the nanopillars form vibrons that intrinsically couple with the base membrane phonons causing mode hybridization and flattening at each coupling location in the phonon band structure. This in turn causes group velocity reductions of existing phonons, in addition to introducing new modes that get excited but are localized and do not transport energy. The nanopillars also reduce the phonon lifetimes at and around the hybridization zones. These three effects, which in principle may be tuned to take place across silicon's full spectrum, lead to a lowering of the in-plane thermal conductivity in the base membrane. Using equilibrium molecular dynamics simulations, and utilizing the concept of vibrons compensation, we report a staggering two orders of magnitude reduction in the thermal conductivity at room temperature by this mechanism. Specifically, a reduction of a factor of 130 is demonstrated for a roughly 10-nm-thick pillared membrane compared to a corresponding unpillared membrane. This amounts to a record reduction of a factor of 481 compared to bulk crystalline silicon and nearly a factor of 2 compared to bulk amorphous silicon. These results are obtained while providing a path for preserving performance with upscaling.

  11. All-silicon thermal independent Mach-Zehnder interferometer with multimode waveguides

    DEFF Research Database (Denmark)

    Guan, Xiaowei; Frandsen, Lars Hagedorn

    2016-01-01

    A novel all-silicon thermal independent Mach-Zehnder interferometer consisting of two multimode waveguide arms having equal lengths and widths but transmitting different modes is proposed and experimentally demonstrated. The interferometer has a temperature sensitivity smaller than 8pm/°C in a wa...

  12. Periodic molybdenum disc array for light trapping in amorphous silicon layer

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Jiwei; Deng, Changkai [International Center of Quantum and Molecular Structures, Materials Genome Institute, and Department of Physics, Shanghai University, 99 Shangda Road, Shanghai, 200444 China (China); Shanghai Advanced Research Institute, Chinese Academy of Sciences, 99 Haike Road, Shanghai, 201210 China (China); Yang, Kang; Chen, Haiyan, E-mail: chenhy@sari.ac.cn; Li, Dongdong; Chen, Xiaoyuan [Shanghai Advanced Research Institute, Chinese Academy of Sciences, 99 Haike Road, Shanghai, 201210 China (China); Ren, Wei, E-mail: renwei@shu.edu.cn [International Center of Quantum and Molecular Structures, Materials Genome Institute, and Department of Physics, Shanghai University, 99 Shangda Road, Shanghai, 200444 China (China)

    2016-05-15

    We demonstrate the light trapping effect in amorphous silicon (a-Si:H) layer by inserting a layer of periodic molybdenum disc array (MDA) between the a-Si:H layer and the quartz substrate, which forms a three-layer structure of Si/MDA/SiO{sub 2}. The MDA layer was fabricated by a new cost-effective method based on nano-imprint technology. Further light absorption enhancement was realized through altering the topography of MDA by annealing it at 700°C. The mechanism of light absorption enhancement in a-Si:H interfaced with MDA was analyzed, and the electric field distribution and light absorption curve of the different layers in the Si/MDA structure under light illumination of different wavelengths were simulated by employing numerical finite difference time domain (FDTD) solutions.

  13. Three-dimensional modelling of thermal stress in floating zone silicon crystal growth

    Science.gov (United States)

    Plate, Matiss; Krauze, Armands; Virbulis, Jānis

    2018-05-01

    During the growth of large diameter silicon single crystals with the industrial floating zone method, undesirable level of thermal stress in the crystal is easily reached due to the inhomogeneous expansion as the crystal cools down. Shapes of the phase boundaries, temperature field and elastic material properties determine the thermal stress distribution in the solid mono crystalline silicon during cylindrical growth. Excessive stress can lead to fracture, generation of dislocations and altered distribution of intrinsic point defects. Although appearance of ridges on the crystal surface is the decisive factor of a dislocation-free growth, the influence of these ridges on the stress field is not completely clear. Here we present the results of thermal stress analysis for 4” and 5” diameter crystals using a quasi-stationary three dimensional mathematical model including the material anisotropy and the presence of experimentally observed ridges which cannot be addressed with axis-symmetric models. The ridge has a local but relatively strong influence on thermal stress therefore its relation to the origin of fracture is hypothesized. In addition, thermal stresses at the crystal rim are found to increase for a particular position of the crystal radiation reflector.

  14. Detector and Front-end electronics for ALICE and STAR silicon strip layers

    CERN Document Server

    Arnold, L; Coffin, J P; Guillaume, G; Higueret, S; Jundt, F; Kühn, C E; Lutz, Jean Robert; Suire, C; Tarchini, A; Berst, D; Blondé, J P; Clauss, G; Colledani, C; Deptuch, G; Dulinski, W; Hu, Y; Hébrard, L; Kucewicz, W; Boucham, A; Bouvier, S; Ravel, O; Retière, F

    1998-01-01

    Detector modules consisting of Silicon Strip Detector (SSD) and Front End Electronics (FEE) assembly have been designed in order to provide the two outer layers of the ALICE Inner Tracker System (ITS) [1] as well as the outer layer of the STAR Silicon Vertex Tracker (SVT) [2]. Several prototypes have beenproduced and tested in the SPS and PS beam at CERN to validate the final design. Double-sided, AC-coupled SSD detectors provided by two different manufacturers and also a pair of single-sided SSD have been asssociated to new low-power CMOS ALICE128C ASIC chips in a new detector module assembly. The same detectors have also been associated to current Viking electronics for reference purpose. These prototype detector modules are described and some first results are presented.

  15. Effect of Current Density on Thermal and Optical Properties of p-Type Porous Silicon

    International Nuclear Information System (INIS)

    Kasra Behzad; Wan Mahmood Mat Yunus; Zainal Abidin Talib; Azmi Zakaria; Afarin Bahrami

    2011-01-01

    The different parameters of the porous silicon (PSi) can be tuned by changing some parameters in preparation process. We have chosen the anodization as formation method, so the related parameters should be changed. In this study the porous silicon (PSi) layers were formed on p-type Si wafer. The samples were anodized electrically in a fixed etching time under some different current densities. The structural and optical properties of porous silicon (PSi) on silicon (Si) substrates were investigated using photoluminescence (PL) and Photoacoustic Spectroscopy (PAS). (author)

  16. Surface roughening of silicon, thermal silicon dioxide, and low-k dielectric coral films in argon plasma

    International Nuclear Information System (INIS)

    Yin Yunpeng; Sawin, Herbert H.

    2008-01-01

    The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide

  17. Study of the thermal effect on silicon surface induced by ion beam from plasma focus device

    Energy Technology Data Exchange (ETDEWEB)

    Ahmad, Z., E-mail: pscientific5@aec.org.sy [Scientific Service Department, Atomic Energy Commission of Syria, P.O. Box: 6091, Damascus (Syrian Arab Republic); Ahmad, M. [IBA Laboratory, Atomic Energy Commission of Syria, P.O. Box: 6091, Damascus (Syrian Arab Republic); Chemistry Department, Atomic Energy Commission of Syria, P.O. Box: 6091, Damascus (Syrian Arab Republic); Al-Hawat, Sh.; Akel, M. [Physics Department, Atomic Energy Commission of Syria, P.O. Box: 6091, Damascus (Syrian Arab Republic)

    2017-04-01

    Structural modifications in form of ripples and cracks are induced by nitrogen ions from plasma focus on silicon surface. The investigation of such structures reveals correlation between ripples and cracks formation in peripheral region of the melt spot. The reason of such correlation and structure formation is explained as result of thermal effect. Melting and resolidification of the center of irradiated area occur within one micro second of time. This is supported by a numerical simulation used to investigate the thermal effect induced by the plasma focus ion beams on the silicon surface. This simulation provides information about the temperature profile as well as the dynamic of the thermal propagation in depth and lateral directions. In accordance with the experimental observations, that ripples are formed in latter stage after the arrival of last ion, the simulation shows that the thermal relaxation takes place in few microseconds after the end of the ion beam arrival. Additionally, the dependency of thermal propagation and relaxation on the distance of the silicon surface from the anode is presented.

  18. THERMAL CONDUCTIVITY OF NON-REPOSITORY LITHOSTRATIGRAPHIC LAYERS

    Energy Technology Data Exchange (ETDEWEB)

    R. JONES

    2004-10-22

    This model report addresses activities described in ''Technical Work Plan for: Near-Field Environment and Transport Thermal Properties and Analysis Reports Integration'' (BSC 2004 [DIRS 171708]). The model develops values for thermal conductivity, and its uncertainty, for the nonrepository layers of Yucca Mountain; in addition, the model provides estimates for matrix porosity and dry bulk density for the nonrepository layers. The studied lithostratigraphic units, as identified in the ''Geologic Framework Model'' (GFM 2000) (BSC 2004 [DIRS 170029]), are the Timber Mountain Group, the Tiva Canyon Tuff, the Yucca Mountain Tuff, the Pah Canyon Tuff, the Topopah Spring Tuff (excluding the repository layers), the Calico Hills Formation, the Prow Pass Tuff, the Bullfrog Tuff, and the Tram Tuff. The deepest model units of the GFM (Tund and Paleozoic) are excluded from this study because no data suitable for model input are available. The parameter estimates developed in this report are used as input to various models and calculations that simulate heat transport through the rock mass. Specifically, analysis model reports that use product output from this report are: (1) Drift-scale coupled processes (DST and TH seepage) models; (2) Drift degradation analysis; (3) Multiscale thermohydrologic model; and (4) Ventilation model and analysis report. In keeping with the methodology of the thermal conductivity model for the repository layers in ''Thermal Conductivity of the Potential Repository Horizon'' (BSC 2004 [DIRS 169854]), the Hsu et al. (1995 [DIRS 158073]) three-dimensional (3-D) cubic model (referred to herein as ''the Hsu model'') was used to represent the matrix thermal conductivity as a function of the four parameters (matrix porosity, thermal conductivity of the saturating fluid, thermal conductivity of the solid, and geometric connectivity of the solid). The Hsu model requires input data

  19. Measurement of mobility profile in ion-implanted silicon layers using electroreflection spectroscopy

    International Nuclear Information System (INIS)

    Galiev, G.B.; Kapaev, V.V.; Mokerov, V.G.

    1986-01-01

    The possibility is shown of the application of the low field linearized electroreflection spectroscopy for the measurement of profiles of carriers mobilities μ(x) simultaneously with the concentration profiles N(x) in thin ion-implanted silicon layers. The μ(χ) value is determined from the calibration curve of the dependence of the phenomenological broadening parameter γ on the mobility for uniformly doped samples. The results are presented for the measurements of the profiles μ(x) for boron- and arsenic-implanted silicon

  20. Interaction of alpha radiation with thermally-induced defects in silicon

    International Nuclear Information System (INIS)

    Ali, Akbar; Majid, Abdul

    2008-01-01

    The interaction of radiation-induced defects created by energetic alpha particles and thermally-induced defects in silicon has been studied using a Deep Level Transient Spectroscopy (DLTS) technique. Two thermally-induced defects at energy positions E c -0.48 eV and E c -0.25 eV and three radiation-induced defects E2, E3 and E5 have been observed. The concentration of both of the thermally-induced defects has been observed to increase on irradiation. It has been noted that production rates of the radiation-induced defects are suppressed in the presence of thermally-induced defects. A significant difference in annealing characteristics of thermally-induced defects in the presence of radiation-induced defects has been observed compared to the characteristics measured in pre-irradiated samples

  1. HOLE-BLOCKING LAYERS FOR SILICON/ORGANIC HETEROJUNCTIONS: A NEW CLASS OF HIGH-EFFICIENCY LOW-COST PV

    Energy Technology Data Exchange (ETDEWEB)

    Sturm, James [Princeton Univ., NJ (United States)

    2017-12-04

    This project is the first investigation of the use of thin titanium dioxide layers on silicon as a hole-blocking / electron-transparent selective contact to silicon. The work was motivated by the goal of a high-efficiency low-cost silicon-based solar cells that could be processed entirely at low temperature (300 Degree Celsius) or less, without requiring plasma-processing.

  2. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  3. A Novel Electro-Thermal Laminated Ceramic with Carbon-Based Layer

    Directory of Open Access Journals (Sweden)

    Yi Ji

    2017-06-01

    Full Text Available A novel electro-thermal laminated ceramic composed of ceramic tile, carbon-based layer, dielectric layer, and foaming ceramic layer was designed and prepared by tape casting. The surface temperature achieved at an applied voltage of 10 V by the laminated ceramics was 40.3 °C when the thickness of carbon-based suspension was 1.0 mm and the adhesive strength between ceramic tile and carbon-based layer was 1.02 ± 0.06 MPa. In addition, the thermal aging results at 100 °C up to 192 h confirmed the high thermal stability and reliability of the electro-thermal laminated ceramics. The development of this laminated ceramic with excellent electro-thermal properties and safety provides a new individual heating device which is highly expected to be widely applied in the field of indoor heat supply.

  4. Thermal system design and modeling of meniscus controlled silicon growth process for solar applications

    Science.gov (United States)

    Wang, Chenlei

    The direct conversion of solar radiation to electricity by photovoltaics has a number of significant advantages as an electricity generator. That is, solar photovoltaic conversion systems tap an inexhaustible resource which is free of charge and available anywhere in the world. Roofing tile photovoltaic generation, for example, saves excess thermal heat and preserves the local heat balance. This means that a considerable reduction of thermal pollution in densely populated city areas can be attained. A semiconductor can only convert photons with the energy of the band gap with good efficiency. It is known that silicon is not at the maximum efficiency but relatively close to it. There are several main parts for the photovoltaic materials, which include, single- and poly-crystalline silicon, ribbon silicon, crystalline thin-film silicon, amorphous silicon, copper indium diselenide and related compounds, cadmium telluride, et al. In this dissertation, we focus on melt growth of the single- and poly-crystalline silicon manufactured by Czochralski (Cz) crystal growth process, and ribbon silicon produced by the edge-defined film-fed growth (EFG) process. These two methods are the most commonly used techniques for growing photovoltaic semiconductors. For each crystal growth process, we introduce the growth mechanism, growth system design, general application, and progress in the numerical simulation. Simulation results are shown for both Czochralski and EFG systems including temperature distribution of the growth system, velocity field inside the silicon melt and electromagnetic field for the EFG growth system. Magnetic field is applied on Cz system to reduce the melt convection inside crucible and this has been simulated in our numerical model. Parametric studies are performed through numerical and analytical models to investigate the relationship between heater power levels and solidification interface movement and shape. An inverse problem control scheme is developed to

  5. Photonic intermediate layer for silicon tandem solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Bielawny, Andreas; Miclea, Paul-Tiberiu; Wehrspohn, Ralf [Martin-Luther Universitaet Halle-Wittenberg (Germany). Inst. fuer Physik, Mikro-MD; Lee, Seuong-Mo; Knez, Mato [Max-Planck-Inst. fuer Mikrostrukturphysik, Halle (Germany); Carius, Reinhard [Forschungszentrum Juelich (DE). Inst. fuer Photovoltaik (IEF-5); Lisca, Marian; Rockstuhl, Carsten; Lederer, Falk [Universitaet Jena (Germany). Dept. Physik

    2008-07-01

    The concept of incorporation of a 3D photonic crystal as diffractive spectral filter within a-Si/mc-Si tandem solar cells has been investigated as a promising application. Our intermediate reflective filter enhances the pathway of spectrally selected light within an amorphous silicon top cell in its spectral region of low absorption. From our previous work, we expect a significant improvement of the tandem's efficiency of about 1.2%(absolute). This increases efficiency for a typical silicon tandem cell from 11.2% to 12.4%, as a result of the optical current-matching of the two junctions. Our wavelength-selective optical element is a 3D-structured optical thin-film - prepared by self-organized artificial opal templates and finalized with atomic layer deposition techniques. The resulting samples are highly periodical thin-film inverted opals made of zinc-oxide. We compare recent experimental data on the optical properties with our simulations and photonic bandstructure calculations.

  6. Thermal neutron detection using a silicon pad detector and {sup 6}LiF removable converters

    Energy Technology Data Exchange (ETDEWEB)

    Barbagallo, Massimo [Istituto Nazionale di Fisica Nucleare, Sezione di Bari (Italy); Cosentino, Luigi; Marchetta, Carmelo; Pappalardo, Alfio; Scire, Carlotta; Scire, Sergio; Schillaci, Maria; Vecchio, Gianfranco; Finocchiaro, Paolo [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali del Sud, Catania (Italy); Forcina, Vittorio; Peerani, Paolo [European Commission, Joint Research Centre, Institute of Transuranium Elements, Ispra (Italy); Vaccaro, Stefano [European Commission, Directorate-General for Energy (Luxembourg)

    2013-03-15

    A semiconductor detector coupled with a neutron converter is a good candidate for neutron detection, especially for its compactness and reliability if compared with other devices, such as {sup 3}He tubes, even though its intrinsic efficiency is rather lower. In this paper we show a neutron detector design consisting of a 3 cm Multiplication-Sign 3 cm silicon pad detector coupled with one or two external {sup 6}LiF layers, enriched in {sup 6}Li at 95%, placed in contact with the Si active surfaces. This prototype, first characterized and tested at INFN Laboratori Nazionali del Sud and then at JRC Ispra, was successfully shown to detect thermal neutrons with the expected efficiency and an outstanding gamma rejection capability.

  7. Laser shock ignition of porous silicon based nano-energetic films

    International Nuclear Information System (INIS)

    Plummer, A.; Gascooke, J.; Shapter, J.; Kuznetsov, V. A.; Voelcker, N. H.

    2014-01-01

    Nanoporous silicon films on a silicon wafer were loaded with sodium perchlorate and initiated using illumination with infrared laser pulses to cause laser thermal ignition and laser-generated shock waves. Using Photon Doppler Velocimetry, it was determined that these waves are weak stress waves with a threshold intensity of 131 MPa in the silicon substrate. Shock generation was achieved through confinement of a plasma, generated upon irradiation of an absorptive paint layer held against the substrate side of the wafer. These stress waves were below the threshold required for sample fracturing. Exploiting either the laser thermal or laser-generated shock mechanisms of ignition may permit use of pSi energetic materials in applications otherwise precluded due to their environmental sensitivity

  8. Laser shock ignition of porous silicon based nano-energetic films

    Energy Technology Data Exchange (ETDEWEB)

    Plummer, A.; Gascooke, J.; Shapter, J. [School of Chemical and Physical Sciences, Flinders University, 5042, Bedford Park (Australia); Centre of Expertise in Energetic Materials (CEEM), Bedford Park (Australia); Kuznetsov, V. A., E-mail: nico.voelcker@unisa.edu.au, E-mail: Valerian.Kuznetsov@dsto.defence.gov.au [School of Chemical and Physical Sciences, Flinders University, 5042, Bedford Park (Australia); Centre of Expertise in Energetic Materials (CEEM), Bedford Park (Australia); Weapons and Combat Systems Division, Defence Science and Technology Organisation, Edinburgh 5111 (Australia); Voelcker, N. H., E-mail: nico.voelcker@unisa.edu.au, E-mail: Valerian.Kuznetsov@dsto.defence.gov.au [Mawson Institute, University of South Australia, 5095, Mawson Lakes (Australia)

    2014-08-07

    Nanoporous silicon films on a silicon wafer were loaded with sodium perchlorate and initiated using illumination with infrared laser pulses to cause laser thermal ignition and laser-generated shock waves. Using Photon Doppler Velocimetry, it was determined that these waves are weak stress waves with a threshold intensity of 131 MPa in the silicon substrate. Shock generation was achieved through confinement of a plasma, generated upon irradiation of an absorptive paint layer held against the substrate side of the wafer. These stress waves were below the threshold required for sample fracturing. Exploiting either the laser thermal or laser-generated shock mechanisms of ignition may permit use of pSi energetic materials in applications otherwise precluded due to their environmental sensitivity.

  9. Modification of inkjet printer for polymer sensitive layer preparation on silicon-based gas sensors

    Directory of Open Access Journals (Sweden)

    Tianjian Li

    2015-04-01

    Full Text Available Inkjet printing is a versatile, low cost deposition technology with the capabilities for the localized deposition of high precision, patterned deposition in a programmable way, and the parallel deposition of a variety of materials. This paper demonstrates a new method of modifying the consumer inkjet printer to prepare polymer-sensitive layers on silicon wafer for gas sensor applications. A special printing tray for the modified inkjet printer to support a 4-inch silicon wafer is designed. The positioning accuracy of the deposition system is tested, based on the newly modified printer. The experimental data show that the positioning errors in the horizontal direction are negligibly small, while the positioning errors in the vertical direction rise with the increase of the printing distance of the wafer. The method for making suitable ink to be deposited to form the polymer-sensitive layer is also discussed. In the testing, a solution of 0.1 wt% polyvinyl alcohol (PVA was used as ink to prepare a sensitive layer with certain dimensions at a specific location on the surface of the silicon wafer, and the results prove the feasibility of the methods presented in this article.

  10. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  11. Simulation of the diffusion of implanted impurities in silicon structures at the rapid thermal annealing

    International Nuclear Information System (INIS)

    Komarov, F.F.; Komarov, A.F.; Mironov, A.M.; Makarevich, Yu.V.; Miskevich, S.A.; Zayats, G.M.

    2011-01-01

    Physical and mathematical models and numerical simulation of the diffusion of implanted impurities during rapid thermal treatment of silicon structures are discussed. The calculation results correspond to the experimental results with a sufficient accuracy. A simulation software system has been developed that is integrated into ATHENA simulation system developed by Silvaco Inc. This program can simulate processes of the low-energy implantation of B, BF 2 , P, As, Sb, C ions into the silicon structures and subsequent rapid thermal annealing. (authors)

  12. Development of laser-fired contacts for amorphous silicon layers obtained by Hot-Wire CVD

    International Nuclear Information System (INIS)

    Munoz, D.; Voz, C.; Blanque, S.; Ibarz, D.; Bertomeu, J.; Alcubilla, R.

    2009-01-01

    In this work we study aluminium laser-fired contacts for intrinsic amorphous silicon layers deposited by Hot-Wire CVD. This structure could be used as an alternative low temperature back contact for rear passivated heterojunction solar cells. An infrared Nd:YAG laser (1064 nm) has been used to locally fire the aluminium through the thin amorphous silicon layers. Under optimized laser firing parameters, very low specific contact resistances (ρ c ∼ 10 mΩ cm 2 ) have been obtained on 2.8 Ω cm p-type c-Si wafers. This investigation focuses on maintaining the passivation quality of the interface without an excessive increase in the series resistance of the device.

  13. Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications

    International Nuclear Information System (INIS)

    Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.

    2001-01-01

    The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)

  14. Amorphous Silicon-Germanium Films with Embedded Nanocrystals for Thermal Detectors with Very High Sensitivity

    Directory of Open Access Journals (Sweden)

    Cesar Calleja

    2016-01-01

    Full Text Available We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nanocrystals in a plasma enhanced chemical vapor deposition (PECVD reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR, which is a signature of the sensitivity in thermal detectors (microbolometers. Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9% K−1. Our results show that amorphous silicon-germanium films with embedded nanocrystals can be used as thermosensitive films in high performance infrared focal plane arrays (IRFPAs used in commercial thermal cameras.

  15. Synergistic effect and mechanism of platinum catalyst and nitrogen-containing silane on the thermal stability of silicone rubber

    International Nuclear Information System (INIS)

    Chen, Wanjuan; Zeng, Xingrong; Lai, Xuejun; Li, Hongqiang; Fang, Weizhen; Liu, Tian

    2016-01-01

    Highlights: • Platinum (Pt) and nitrogen-containing silane (NS) were introduced into silicone rubber. • The thermal stability was improved by Pt/NS both under nitrogen and air atmosphere. • The TG-FTIR of evolved gases during degradation was performed. • The synergistic effect and mechanism of Pt and NS were proposed. - Abstract: Platinum (Pt) catalyst and nitrogen-containing silane (NS) were introduced to improve the thermal stability of silicone rubber. The effects of Pt and NS on thermal stability and degradation mechanism of silicone rubber were investigated by thermogravimetry (TG), thermogravimetry-Fourier transform infrared spectrometry (TG-FTIR), scanning electron microscope-energy dispersive X-ray spectroscopy (SEM-EDXS) and Fourier transform infrared spectrometry (FTIR). A significant synergism was found between Pt and NS for improving the thermal stability of silicone rubber. When 6.67 ppm of Pt and 1.4 phr of NS were introduced, the temperature of 10% and 20% weight loss under nitrogen atmosphere were respectively increased by 36 °C and 119 °C. Meanwhile, the residue weight at 900 °C was doubled to 68% in the presence of Pt/NS. The synergistic mechanism might be that the nitrogen atom coordinated with Pt and improved the catalytic efficiency of Pt. Additionally, NS preserved the catalytic activity of Pt under air atmosphere. Hence, Pt/NS efficiently catalyzed thermal crosslinking and suppressed degradation of silicone chains. Moreover, it revealed that the presence of Pt/NS protected silicone chains from oxidation. Thus, the unzipping depolymerization by silanol groups was reduced significantly.

  16. Synergistic effect and mechanism of platinum catalyst and nitrogen-containing silane on the thermal stability of silicone rubber

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Wanjuan; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Lai, Xuejun; Li, Hongqiang; Fang, Weizhen; Liu, Tian

    2016-05-20

    Highlights: • Platinum (Pt) and nitrogen-containing silane (NS) were introduced into silicone rubber. • The thermal stability was improved by Pt/NS both under nitrogen and air atmosphere. • The TG-FTIR of evolved gases during degradation was performed. • The synergistic effect and mechanism of Pt and NS were proposed. - Abstract: Platinum (Pt) catalyst and nitrogen-containing silane (NS) were introduced to improve the thermal stability of silicone rubber. The effects of Pt and NS on thermal stability and degradation mechanism of silicone rubber were investigated by thermogravimetry (TG), thermogravimetry-Fourier transform infrared spectrometry (TG-FTIR), scanning electron microscope-energy dispersive X-ray spectroscopy (SEM-EDXS) and Fourier transform infrared spectrometry (FTIR). A significant synergism was found between Pt and NS for improving the thermal stability of silicone rubber. When 6.67 ppm of Pt and 1.4 phr of NS were introduced, the temperature of 10% and 20% weight loss under nitrogen atmosphere were respectively increased by 36 °C and 119 °C. Meanwhile, the residue weight at 900 °C was doubled to 68% in the presence of Pt/NS. The synergistic mechanism might be that the nitrogen atom coordinated with Pt and improved the catalytic efficiency of Pt. Additionally, NS preserved the catalytic activity of Pt under air atmosphere. Hence, Pt/NS efficiently catalyzed thermal crosslinking and suppressed degradation of silicone chains. Moreover, it revealed that the presence of Pt/NS protected silicone chains from oxidation. Thus, the unzipping depolymerization by silanol groups was reduced significantly.

  17. Anisotropic Thermal Behavior of Silicone Polymer, DC 745

    Energy Technology Data Exchange (ETDEWEB)

    Adams, Jillian Cathleen [Univ. of Oregon, Eugene, OR (United States). Dept. of Chemistry; Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Torres, Joseph Angelo [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Volz, Heather Michelle [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Gallegos, Jennifer Marie [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Yang, Dali [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2016-09-02

    In material applications, it is important to understand how polymeric materials behave in the various environments they may encounter. One factor governing polymer behavior is processing history. Differences in fabrication will result in parts with varied or even unintended properties. In this work, the thermal expansion behavior of silicone DC 745 is studied. Thermomechanical analysis (TMA) is used to determine changes in sample dimension resulting from changes in temperature. This technique can measure thermal events such as the linear coefficient of thermal expansion (CTE), melting, glass transitions, cure shrinkage, and internal relaxations. Using a thermomechanical analyzer (Q400 TMA), it is determined that DC 745 expands anisotropically when heated. This means that the material has a different CTE depending upon which direction is being measured. In this study, TMA experiments were designed in order to confirm anisotropic thermal behavior in multiple DC 745 samples of various ages and lots. TMA parameters such as temperature ramp rate, preload force, and temperature range were optimized in order to ensure the most accurate and useful data. A better understanding of the thermal expansion of DC 745 will allow for more accurate modeling of systems using this material.

  18. Analysis of signals propagating in a phononic crystal PZT layer deposited on a silicon substrate.

    Science.gov (United States)

    Hladky-Hennion, Anne-Christine; Vasseur, Jérôme; Dubus, Bertrand; Morvan, Bruno; Wilkie-Chancellier, Nicolas; Martinez, Loïc

    2013-12-01

    The design of a stop-band filter constituted by a periodically patterned lead zirconate titanate (PZT) layer, polarized along its thickness, deposited on a silicon substrate and sandwiched between interdigitated electrodes for emission/reception of guided elastic waves, is investigated. The filter characteristics are theoretically evaluated by using finite element simulations: dispersion curves of a patterned PZT layer with a specific pattern geometry deposited on a silicon substrate present an absolute stop band. The whole structure is modeled with realistic conditions, including appropriate interdigitated electrodes to propagate a guided mode in the piezoelectric layer. A robust method for signal analysis based on the Gabor transform is applied to treat transmitted signals; extract attenuation, group delays, and wave number variations versus frequency; and identify stop-band filter characteristics.

  19. Plated copper front side metallization on printed seed-layers for silicon solar cells

    OpenAIRE

    Kraft, Achim

    2015-01-01

    A novel copper front side metallization architecture for silicon solar cells based on a fine printed silver seed-layer, plated with nickel, copper and silver, is investigated. The work focuses on the printing of fine seed-layers with low silver consumption, the corrosion of the printed seed-layers by the interaction with electrolyte solutions and the encapsulation material on module level and on the long term stability of the cells due to copper migration. The investigation of the correlation...

  20. Effect of gamma irradiation on the photoluminescence of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Elistratova, M. A., E-mail: Marina.Elistratova@mail.ioffe.ru; Romanov, N. M. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Goryachev, D. N. [Russian Academy of Sciences, Ioffe Institute (Russian Federation); Zakharova, I. B. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Sreseli, O. M. [Russian Academy of Sciences, Ioffe Institute (Russian Federation)

    2017-04-15

    The effect of gamma irradiation on the luminescence properties of porous silicon produced by the electrochemical technique is studied. Changes in the photoluminescence intensity between irradiation doses and over a period of several days after the last irradiation are recorded. The quenching of photoluminescence at low irradiation doses and recovery after further irradiation are registered. It is found that porous silicon is strongly oxidized after gamma irradiation and the oxidation process continues for several days after irradiation. It is conceived that the change in the photoluminescence spectra and intensity of porous silicon after gamma irradiation is caused by a change in the passivation type of the porous surface: instead of hydrogen passivation, more stable oxygen passivation is observed. To stabilize the photoluminescence spectra of porous silicon, the use of fullerenes is proposed. No considerable changes in the photoluminescence spectra during irradiation and up to 18 days after irradiation are detected in a porous silicon sample with a thermally deposited fullerene layer. It is shown that porous silicon samples with a deposited C{sub 60} layer are stable to gamma irradiation and oxidation.

  1. Stronger multilayer acrylic dielectric elastomer actuators with silicone gel coatings

    Science.gov (United States)

    Lau, Gih-Keong; La, Thanh-Giang; Sheng-Wei Foong, Ervin; Shrestha, Milan

    2016-12-01

    Multilayer dielectric elastomer actuators (DEA) perform worst off than single-layer DEAs due to higher susceptibility to electro-thermal breakdown. This paper presents a hot-spot model to predict the electro-thermal breakdown field of DEAs and its dependence on thermal insulation. To inhibit the electrothermal breakdown, silicone gel coating was applied as barrier coating to multilayer acrylic DEA. The gel coating helps suppress the electro-thermally induced puncturing of DEA membrane at the hot spot. As a result, the gel-coated DEAs, in either a single layer or a multilayer stack, can produce 30% more isometric stress change as compared to those none-coated. These gel-coated acrylic DEAs show great potential to make stronger artificial muscles.

  2. High thermal conductivity lossy dielectric using a multi layer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-01-01

    Systems and methods are described for loss dielectrics. A loss dielectric includes at least one high dielectric loss layer and at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. A method of manufacturing a loss dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. The systems and methods provide advantages because the loss dielectrics are less costly and more environmentally friendly than the available alternatives.

  3. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  4. Reflectance analysis of porosity gradient in nanostructured silicon layers

    Science.gov (United States)

    Jurečka, Stanislav; Imamura, Kentaro; Matsumoto, Taketoshi; Kobayashi, Hikaru

    2017-12-01

    In this work we study optical properties of nanostructured layers formed on silicon surface. Nanostructured layers on Si are formed in order to reach high suppression of the light reflectance. Low spectral reflectance is important for improvement of the conversion efficiency of solar cells and for other optoelectronic applications. Effective method of forming nanostructured layers with ultralow reflectance in a broad interval of wavelengths is in our approach based on metal assisted etching of Si. Si surface immersed in HF and H2O2 solution is etched in contact with the Pt mesh roller and the structure of the mesh is transferred on the etched surface. During this etching procedure the layer density evolves gradually and the spectral reflectance decreases exponentially with the depth in porous layer. We analyzed properties of the layer porosity by incorporating the porosity gradient into construction of the layer spectral reflectance theoretical model. Analyzed layer is splitted into 20 sublayers in our approach. Complex dielectric function in each sublayer is computed by using Bruggeman effective media theory and the theoretical spectral reflectance of modelled multilayer system is computed by using Abeles matrix formalism. Porosity gradient is extracted from the theoretical reflectance model optimized in comparison to the experimental values. Resulting values of the structure porosity development provide important information for optimization of the technological treatment operations.

  5. Effect of oxygen on the processes of ion beam synthesis of buried SiC layers in silicon

    International Nuclear Information System (INIS)

    Artamonov, V.V.; Valakh, M.Ya.; Klyuj, N.I.; Mel'nik, V.P.; Romanyuk, A.B.; Romanyuk, B.N.; Yukhimchuk, V.A.

    1998-01-01

    The properties of Si-structures with buried silicon carbide (SiC) layers created by high dose carbon implantation into Cz-Si or Fz-Si wafers followed by high-temperature annealing were studied by Raman and infrared spectroscopy. Effect of additional oxygen implantation on the peculiarities of SiC layer formation was also studied. It was shown that under the same implantation and post-implantation annealing conditions the buried SiC layers are more effectively formed in Cz-Si or in Si subjected to additional oxygen implantation. Thus, oxygen in silicon promotes the SiC layer formation due to SiO x precipitate creation and accommodation of the crystal volume in the region where SiC phase is formed

  6. Amorphous silicon pixel layers with cesium iodide converters for medical radiography

    International Nuclear Information System (INIS)

    Jing, T.; Cho, G.; Goodman, C.A.

    1993-11-01

    We describe the properties of evaporated layers of Cesium Iodide (Thallium activated) deposited on substrates that enable easy coupling to amorphous silicon pixel arrays. The CsI(Tl) layers range in thickness from 65 to 220μm. We used the two-boat evaporator system to deposit CsI(Tl) layers. This system ensures the formation of the scintillator film with homogenous thallium concentration which is essential for optimizing the scintillation light emission efficiency. The Tl concentration was kept to 0.1--0.2 mole percent for the highest light output. Temperature annealing can affect the microstructure as well as light output of the CsI(Tl) film. 200--300C temperature annealing can increase the light output by a factor of two. The amorphous silicon pixel arrays are p-i-n diodes approximately lμm thick with transparent electrodes to enable them to detect the scintillation light produced by X-rays incident on the CsI(Tl). Digital radiography requires a good spatial resolution. This is accomplished by making the detector pixel size less then 50μm. The light emission from the CsI(Tl) is collimated by techniques involving the deposition process on pattered substrates. We have measured MTF of greater than 12 line pairs per mm at the 10% level

  7. Ion-implantation and analysis for doped silicon slot waveguides

    Directory of Open Access Journals (Sweden)

    McCallum J. C.

    2012-10-01

    Full Text Available We have utilised ion implantation to fabricate silicon nanocrystal sensitised erbium-doped slot waveguide structures in a Si/SiO2/Si layered configuration and photoluminescence (PL and Rutherford backscattering spectrometry (RBS to analyse these structures. Slot waveguide structures in which light is confined to a nanometre-scale low-index region between two high-index regions potentially offer significant advantages for realisation of electrically-pumped Si devices with optical gain and possibly quantum optical devices. We are currently investigating an alternative pathway in which high quality thermal oxides are grown on silicon and ion implantation is used to introduce the Er and Si-ncs into the SiO2 layer. This approach provides considerable control over the Er and Si-nc concentrations and depth profiles which is important for exploring the available parameter space and developing optimised structures. RBS is well-suited to compositional analysis of these layered structures. To improve the depth sensitivity we have used a 1 MeV α beam and results indicate that a layered silicon-Er:SiO2/silicon structure has been fabricated as desired. In this paper structural results will be compared to Er photoluminescence profiles for samples processed under a range of conditions.

  8. Interface charge trapping induced flatband voltage shift during plasma-enhanced atomic layer deposition in through silicon via

    Science.gov (United States)

    Li, Yunlong; Suhard, Samuel; Van Huylenbroeck, Stefaan; Meersschaut, Johan; Van Besien, Els; Stucchi, Michele; Croes, Kristof; Beyer, Gerald; Beyne, Eric

    2017-12-01

    A Through Silicon Via (TSV) is a key component for 3D integrated circuit stacking technology, and the diameter of a TSV keeps scaling down to reduce the footprint in silicon. The TSV aspect ratio, defined as the TSV depth/diameter, tends to increase consequently. Starting from the aspect ratio of 10, to improve the TSV sidewall coverage and reduce the process thermal budget, the TSV dielectric liner deposition process has evolved from sub-atmospheric chemical vapour deposition to plasma-enhanced atomic layer deposition (PE-ALD). However, with this change, a strong negative shift in the flatband voltage is observed in the capacitance-voltage characteristic of the vertical metal-oxide-semiconductor (MOS) parasitic capacitor formed between the TSV copper metal and the p-Si substrate. And, no shift is present in planar MOS capacitors manufactured with the same PE-ALD oxide. By comparing the integration process of these two MOS capacitor structures, and by using Elastic Recoil Detection to study the elemental composition of our films, it is found that the origin of the negative flatband voltage shift is the positive charge trapping at the Si/SiO2 interface, due to the positive PE-ALD reactants confined to the narrow cavity of high aspect ratio TSVs. This interface charge trapping effect can be effectively mitigated by high temperature annealing. However, this is limited in the real process due to the high thermal budget. Further investigation on liner oxide process optimization is needed.

  9. Two-laser thermal-lens determination of phosphorus in silicon

    International Nuclear Information System (INIS)

    Grishko, V.I.; Gol'dshtein, M.M.; Grishko, V.P.; Yudelevich, I.G.

    1986-01-01

    Laser thermal-lens spectrophotometry is a high-sensitivity method of measuring absorptivity, where the signal is the relative intensity change at the beam center after passage through the medium, which absorbs at the laser wavelength. The two-lens method is discussed here which employs a high-power laser to induce the lens, while the absorptivity is measured from the intensity change in the beam from a continous wave low-power test laser at a wavelength different from that for the inducing one. This paper uses two-laser thermal-lens techniques to determine phosphorus in silicon. Phosphorus is determined as the ionic association of molybdophosphoric acid with auramine

  10. Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers

    International Nuclear Information System (INIS)

    Hoechbauer, T.; Misra, A.; Nastasi, M.; Henttinen, K.; Suni, T.; Suni, I.; Lau, S.S.; Ensinger, W.

    2004-01-01

    Hydrogen ion-implantation into Si and subsequent heat treatment has been shown to be an effective means of cleaving thin layer of Si from its parent wafer. This process has been called Smart Cut TM or ion-cut. We investigated the cleavage process in H-implanted silicon samples, in which the ion-cut was provoked thermally and mechanically, respectively. A oriented p-type silicon wafer was irradiated at room temperature with 100 keV H 2 + -ions to a dose of 5 x 10 16 H 2 /cm 2 and subsequently joined to a handle wafer. Ion-cutting was achieved by two different methods: (1) thermally by annealing to 350 deg. C and (2) mechanically by insertion of a razor blade sidewise into the bonded wafers near the bond interface. The H-concentration and the crystal damage depth profiles before and after the ion-cut were investigated through the combined use of elastic recoil detection analysis and Rutherford backscattering spectroscopy (RBS). The location at which the ion-cut occurred was determined by RBS in channeling mode and cross-section transmission electron spectroscopy. The ion-cut depth was found to be independent on the cutting method. The gained knowledge was correlated to the depth distribution of the H-platelet density in the as-implanted sample, which contains two separate peaks in the implantation zone. The obtained results suggest that the ion-cut location coincides with the depth of the H-platelet density peak located at a larger depth

  11. Monolithically interconnected Silicon-Film{trademark} module technology: Annual technical report, 25 November 1997--24 November 1998

    Energy Technology Data Exchange (ETDEWEB)

    Hall, R.B.; Ford, D.H.; Rand, J.A.; Ingram, A.E.

    1999-11-11

    AstroPower continued its development of an advanced thin-silicon-based photovoltaic module product. This module combines the performance advantages of thin, light-trapped silicon layers with the capability of integration into a low-cost, monolithically interconnected array. This report summarizes the work carried out over the first year of a three-year, cost-shared contract, which has yielded the following results: Development of a low-cost, insulating, ceramic substrate that provides mechanical support at silicon growth temperatures, is matched to the thermal expansion of silicon, provides the optical properties required for light trapping through random texturing, and can be formed in large areas on a continuous basis. Different deposition techniques have been investigated, and AstroPower has developed deposition processes for the back conductive layer, the p-type silicon layer, and the mechanical/chemical barrier layer. Polycrystalline films of silicon have been grown on ceramics using AstroPower's Silicon-Film{trademark} process. These films are from 50 to 75 {micro}m thick, with columnar grains extending through the thickness of the film. Aspect ratios from 5:1 to 20:1 have been observed in these films.

  12. High-{Tc} superconducting antenna-coupled microbolometer on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Rice, J.P.; Grossman, E.N.; Borcherdt, L.J.; Rudman, D.A. [National Inst. of Standards and Technology, Boulder, CO (United States). Cryoelectronic Metrology Group

    1994-12-31

    A process is described for fabricating antenna-coupled resistive-edge microbolometers based on the high-{Tc} superconductor YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) on silicon. The YBCO and a buffer layer of yttria-stabilized zirconia (YSZ) were grown epitaxially on silicon to minimize excess electrical noise. A silicon-micromachined YBCO/YSZ air-bridge was incorporated to minimize the thermal conductance and the heat capacity. The thermal conductance of the air-bridge was measured to be 3 {times} 10{sup {minus}6} W/K at a temperature of 100 K. At an operating temperature of 89 K, the detector is estimated to have a response time of 2 {micro}s, a responsivity in the 1,000 V/W range, and a noise-equivalent power (NEP) in the 10{sup {minus}12} W/Hz{sup 1/2} range at 1,000 Hz.

  13. Direct numerical simulation of stable and unstable turbulent thermal boundary layers

    International Nuclear Information System (INIS)

    Hattori, Hirofumi; Houra, Tomoya; Nagano, Yasutaka

    2007-01-01

    This paper presents direct numerical simulations (DNS) of stable and unstable turbulent thermal boundary layers. Since a buoyancy-affected boundary layer is often encountered in an urban environmental space where stable and unstable stratifications exist, exploring a buoyancy-affected boundary layer is very important to know the transport phenomena of the flow in an urban space. Although actual observation may qualitatively provide the characteristics of these flows, the relevant quantitative turbulent quantities are very difficult to measure. Thus, in order to quantitatively investigate a buoyancy-affected boundary layer in detail, we have here carried out for the first time time- and space-developing DNS of slightly stable and unstable turbulent thermal boundary layers. The DNS results show the quantitative turbulent statistics and structures of stable and unstable thermal boundary layers, in which the characteristic transport phenomena of thermally stratified boundary layers are demonstrated by indicating the budgets of turbulent shear stress and turbulent heat flux. Even though the input of buoyant force is not large, the influence of buoyancy is clearly revealed in both stable and unstable turbulent boundary layers. In particular, it is found that both stable and unstable thermal stratifications caused by the weak buoyant force remarkably alter the structure of near-wall turbulence

  14. Photoluminescence and electrical properties of silicon oxide and silicon nitride superlattices containing silicon nanocrystals

    International Nuclear Information System (INIS)

    Shuleiko, D V; Ilin, A S

    2016-01-01

    Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)

  15. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  16. Implanted Silicon Resistor Layers for Efficient Terahertz Absorption

    Science.gov (United States)

    Chervenak, J. A.; Abrahams, J.; Allen, C. A.; Benford, D. J.; Henry, R.; Stevenson, T.; Wollack, E.; Moseley, S. H.

    2005-01-01

    Broadband absorption structures are an essential component of large format bolometer arrays for imaging GHz and THz radiation. We have measured electrical and optical properties of implanted silicon resistor layers designed to be suitable for these absorbers. Implanted resistors offer a low-film-stress, buried absorber that is robust to longterm aging, temperature, and subsequent metals processing. Such an absorber layer is readily integrated with superconducting integrated circuits and standard micromachining as demonstrated by the SCUBA II array built by ROE/NIST (1). We present a complete characterization of these layers, demonstrating frequency regimes in which different recipes will be suitable for absorbers. Single layer thin film coatings have been demonstrated as effective absorbers at certain wavelengths including semimetal (2,3), thin metal (4), and patterned metal films (5,6). Astronomical instrument examples include the SHARC II instrument is imaging the submillimeter band using passivated Bi semimetal films and the HAWC instrument for SOFIA, which employs ultrathin metal films to span 1-3 THz. Patterned metal films on spiderweb bolometers have also been proposed for broadband detection. In each case, the absorber structure matches the impedance of free space for optimal absorption in the detector configuration (typically 157 Ohms per square for high absorption with a single or 377 Ohms per square in a resonant cavity or quarter wave backshort). Resonant structures with -20% bandwidth coupled to bolometers are also under development; stacks of such structures may take advantage of instruments imaging over a wide band. Each technique may enable effective absorbers in imagers. However, thin films tend to age, degrade or change during further processing, can be difficult to reproduce, and often exhibit an intrinsic granularity that creates complicated frequency dependence at THz frequencies. Thick metal films are more robust but the requirement for

  17. Porous silicon damage enhanced phosphorus and aluminium gettering of p-type Czochralski silicon

    International Nuclear Information System (INIS)

    Hassen, M.; Ben Jaballah, A.; Hajji, M.; Rahmouni, H.; Selmi, A.; Ezzaouia, H.

    2005-01-01

    In this work, porous silicon damage (PSD) is presented as a simple sequence for efficient external purification techniques. The method consists of using thin nanoporous p-type silicon on both sides of the silicon substrates with randomly hemispherical voids. Then, two main sample types are processed. In the first type, thin aluminium layers (≥1 μm) are thermally evaporated followed by photo-thermal annealing treatments in N 2 atmosphere at one of several temperatures ranging between 600 and 800 deg. C. In the second type, phosphorus is continually diffused in N 2 /O 2 ambient in a solid phase from POCl 3 solution during heating at one of several temperatures ranging between 750 and 1000 deg. C for 1 h. Hall Effect and Van Der Pauw methods prove the existence of an optimum temperature in the case of phosphorus gettering at 900 deg. C yielding a Hall mobility of about 982 cm 2 V -1 s -1 . However, in the case of aluminium gettering, there is no gettering limit in the as mentioned temperature range. Metal/Si Schottky diodes are elaborated to clarify these improvements. In this study, we demonstrate that enhanced metal solubility model cannot explain the gettering effect. The solid solubility of aluminium is higher than that of P atoms in silicon; however, the device yield confirms the effectiveness of phosphorus as compared to aluminium

  18. Thermally-insulating layer for nuclear reactors

    International Nuclear Information System (INIS)

    1975-01-01

    The thermally-insulating layer has been designed both for insulating surfaces within the core of a nuclear reactor and transmitting loads such as the core-weight. Said layer comprises a layer of bricks and a layer of tiles with smaller clearance between the tiles than between the bricks, the latter having a reduced cross-section against the tiles so as to be surrounded by relatively large interconnected ducts forming a continuous chamber behind the tile-layer in order to induce a substantial decreases in the transverse flow of the reactor-core coolant. The core preferably comprises hexagonal columns supported by rhomb-shaped plates, with channels distributed so as to mix the coolant of twelve columns. The plates are separated from support-tiles by means of pillars [fr

  19. Low-Power Silicon-based Thermal Sensors and Actuators for Chemical Applications

    NARCIS (Netherlands)

    Vereshchagina, E.

    2011-01-01

    In the Hot Silicon project low and ultra-low-power Si-based hot surface devices have been developed, i.e. thermal sensors and actuators, for application in catalytic gas micro sensors, micro- and nano- calorimeters. This work include several scientific and technological aspects: • Design and

  20. Single-layer graphene on silicon nitride micromembrane resonators

    Energy Technology Data Exchange (ETDEWEB)

    Schmid, Silvan; Guillermo Villanueva, Luis; Amato, Bartolo; Boisen, Anja [Department of Micro- and Nanotechnology, Technical University of Denmark, DTU Nanotech, Building 345 East, 2800 Kongens Lyngby (Denmark); Bagci, Tolga; Zeuthen, Emil; Sørensen, Anders S.; Usami, Koji; Polzik, Eugene S. [QUANTOP, Niels Bohr Institute, University of Copenhagen, 2100 Copenhagen (Denmark); Taylor, Jacob M. [Joint Quantum Institute/NIST, College Park, Maryland 20899 (United States); Herring, Patrick K.; Cassidy, Maja C. [School of Engineering and Applied Science, Harvard University, Cambridge, Massachusetts 02138 (United States); Marcus, Charles M. [Center for Quantum Devices, Niels Bohr Institute, University of Copenhagen, 2100 Copenhagen (Denmark); Cheol Shin, Yong; Kong, Jing [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States)

    2014-02-07

    Due to their low mass, high quality factor, and good optical properties, silicon nitride (SiN) micromembrane resonators are widely used in force and mass sensing applications, particularly in optomechanics. The metallization of such membranes would enable an electronic integration with the prospect for exciting new devices, such as optoelectromechanical transducers. Here, we add a single-layer graphene on SiN micromembranes and compare electromechanical coupling and mechanical properties to bare dielectric membranes and to membranes metallized with an aluminium layer. The electrostatic coupling of graphene covered membranes is found to be equal to a perfectly conductive membrane, without significantly adding mass, decreasing the superior mechanical quality factor or affecting the optical properties of pure SiN micromembranes. The concept of graphene-SiN resonators allows a broad range of new experiments both in applied physics and fundamental basic research, e.g., for the mechanical, electrical, or optical characterization of graphene.

  1. Basal-plane thermal conductivity of few-layer molybdenum disulfide

    International Nuclear Information System (INIS)

    Jo, Insun; Ou, Eric; Shi, Li; Pettes, Michael Thompson; Wu, Wei

    2014-01-01

    We report the in-plane thermal conductivity of suspended exfoliated few-layer molybdenum disulfide (MoS 2 ) samples that were measured by suspended micro-devices with integrated resistance thermometers. The obtained room-temperature thermal conductivity values are (44–50) and (48–52) W m −1 K −1 for two samples that are 4 and 7 layers thick, respectively. For both samples, the peak thermal conductivity occurs at a temperature close to 120 K, above which the thermal conductivity is dominated by intrinsic phonon-phonon scattering although phonon scattering by surface disorders can still play an important role in these samples especially at low temperatures

  2. Amorphous Silicon-Germanium Films with Embedded Nano crystals for Thermal Detectors with Very High Sensitivity

    International Nuclear Information System (INIS)

    Calleja, C.; Torres, A.; Rosales-Quintero, P.; Moreno, M.

    2016-01-01

    We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nano crystals in a plasma enhanced chemical vapor deposition (PECVD) reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR), which is a signature of the sensitivity in thermal detectors (micro bolometers). Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9%K -1 ). Our results show that amorphous silicon-germanium films with embedded nano crystals can be used as thermo sensitive films in high performance infrared focal plane arrays (IRFPAs) used in commercial thermal cameras.

  3. Meniscus-force-mediated layer transfer technique using single-crystalline silicon films with midair cavity: Application to fabrication of CMOS transistors on plastic substrates

    Science.gov (United States)

    Sakaike, Kohei; Akazawa, Muneki; Nakagawa, Akitoshi; Higashi, Seiichiro

    2015-04-01

    A novel low-temperature technique for transferring a silicon-on-insulator (SOI) layer with a midair cavity (supported by narrow SiO2 columns) by meniscus force has been proposed, and a single-crystalline Si (c-Si) film with a midair cavity formed in dog-bone shape was successfully transferred to a poly(ethylene terephthalate) (PET) substrate at its heatproof temperature or lower. By applying this proposed transfer technique, high-performance c-Si-based complementary metal-oxide-semiconductor (CMOS) transistors were successfully fabricated on the PET substrate. The key processes are the thermal oxidation and subsequent hydrogen annealing of the SOI layer on the midair cavity. These processes ensure a good MOS interface, and the SiO2 layer works as a “blocking” layer that blocks contamination from PET. The fabricated n- and p-channel c-Si thin-film transistors (TFTs) on the PET substrate showed field-effect mobilities of 568 and 103 cm2 V-1 s-1, respectively.

  4. The use of large area silicon sensors for thermal neutron detection

    International Nuclear Information System (INIS)

    Schulte, R.L.; Swanson, F.; Kesselman, M.

    1994-01-01

    The use of large area planar silicon detectors coupled with gadolinium foils has been investigated to develop a thermal neutron detector having a large area-efficiency (Aε) product. Noise levels due to high detector capacitance limit the size of silicon detectors that can be utilized. Calculations using the Monte Carlo code, MCNP, have been made to determine the variation of intrinsic detection efficiency as a function of the discriminator threshold level required to eliminate the detector noise. Measurements of the noise levels for planar silicon detectors of various resistivities (400, 3000 and 5000 Ω cm) have been made and the optimal detector area-efficiency products have been determined. The response of a Si-Gd-Si sandwich detector with areas between 1 cm 2 and 10.5 cm 2 is presented and the effects of the detector capacitance and reverse current are discussed. ((orig.))

  5. Fast surface modification by microwave assisted click reactions on silicon substrates

    NARCIS (Netherlands)

    Haensch, C.; Erdmenger, T.; Fijten, M.W.M.; Höppener, S.; Schubert, U.S.

    2009-01-01

    Microwave irradiation has been used for the chemical modification of functional monolayers on silicon surfaces. The thermal and chemical stability of these layers was tested under microwave irradiation to investigate the possibility to use this alternative heating process for the surface

  6. Silicon-based metallic micro grid for electron field emission

    International Nuclear Information System (INIS)

    Kim, Jaehong; Jeon, Seok-Gy; Kim, Jung-Il; Kim, Geun-Ju; Heo, Duchang; Shin, Dong Hoon; Sun, Yuning; Lee, Cheol Jin

    2012-01-01

    A micro-scale metal grid based on a silicon frame for application to electron field emission devices is introduced and experimentally demonstrated. A silicon lattice containing aperture holes with an area of 80 × 80 µm 2 and a thickness of 10 µm is precisely manufactured by dry etching the silicon on one side of a double-polished silicon wafer and by wet etching the opposite side. Because a silicon lattice is more rigid than a pure metal lattice, a thin layer of Au/Ti deposited on the silicon lattice for voltage application can be more resistant to the geometric stress caused by the applied electric field. The micro-fabrication process, the images of the fabricated grid with 88% geometric transparency and the surface profile measurement after thermal feasibility testing up to 700 °C are presented. (paper)

  7. A Novel Silicon Micromachined Integrated MCM Thermal Management System

    Science.gov (United States)

    Kazmierczak, M. J.; Henderson, H. T.; Gerner, F. M.

    1997-01-01

    "Micromachining" is a chemical means of etching three-dimensional structures, typically in single- crystalline silicon. These techniques are leading toward what is coming to be referred to as MEMS (Micro Electro Mechanical Systems), where in addition to the ordinary two-dimensional (planar) microelectronics, it is possible to build three-dimensional n-ticromotors, electrically- actuated raicrovalves, hydraulic systems and much more on the same microchip. These techniques become possible because of differential etching rates of various crystallographic planes and materials used for semiconductor n-ticrofabfication. The University of Cincinnati group in collaboration with Karl Baker at NASA Lewis were the first to form micro heat pipes in silicon by the above techniques. Current work now in progress using MEMS technology is now directed towards the development of the next generation in MCM (Multi Chip Module) packaging. Here we propose to develop a complete electronic thermal management system which will allow densifica6on in chip stacking by perhaps two orders of magnitude. Furthermore the proposed technique will allow ordinary conu-nercial integrated chips to be utilized. Basically, the new technique involves etching square holes into a silicon substrate and then inserting and bonding commercially available integrated chips into these holes. For example, over a 100 1/4 in. by 1 /4 in. integrated chips can be placed on a 4 in. by 4 in. silicon substrate to form a Multi-Chip Module (MCM). Placing these MCM's in-line within an integrated rack then allows for three-diniensional stacking. Increased miniaturization of microelectronic circuits will lead to very high local heat fluxes. A high performance thermal management system will be specifically designed to remove the generated energy. More specifically, a compact heat exchanger with milli / microchannels will be developed and tested to remove the heat through the back side of this MCM assembly for moderate and high

  8. Beam test of a dual layer silicon charge detector (SCD) for the CREAM experiment

    International Nuclear Information System (INIS)

    Park, N.H.; Ahn, H.S.; Ganel, O.; Han, J.H.; Jeon, J.A.; Kim, C.H.; Kim, K.C.; Lutz, L.; Lee, M.H.; Malinin, A.; Nam, S.; Park, I.H.; Park, J.H.; Seo, E.S.; Walpole, P.; Wu, J.; Yang, J.; Yoo, J.H.; Yoon, Y.S.; Zinn, S.Y.

    2007-01-01

    The Cosmic Ray Energetics and Mass (CREAM) balloon-borne experiment is designed for direct measurement of high-energy cosmic rays. The experimental goal is to measure single-element fluxes of all cosmic-ray nuclei from hydrogen to iron with energies up to the 'knee', or spectral index change near 10 15 eV, observed in the all-particle spectrum. The dual layer Silicon Charge Detector (SCD) was designed to provide precise charge measurements. Each SCD layer has an active area of 77.9cmx79.5cm and consists of 156 silicon sensors mounted on 24 ladders. Each sensor contains a 4 x 4 array of single-sided DC type silicon pixels with an active area of 2.1cm 2 . The detector was flown on the second CREAM flight (December 2005-January 2006) and recovered successfully. The SCD was refurbished for the third CREAM flight and tested with high-energy electron and hadron beams at CERN. This paper reports on the performance of the SCD during the beam test

  9. Micro-fabricated silicon devices for advanced thermal management and integration of particle tracking detectors

    CERN Document Server

    Romagnoli, Giulia; Gambaro, Carla

    Since their first studies targeting the cooling of high-power computing chips, micro-channel devices are proven to provide a very efficient cooling system. In the last years micro-channel cooling has been successfully applied to the cooling of particle detectors at CERN. Thanks to their high thermal efficiency, they can guarantee a good heat sink for the cooling of silicon trackers, fundamental for the reduction of the radiation damage caused by the beam interactions. The radiation damage on the silicon detector is increasing with temperature and furthermore the detectors are producing heat that should be dissipated in the supporting structure. Micro-channels guarantee a distributed and uniform thermal exchange, thanks to the high flexibility of the micro-fabrication process that allows a large variety of channel designs. The thin nature of the micro-channels etched inside silicon wafers, is fulfilling the physics requirement of minimization of the material crossed by the particle beam. Furthermore micro-chan...

  10. Characterization of the porosity of silicon nitride thin layers by Electrochemical Impedance Spectroscopy

    International Nuclear Information System (INIS)

    Barrès, T.; Tribollet, B.; Stephan, O.; Montigaud, H.; Boinet, M.; Cohin, Y.

    2017-01-01

    Silicon nitride thin films are widely used as diffusion barriers within stacks in the glass industry but turn out to be porous at the nanometric scale. EIS measurements were conducted on SiNx thin layers deposited on a gold layer. An electrochemical model was established to fit the EIS measurements making use of data from other complementary techniques. In particular, Transmission Electron Microscopy was performed on these thin layers to determine the diameter and the qualitative morphology of the pores. A quantitative determination of the through-porosity of the layer was deduced from the EIS model and was in good agreement with TEM measurements. Moreover, combining EIS with local observations enabled inhomogeneities in the layer to be probed by highlighting a specific region in the layer.

  11. Double-shelled silicon anode nanocomposite materials: A facile approach for stabilizing electrochemical performance via interface construction

    Science.gov (United States)

    Du, Lulu; Wen, Zhongsheng; Wang, Guanqin; Yang, Yan-E.

    2018-04-01

    The rapid capacity fading induced by volumetric changes is the main issue that hinders the widespread application of silicon anode materials. Thus, double-shelled silicon composite materials where lithium silicate was located between an Nb2O5 coating layer and a silicon active core were configured to overcome the chemical compatibility issues related to silicon and oxides. The proposed composites were prepared via a facile co-precipitation method combined with calcination. Transmission electron microscopy and X-ray photoelectron spectroscopy analysis demonstrated that a transition layer of lithium silicate was constructed successfully, which effectively hindered the thermal inter-diffusion between the silicon and oxide coating layers during heat treatment. The electrochemical performance of the double-shelled silicon composites was enhanced dramatically with a retained specific capacity of 1030 mAh g-1 after 200 cycles at a current density of 200 mA g-1 compared with 598 mAh g-1 for a core-shell Si@Nb2O5 composite that lacked the interface. The lithium silicate transition layer was shown to play an important role in maintaining the high electrochemical stability.

  12. Strengthening of oxidation resistant materials for gas turbine applications. [treatment of silicon ceramics for increased flexural strength and impact resistance

    Science.gov (United States)

    Kirchner, H. P.

    1974-01-01

    Silicon nitride and silicon carbide ceramics were treated to form compressive surface layers. On the silicon carbide, quenching and thermal exposure treatments were used, and on the silicon nitride, quenching, carburizing, and a combination of quenching and carburizing were used. In some cases substantial improvements in impact resistance and/or flexural strength were observed. The presence of compressive surface stresses was demonstrated by slotted rod tests.

  13. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    Science.gov (United States)

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  14. Thermal properties of redeposition layers in the JT-60U divertor region

    International Nuclear Information System (INIS)

    Ishimoto, Y.; Gotoh, Y.; Arai, T.; Masaki, K.; Miya, N.; Oyama, N.; Asakura, N.

    2006-01-01

    Thermal properties of the redeposition layer on the inner plate of the W-shaped divertor of JT-60U have been measured with laser flash method so as to estimate transient heat loads onto the divertor. Morphology analysis of the redeposition layer was conducted with a scanning electron microscope. Measurement of a redeposition layer sample of more than 200 μm thick, which had been produced near the most frequent striking point, showed following results: (1) the bulk density of the redeposition layer is about half of that of carbon fiber composite material; (2) the specific heat of the layer is roughly equal to that of the isotropic graphite; (3) the thermal conductivity of the redeposition layer is two orders of magnitude smaller than that of the carbon fiber composite. This low thermal conductivity of the redeposition layer is considered to be caused by a low graphitization degree of the redeposition layer. The difference between the divertor heat loads and the loss of the plasma stored energy becomes smaller taking account of thermal properties of the redeposition layer on the inner divertor, whereas estimated heat loads due to the ELMs is still larger than the loss. This is probably caused by the poloidal distribution of the thermal properties

  15. Surface passivation at low temperature of p- and n-type silicon wafers using a double layer a-Si:H/SiNx:H

    International Nuclear Information System (INIS)

    Focsa, A.; Slaoui, A.; Charifi, H.; Stoquert, J.P.; Roques, S.

    2009-01-01

    Surface passivation of bare silicon or emitter region is of great importance towards high efficiency solar cells. Nowadays, this is usually accomplished by depositing an hydrogenated amorphous silicon nitride (a-SiNx:H) layer on n + p structures that serves also as an excellent antireflection layer. On the other hand, surface passivation of p-type silicon is better assured by an hydrogenated amorphous silicon (a-Si:H) layer but suffers from optical properties. In this paper, we reported the surface passivation of p-type and n-type silicon wafers by using an a-Si:H/SiNx:H double layer formed at low temperature (50-400 deg. C) with ECR-PECVD technique. We first investigated the optical properties (refraction index, reflectance, and absorbance) and structural properties by FTIR (bonds Si-H, N-H) of the deposited films. The hydrogen content in the layers was determined by elastic recoil detection analysis (ERDA). The passivation effect was monitored by measuring the minority carrier effective lifetime vs. different parameters such as deposition temperature and amorphous silicon layer thickness. We have found that a 10-15 nm a-Si film with an 86 nm thick SiN layer provides an optimum of the minority carriers' lifetime. It increases from an initial value of about 50-70 μs for a-Si:H to about 760 and 800 μs for a-Si:H/SiNx:H on Cz-pSi and FZ-nSi, respectively, at an injection level 2 x 10 15 cm -3 . The effective surface recombination velocity, S eff , for passivated double layer on n-type FZ Si reached 11 cm/s and for FZ-pSi-14 cm/s, and for Cz-pSi-16-20 cm/s. Effect of hydrogen in the passivation process is discussed.

  16. Preparation and characterization of tempered tungsten layers on single crystalline silicon

    International Nuclear Information System (INIS)

    Nitzsche, K.; Knedlik, C.; Tippmann, H.; Spiess, L.; Harman, R.; Vanek, O.; Tvarozek, V.

    1984-01-01

    Tungsten layers have been deposited on single crystalline silicon by sputtering and characterized by measurements of the sheet resistance by a linear four point method and the van der Pauw method. The influence of tempering under argon on the resistance has been studied. By means of the RBS spectroscopy it was found that the increase in the specific resistance is caused by interdiffusion

  17. Thermal healing of the sub-surface damage layer in sapphire

    International Nuclear Information System (INIS)

    Pinkas, Malki; Lotem, Haim; Golan, Yuval; Einav, Yeheskel; Golan, Roxana; Chakotay, Elad; Haim, Avivit; Sinai, Ela; Vaknin, Moshe; Hershkovitz, Yasmin; Horowitz, Atara

    2010-01-01

    The sub-surface damage layer formed by mechanical polishing of sapphire is known to reduce the mechanical strength of the processed sapphire and to degrade the performance of sapphire based components. Thermal annealing is one of the methods to eliminate the sub-surface damage layer. This study focuses on the mechanism of thermal healing by studying its effect on surface topography of a- and c-plane surfaces, on the residual stresses in surface layers and on the thickness of the sub-surface damage layer. An atomically flat surface was developed on thermally annealed c-plane surfaces while a faceted roof-top topography was formed on a-plane surfaces. The annealing resulted in an improved crystallographic perfection close to the sample surface as was indicated by a noticeable decrease in X-ray rocking curve peak width. Etching experiments and surface roughness measurements using white light interferometry with sub-nanometer resolution on specimens annealed to different extents indicate that the sub-surface damage layer of the optically polished sapphire is less than 3 μm thick and it is totally healed after thermal treatment at 1450 deg. C for 72 h.

  18. Strain and thermal conductivity in ultrathin suspended silicon nanowires

    Science.gov (United States)

    Fan, Daniel; Sigg, Hans; Spolenak, Ralph; Ekinci, Yasin

    2017-09-01

    We report on the uniaxial strain and thermal conductivity of well-ordered, suspended silicon nanowire arrays between 10 to 20 nm width and 22 nm half-pitch, fabricated by extreme-ultraviolet (UV) interference lithography. Laser-power-dependent Raman spectroscopy showed that nanowires connected monolithically to the bulk had a consistent strain of ˜0.1 % , whereas nanowires clamped by metal exhibited variability and high strain of up to 2.3%, having implications in strain engineering of nanowires. The thermal conductivity at room temperature was measured to be ˜1 W /m K for smooth nanowires and ˜0.1 W /m K for rougher ones, similar to results by other investigators. We found no modification of the bulk properties in terms of intrinsic scattering, and therefore, the decrease in thermal conductivity is mainly due to boundary scattering. Different types of surface roughness, such as constrictions and line-edge roughness, may play roles in the scattering of phonons of different wavelengths. Such low thermal conductivities would allow for very efficient thermal energy harvesting, approaching and passing values achieved by state-of-the-art thermoelectric materials.

  19. Optical absorption in silicon layers in the presence of charge inversion/accumulation or ion implantation

    International Nuclear Information System (INIS)

    Alloatti, L.; Lauermann, M.; Koos, C.; Freude, W.; Sürgers, C.; Leuthold, J.

    2013-01-01

    We determine the optical losses in gate-induced charge accumulation/inversion layers at a Si/SiO 2 interface. Comparison between gate-induced charge layers and ion-implanted thin silicon films having an identical sheet resistance shows that optical losses can be significantly lower for gate-induced layers. For a given sheet resistance, holes produce higher optical loss than electrons. Measurements have been performed at λ = 1550 nm

  20. Improving the Microstructure and Electrical Properties of Aluminum Induced Polysilicon Thin Films Using Silicon Nitride Capping Layer

    Directory of Open Access Journals (Sweden)

    Min-Hang Weng

    2014-01-01

    Full Text Available We investigated the capping layer effect of SiNx (silicon nitride on the microstructure, electrical, and optical properties of poly-Si (polycrystalline silicon prepared by aluminum induced crystallization (AIC. The primary multilayer structure comprised Al (30 nm/SiNx (20 nm/a-Si (amorphous silicon layer (100 nm/ITO coated glass and was then annealed in a low annealing temperature of 350°C with different annealing times, 15, 30, 45, and 60 min. The crystallization properties were analyzed and verified by X-ray diffraction (XRD and Raman spectra. The grain growth was analyzed via optical microscope (OM and scanning electron microscopy (SEM. The improved electrical properties such as Hall mobility, resistivity, and dark conductivity were investigated by using Hall and current-voltage (I-V measurements. The results show that the amorphous silicon film has been effectively induced even at a low temperature of 350°C and a short annealing time of 15 min and indicate that the SiNx capping layer can improve the grain growth and reduce the metal content in the induced poly-Si film. It is found that the large grain size is over 20 μm and the carrier mobility values are over 80 cm2/V-s.

  1. Effect of nanodimensional polyethylenimine layer on surface potential barriers of hybrid structures based on silicon single crystal

    Science.gov (United States)

    Malyar, Ivan V.; Gorin, Dmitry A.; Stetsyura, Svetlana V.

    2013-01-01

    In this report we present the analysis of I-V curves for MIS-structures like silicon substrate / nanodimensional polyelectrolyte layer / metal probe (contact) which is promising for biosensors, microfluidic chips, different devices of molecular electronics, such as OLEDs, solar cells, where polyelectrolyte layers can be used to modify semiconductor surface. The research is directed to investigate the contact phenomena which influence the resulting signal of devices mentioned above. The comparison of I-V characteristics of such structures measured by scanning tunnel microscopy (contactless technique) and using contact areas deposited by thermal evaporation onto the organic layer (the contact one) was carried out. The photoassisted I-V measurements and complex analysis based on Simmons and Schottky models allow one to extract the potential barriers and to observe the changes of charge transport in MIS-structures under illumination and after polyelectrolyte adsorption. The direct correlation between the thickness of the deposited polyelectrolyte layer and both equilibrium tunnel barrier and Schottky barrier height was observed for hybrid structures with polyethylenimine. The possibility of control over the I-V curves of hybrid structure and the height of the potential barriers (for different charge transports) by illumination was confirmed. Based on experimental data and complex analysis the band diagrams were plotted which illustrate the changes of potential barriers for MIS-structures due to the polyelectrolyte adsorption and under the illumination.

  2. Laser lock-in thermography for thermal contact characterisation of surface layer

    International Nuclear Information System (INIS)

    Semerok, A.; Jaubert, F.; Fomichev, S.V.; Thro, P.-Y.; Courtois, X.; Grisolia, C.

    2012-01-01

    Lock-in thermography was applied to determine the thermal contact conductance of a W-layer (140 μm) on a CFC-substrate. A lock-in thermography system together with a pulse repetition rate Nd:YAG laser (1064 nm, 1–500 Hz pulse repetition rate) for layer heating was applied for phase shift measurements on the W-layer. A numerical model for direct phase shift calculations was developed and applied to rapid determination of the Fourier amplitudes and phases of the temperature. Thermal conductance coefficients were obtained by comparing the experimental and simulation phase shifts. -- Highlights: ► Lock-in thermography determines a layer/substrate thermal conductance. ► Thermal conductance coefficient of W-layer on a CFC-substrate. ► Model for direct phase shift calculations was developed and applied. ► Rapid determination of the Fourier amplitudes and phases of the temperature. ► Comparing the experimental and simulation phase shifts.

  3. The effect of baking conditions on the effective contact areas of screen-printed silver layer on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Tietun Sun; Jianmin Miao; Rongming Lin; Yongqing Fu [Nanyang Technological Univ., Micromachines Lab., Singapore (Singapore)

    2005-01-01

    In this paper, Ag-based paste was screen-printed on polished as well as on textured p-type (100) single crystalline silicon wafers. Three types of baking processes were studied: the tube furnace, the belt furnace and the hot plate baking. The effective contact areas of Ag/Si system were measured with a novel method, namely metal insulator semiconductor structure measurement. The results show that after baking on the hot plate at 400 deg C for 5 min, the size and number of pores in the Ag film layer as well as at the interface between silver layer and silicon decreases significantly, the effective contact area also increases about 20%, particularly on the textured silicon substrate. (Author)

  4. The effect of baking conditions on the effective contact areas of screen-printed silver layer on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Tietun; Miao, Jianmin; Lin, Rongming; Fu, Yongqing [Micromachines Laboratory, School of Mechanical and Production Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2005-01-01

    In this paper, Ag-based paste was screen-printed on the polished as well as on the textured p-type (100) single crystalline silicon wafers. Three types of baking processes were studied: the tube furnace, the belt furnace and the hot plate baking. The effective contact areas of Ag/Si system were measured with a novel method, namely metal insulator semiconductor structure measurement. The results show that after baking on the hot plate at 400{sup o}C for 5min, the size and number of pores in the Ag film layer as well as at the interface between silver layer and silicon decreases significantly, the effective contact area also increases about 20%, particularly on the textured silicon substrate.

  5. Directed deposition of silicon nanowires using neopentasilane as precursor and gold as catalyst

    Directory of Open Access Journals (Sweden)

    Britta Kämpken

    2012-07-01

    Full Text Available In this work the applicability of neopentasilane (Si(SiH34 as a precursor for the formation of silicon nanowires by using gold nanoparticles as a catalyst has been explored. The growth proceeds via the formation of liquid gold/silicon alloy droplets, which excrete the silicon nanowires upon continued decomposition of the precursor. This mechanism determines the diameter of the Si nanowires. Different sources for the gold nanoparticles have been tested: the spontaneous dewetting of gold films, thermally annealed gold films, deposition of preformed gold nanoparticles, and the use of “liquid bright gold”, a material historically used for the gilding of porcelain and glass. The latter does not only form gold nanoparticles when deposited as a thin film and thermally annealed, but can also be patterned by using UV irradiation, providing access to laterally structured layers of silicon nanowires.

  6. Silicon-depth profiling with Rutherford backscattering in photoresist layers; a study on the effects of degradation

    NARCIS (Netherlands)

    IJzendoorn, van L.J.; Schellekens, J.P.W.

    1989-01-01

    The reaction of a silicon-containing vapor with a photoresist layer, as used in some dry developable lithographic processes, was studied with Rutherford backscattering spectrometry. Degradation of the polymer layer under ion beam irradiation was observed, but it was found that this had no influence

  7. Oxygen recoil implant from SiO2 layers into single-crystalline silicon

    International Nuclear Information System (INIS)

    Wang, G.; Chen, Y.; Li, D.; Oak, S.; Srivastav, G.; Banerjee, S.; Tasch, A.; Merrill, P.; Bleiler, R.

    2001-01-01

    It is important to understand the distribution of recoil-implanted atoms and the impact on device performance when ion implantation is performed at a high dose through surface materials into single crystalline silicon. For example, in ultralarge scale integration impurity ions are often implanted through a thin layer of screen oxide and some of the oxygen atoms are inevitably recoil implanted into single-crystalline silicon. Theoretical and experimental studies have been performed to investigate this phenomenon. We have modified the Monte Carlo ion implant simulator, UT-Marlowe (B. Obradovic, G. Wang, Y. Chen, D. Li, C. Snell, and A. F. Tasch, UT-MARLOWE Manual, 1999), which is based on the binary collision approximation, to follow the full cascade and to dynamically modify the stoichiometry of the Si layer as oxygen atoms are knocked into it. CPU reduction techniques are used to relieve the demand on computational power when such a full cascade simulation is involved. Secondary ion mass spectrometry (SIMS) profiles of oxygen have been carefully obtained for high dose As and BF 2 implants at different energies through oxide layers of various thicknesses, and the simulated oxygen profiles are found to agree very well with the SIMS data. [copyright] 2001 American Institute of Physics

  8. The use of large area silicon sensors for thermal neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, R.L. (Research and Development Center, Mail Stop: A01-26, Grumman Aerospace Corporation, Bethpage, NY 11714 (United States)); Swanson, F. (Research and Development Center, Mail Stop: A01-26, Grumman Aerospace Corporation, Bethpage, NY 11714 (United States)); Kesselman, M. (Research and Development Center, Mail Stop: A01-26, Grumman Aerospace Corporation, Bethpage, NY 11714 (United States))

    1994-12-30

    The use of large area planar silicon detectors coupled with gadolinium foils has been investigated to develop a thermal neutron detector having a large area-efficiency (A[epsilon]) product. Noise levels due to high detector capacitance limit the size of silicon detectors that can be utilized. Calculations using the Monte Carlo code, MCNP, have been made to determine the variation of intrinsic detection efficiency as a function of the discriminator threshold level required to eliminate the detector noise. Measurements of the noise levels for planar silicon detectors of various resistivities (400, 3000 and 5000 [Omega] cm) have been made and the optimal detector area-efficiency products have been determined. The response of a Si-Gd-Si sandwich detector with areas between 1 cm[sup 2] and 10.5 cm[sup 2] is presented and the effects of the detector capacitance and reverse current are discussed. ((orig.))

  9. Analysis of equations of state and temperature dependence of thermal expansivity and bulk modulus for silicon

    International Nuclear Information System (INIS)

    Pandya, Tushar C; Bhatt, Apoorva D; Thakar, Nilesh A

    2012-01-01

    In the present paper an attempt has been made for the comparative study of different equations of state for silicon (Phase-1, cubic diamond structure) in the pressure range of 0-11 GPa. We compare the results of different equations of state (EOS) with available experimental data. The Kwon and Kim EOS is found to give far better agreement with the available experimental data. Results obtained by Poirier-Tarantola, Vinet, Tait and Suzuki's equations of state are not giving satisfactory agreement with the available experimental data. In the present study simple methods based on thermodynamic functions are presented to investigate the temperature dependence of thermal expansivity and bulk modulus for silicon. The results are reported for silicon. The calculated values of thermal expansivity are in good agreement with experimental data.

  10. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  11. Thermal radiative near field transport between vanadium dioxide and silicon oxide across the metal insulator transition

    Energy Technology Data Exchange (ETDEWEB)

    Menges, F.; Spieser, M.; Riel, H.; Gotsmann, B., E-mail: bgo@zurich.ibm.com [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Dittberner, M. [IBM Research-Zurich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Novotny, L. [Photonics Laboratory, ETH Zurich, 8093 Zurich (Switzerland); Passarello, D.; Parkin, S. S. P. [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States)

    2016-04-25

    The thermal radiative near field transport between vanadium dioxide and silicon oxide at submicron distances is expected to exhibit a strong dependence on the state of vanadium dioxide which undergoes a metal-insulator transition near room temperature. We report the measurement of near field thermal transport between a heated silicon oxide micro-sphere and a vanadium dioxide thin film on a titanium oxide (rutile) substrate. The temperatures of the 15 nm vanadium dioxide thin film varied to be below and above the metal-insulator-transition, and the sphere temperatures were varied in a range between 100 and 200 °C. The measurements were performed using a vacuum-based scanning thermal microscope with a cantilevered resistive thermal sensor. We observe a thermal conductivity per unit area between the sphere and the film with a distance dependence following a power law trend and a conductance contrast larger than 2 for the two different phase states of the film.

  12. Field induced decrystallization of silicon: Evidence of a microwave non-thermal effect

    Science.gov (United States)

    Nozariasbmarz, Amin; Dsouza, Kelvin; Vashaee, Daryoosh

    2018-02-01

    It is rather strange and not fully understood that some materials decrystallize when exposed to microwave radiation, and it is still debatable if such a transformation is a thermal or non-thermal effect. We hereby report experimental evidences that weight the latter effect. First, a single crystal silicon wafer exposed to microwaves showed strong decrystallization at high temperature. Second, when some areas of the wafer were masked with metal coating, only the exposed areas underwent decrystallization. Transmission electron microscopy analysis, x-ray diffraction data, and thermal conductivity measurements all indicated strong decrystallization, which occurred in the bulk of the material and was not a surface effect. These observations favor the existence of a non-thermal microwave effect.

  13. Analysis of dual-phase-lag thermal behaviour in layered films with temperature-dependent interface thermal resistance

    International Nuclear Information System (INIS)

    Liu, K-C

    2005-01-01

    This work analyses theoretically the dual-phase-lag thermal behaviour in two-layered thin films with an interface thermal resistance, which is predicted by the radiation boundary condition model. The effect of the interface thermal resistance on the transmission-reflection phenomenon, induced by a pulsed volumetric source adjacent to the exterior surface of one layer, is investigated. Due to the difference between the two layers in the relaxation times, τ q and τ T , and the nonlinearity of the interfacial boundary condition, complexity is introduced and some mathematical difficulties are involved in solving the present problem. A hybrid application of the Laplace transform method and a control-volume formulation are used along with the linearization technique. The results show that the effect of the thermophysical properties on the behaviour of the energy passing across the interface gradually reduces with increasing interface thermal resistance. The lagging thermal behaviour depends on the magnitude of τ T and τ q more than on the ratio of τ T /τ q

  14. Use of XPS to clarify the Hall coefficient sign variation in thin niobium layers buried in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Demchenko, Iraida N., E-mail: demch@ifpan.edu.pl [Institute of Physics, Polish Academy of Sciences, Aleja Lotnikow 32/46, PL-02668 Warsaw (Poland); Lisowski, Wojciech [Institute of Physical Chemistry, Polish Academy of Sciences, Kasprzaka 44/52, 01-224 Warsaw (Poland); Syryanyy, Yevgen [Institute of Physics, Polish Academy of Sciences, Aleja Lotnikow 32/46, PL-02668 Warsaw (Poland); Melikhov, Yevgen [Institute of Physics, Polish Academy of Sciences, Aleja Lotnikow 32/46, PL-02668 Warsaw (Poland); School of Engineering, Cardiff University, Newport Rd., Cardiff, CF24 3AA (United Kingdom); Zaytseva, Iryna; Konstantynov, Pavlo [Institute of Physics, Polish Academy of Sciences, Aleja Lotnikow 32/46, PL-02668 Warsaw (Poland); Chernyshova, Maryna [Institute of Plasma Physics and Laser Microfusion, Hery Street 23, 01-497 Warsaw (Poland); Cieplak, Marta Z. [Institute of Physics, Polish Academy of Sciences, Aleja Lotnikow 32/46, PL-02668 Warsaw (Poland)

    2017-03-31

    Highlights: • HR XPS spectra of Nb 3d, Si 2p, O 1s were probed for Si/Nb/Si trilayers prepared by magnetron sputtering to clarify the Hall coefficient variation as a function of Nb layer thickness. • Strong boundary scattering, enhanced by the presence of silicon ions in the layer close to the interface/s is a main factor leading to sign change of the Hall coefficient. • Theoretical concentration/depth profile as a function of sputtering determined by SESSA after optimization of the model system gives good agreement with experiment. - Abstract: Si/Nb/Si trilayers formed with 9.5 and 1.3 nm thick niobium layer buried in amorphous silicon were prepared by magnetron sputtering and studied using XPS depth-profile techniques in order to investigate the change of Hall coefficient sign with thickness. The analysis of high-resolution (HR) XPS spectra revealed that the thicker layer sample has sharp top interface and metallic phase of niobium, thus holes dominate the transport. In contrast, the analysis indicates that the thinner layer sample has a Nb-rich mixed alloy formation at the top interface. The authors suggest that the main effect leading to a change of sign of the Hall coefficient for the thinner layer sample (which is negative contrary to the positive sign for the thicker layer sample) may be related to strong boundary scattering enhanced by the presence of silicon ions in the layer close to the interface/s. The depth-profile reconstruction was performed by SESSA software tool confirming that it can be reliably used for quantitative analysis/interpretation of experimental XPS data.

  15. Plasma immersion ion implantation of boron for ribbon silicon solar cells

    Directory of Open Access Journals (Sweden)

    Derbouz K.

    2013-09-01

    Full Text Available In this work, we report for the first time on the solar cell fabrication on n-type silicon RST (for Ribbon on Sacrificial Template using plasma immersion ion implantation. The experiments were also carried out on FZ silicon as a reference. Boron was implanted at energies from 10 to 15 kV and doses from 1015 to 1016 cm-2, then activated by a thermal annealing in a conventional furnace at 900 and 950 °C for 30 min. The n+ region acting as a back surface field was achieved by phosphorus spin-coating. The frontside boron emitter was passivated either by applying a 10 nm deposited SiOX plasma-enhanced chemical vapor deposition (PECVD or with a 10 nm grown thermal oxide. The anti-reflection coating layer formed a 60 nm thick SiNX layer. We show that energies less than 15 kV and doses around 5 × 1015 cm-2 are appropriate to achieve open circuit voltage higher than 590 mV and efficiency around 16.7% on FZ-Si. The photovoltaic performances on ribbon silicon are so far limited by the bulk quality of the material and by the quality of the junction through the presence of silicon carbide precipitates at the surface. Nevertheless, we demonstrate that plasma immersion ion implantation is very promising for solar cell fabrication on ultrathin silicon wafers such as ribbons.

  16. Surface Passivation of Silicon Using HfO2 Thin Films Deposited by Remote Plasma Atomic Layer Deposition System.

    Science.gov (United States)

    Zhang, Xiao-Ying; Hsu, Chia-Hsun; Lien, Shui-Yang; Chen, Song-Yan; Huang, Wei; Yang, Chih-Hsiang; Kung, Chung-Yuan; Zhu, Wen-Zhang; Xiong, Fei-Bing; Meng, Xian-Guo

    2017-12-01

    Hafnium oxide (HfO 2 ) thin films have attracted much attention owing to their usefulness in equivalent oxide thickness scaling in microelectronics, which arises from their high dielectric constant and thermodynamic stability with silicon. However, the surface passivation properties of such films, particularly on crystalline silicon (c-Si), have rarely been reported upon. In this study, the HfO 2 thin films were deposited on c-Si substrates with and without oxygen plasma pretreatments, using a remote plasma atomic layer deposition system. Post-annealing was performed using a rapid thermal processing system at different temperatures in N 2 ambient for 10 min. The effects of oxygen plasma pretreatment and post-annealing on the properties of the HfO 2 thin films were investigated. They indicate that the in situ remote plasma pretreatment of Si substrate can result in the formation of better SiO 2 , resulting in a better chemical passivation. The deposited HfO 2 thin films with oxygen plasma pretreatment and post-annealing at 500 °C for 10 min were effective in improving the lifetime of c-Si (original lifetime of 1 μs) to up to 67 μs.

  17. Steady-state solution growth of microcrystalline silicon on nanocrystalline seed layers on glass

    Science.gov (United States)

    Bansen, R.; Ehlers, C.; Teubner, Th.; Boeck, T.

    2016-09-01

    The growth of polycrystalline silicon layers on glass from tin solutions at low temperatures is presented. This approach is based on the steady-state solution growth of Si crystallites on nanocrystalline seed layers, which are prepared in a preceding process step. Scanning electron microscopy and atomic force microscopy investigations reveal details about the seed layer surfaces, which consist of small hillocks, as well as about Sn inclusions and gaps along the glass substrate after solution growth. The successful growth of continuous microcrystalline Si layers with grain sizes up to several ten micrometers shows the feasibility of the process and makes it interesting for photovoltaics. Project supported by the German Research Foundation (DFG) (No. BO 1129/5-1).

  18. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa

    2014-08-28

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  19. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto

    2014-01-01

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  20. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  1. Boundary Layer Separation and Reattachment Detection on Airfoils by Thermal Flow Sensors

    Directory of Open Access Journals (Sweden)

    Peter Busche

    2012-10-01

    Full Text Available A sensor concept for detection of boundary layer separation (flow separation, stall and reattachment on airfoils is introduced in this paper. Boundary layer separation and reattachment are phenomena of fluid mechanics showing characteristics of extinction and even inversion of the flow velocity on an overflowed surface. The flow sensor used in this work is able to measure the flow velocity in terms of direction and quantity at the sensor’s position and expected to determine those specific flow conditions. Therefore, an array of thermal flow sensors has been integrated (flush-mounted on an airfoil and placed in a wind tunnel for measurement. Sensor signals have been recorded at different wind speeds and angles of attack for different positions on the airfoil. The sensors used here are based on the change of temperature distribution on a membrane (calorimetric principle. Thermopiles are used as temperature sensors in this approach offering a baseline free sensor signal, which is favorable for measurements at zero flow. Measurement results show clear separation points (zero flow and even negative flow values (back flow for all sensor positions. In addition to standard silicon-based flow sensors, a polymer-based flexible approach has been tested showing similar results.

  2. The performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate

    Directory of Open Access Journals (Sweden)

    Shulong Wang

    2016-11-01

    Full Text Available In this study, the performance of Y2O3 as interface layer between La2O3 and p-type silicon substrate is studied with the help of atomic layer deposition (ALD and magnetron sputtering technology. The surface morphology of the bilayer films with different structures are observed after rapid thermal annealing (RTA by atomic force microscopy (AFM. The results show that Y2O3/Al2O3/Si structure has a larger number of small spikes on the surface and its surface roughness is worse than Al2O3/Y2O3/Si structure. The reason is that the density of Si substrate surface is much higher than that of ALD growth Al2O3. With the help of high-frequency capacitance-voltage(C-V measurement and conductivity method, the density of interface traps can be calculated. After a high temperature annealing, the metal silicate will generate at the substrate interface and result in silicon dangling bond and interface trap charge, which has been improved by X-ray photoelectron spectroscopy (XPS and interface trap charge density calculation. The interface trapped charge density of La2O3/Al2O3/Si stacked gate structure is lower than that of La2O3/Y2O3/Si gate structure. If Y2O3 is used to replace Al2O3 as the interfacial layer, the accumulation capacitance will increase obviously, which means lower equivalent oxide thickness (EOT. Our results show that interface layer Y2O3 grown by magnetron sputtering can effectively ensure the interface traps near the substrate at relative small level while maintain a relative higher dielectric constant than Al2O3.

  3. Thermal conductivities of single- and multi-layer phosphorene: a molecular dynamics study.

    Science.gov (United States)

    Zhang, Ying-Yan; Pei, Qing-Xiang; Jiang, Jin-Wu; Wei, Ning; Zhang, Yong-Wei

    2016-01-07

    As a new two-dimensional (2D) material, phosphorene has drawn growing attention owing to its novel electronic properties, such as layer-dependent direct bandgaps and high carrier mobility. Herein we investigate the in-plane and cross-plane thermal conductivities of single- and multi-layer phosphorene, focusing on geometrical (sample size, orientation and layer number) and strain (compression and tension) effects. A strong anisotropy is found in the in-plane thermal conductivity with its value along the zigzag direction being much higher than that along the armchair direction. Interestingly, the in-plane thermal conductivity of multi-layer phosphorene is insensitive to the layer number, which is in strong contrast to that of graphene where the interlayer interactions strongly influence the thermal transport. Surprisingly, tensile strain leads to an anomalous increase in the in-plane thermal conductivity of phosphorene, in particular in the armchair direction. Both the in-plane and cross-plane thermal conductivities can be modulated by external strain; however, the strain modulation along the cross-plane direction is more effective and thus more tunable than that along the in-plane direction. Our findings here are of great importance for the thermal management in phosphorene-based nanoelectronic devices and for thermoelectric applications of phosphorene.

  4. Thermal behaviour of layered double hydroxides studied by emanation thermal analysis

    Czech Academy of Sciences Publication Activity Database

    Dorničák, V.; Balek, V.; Kovanda, F.; Večerníková, Eva

    90-91, - (2003), s. 475-480 ISSN 1012-0394 Institutional research plan: CEZ:AV0Z4032918 Keywords : hydrotalcite * layered double hydroxides * thermal decomposition Subject RIV: CA - Inorganic Chemistry Impact factor: 0.687, year: 2003

  5. The effect of oxidation on physical properties of porous silicon layers for optical applications

    Energy Technology Data Exchange (ETDEWEB)

    Pirasteh, Parasteh [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Charrier, Joel [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France)]. E-mail: joel.charrier@univ-rennes1.fr; Soltani, Ali [Institut d' Electronique, de Microemectronique et de Nanotechnologie, CNRS-UMR 8520, Cite Scientifique Avenue Poincare, BP 69, 59652 Villeneuve d' Ascq Cedex (France); Haesaert, Severine [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Haji, Lazhar [Laboratoire d' Optronique, CNRS-UMR FOTON 6082, Universite de Rennes 1, ENSSAT Tecnhopole Anticipa, 6 rue de Kerampont, BP 447, 22305 Lannion Cedex (France); Godon, Christine [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France); Errien, Nicolas [Laboratoire de Physique Crystalline, Institut des Materiaux Jean Rouxel, 44322 Nantes Cedex 3 (France)

    2006-12-15

    In order to understand the optical loss mechanisms in porous silicon based waveguides, structural and optical studies have been performed. Scanning and transmission electron microscopic observations of porous silicon layers are obtained before and after an oxidation process at high temperature in wet O{sub 2}. Pore size and shape of heavily p-type doped Si wafers are estimated and correlated to the optical properties of the material before and after oxidation. The refractive index was measured and compared to that determined by the Bruggeman model.

  6. Effects of lithium insertion on thermal conductivity of silicon nanowires

    International Nuclear Information System (INIS)

    Xu, Wen; Zhang, Gang; Li, Baowen

    2015-01-01

    Recently, silicon nanowires (SiNWs) have been applied as high-performance Li battery anodes, since they can overcome the pulverization and mechanical fracture during lithiation. Although thermal stability is one of the most important parameters that determine safety of Li batteries, thermal conductivity of SiNWs with Li insertion remains unclear. In this letter, using molecular dynamics simulations, we study room temperature thermal conductivity of SiNWs with Li insertion. It is found that compared with the pristine SiNW, there is as much as 60% reduction in thermal conductivity with 10% concentration of inserted Li atoms, while under the same impurity concentration the reduction in thermal conductivity of the mass-disordered SiNW is only 30%. With lattice dynamics calculations and normal mode decomposition, it is revealed that the phonon lifetimes in SiNWs decrease greatly due to strong scattering of phonons by vibrational modes of Li atoms, especially for those high frequency phonons. The observed strong phonon scattering phenomenon in Li-inserted SiNWs is similar to the phonon rattling effect. Our study serves as an exploration of thermal properties of SiNWs as Li battery anodes or weakly coupled with impurity atoms

  7. Micro-cutting of silicon implanted with hydrogen and post-implantation thermal treatment

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet; Sundaravel, B.; Xiao, Gaobo; Huang, Hu

    2016-07-01

    It was reported that non-amorphizing implantation by hydrogen has a potential in improving silicon machining. Post-implantation high-temperature treatment will affect implantation-induced damage, which can have impact on silicon machining. In this article, a relation of a thermal annealing of hydrogen implanted in silicon to micro-cutting experiment is investigated. Hydrogen ions were implanted into 4″ silicon wafers with 175 keV, 150 keV, 125 keV and doses of 2 × 1016 cm-2, 2 × 1016 cm-2 and 3 × 1016 cm-2, respectively. In this way, low hydrogen atom-low defect concentration was created in the region less than ~0.8 μm deep and high hydrogen atom-high defect concentration was obtained at silicon depth of ~0.8-1.5 μm. The post-implantation annealing was carried out at 300 and 400 °C in nitrogen for 1 h. Physical and electrical properties of implanted and annealed samples were characterized by secondary ion mass spectroscopy (SIMS), X-ray diffraction (XRD), Rutherford backscattering (RBS) and nanoindentation. Plunge cutting experiment was carried out in and silicon crystal direction. The critical depth of cut and cutting force were monitored and found to be influenced by the annealing. The limits of hydrogen implantation annealing contribution to the cutting characteristics of silicon are discussed in light of implantation process and redistribution of hydrogen and defects generation during annealing process.

  8. Low-stress photosensitive polyimide suspended membrane for improved thermal isolation performance

    Science.gov (United States)

    Fan, J.; Xing, R. Y.; Wu, W. J.; Liu, H. F.; Liu, J. Q.; Tu, L. C.

    2017-11-01

    In this paper, we introduce a method of isolating thermal conduction from silicon substrate for accommodating thermal-sensitive micro-devices. This method lies in fabrication of a low-stress photosensitive polyimide (PSPI) suspension structure which has lower thermal conductivity than silicon. First, a PSPI layer was patterned on a silicon wafer and hard baked. Then, a cavity was etched from the backside of the silicon substrate to form a membrane or a bridge-shape PSPI structure. After releasing, a slight deformation of about 20 nm was observed in the suspended structures, suggesting ultralow residual stress which is essential for accommodating micro-devices. In order to investigate the thermal isolation performance of the suspended PSPI structures, micro Pirani vacuum gauges, which are thermal-sensitive, had been fabricated on the PSPI structures. The measurement results illustrated that the Pirani gauges worked as expected in the range from 1- 470 Pa. Moreover, the results of the Pirani gauges based on the membrane and bridge structures were comparable, indicating that the commonly used bridge-shape structure for further reducing thermal conduction was unnecessary. Due to the excellent thermal isolation performance of PSPI, the suspended PSPI membrane is promising to be an outstanding candidate for thermal isolation applications.

  9. Effect of pyrolysis atmospheres on the morphology of polymer-derived silicon oxynitrocarbide ceramic films coated aluminum nitride surface and the thermal conductivity of silicone rubber composites

    Science.gov (United States)

    Chiu, Hsien T.; Sukachonmakul, Tanapon; Wang, Chen H.; Wattanakul, Karnthidaporn; Kuo, Ming T.; Wang, Yu H.

    2014-02-01

    Amorphous silicon oxycarbide (SiOC) and silicon oxynitrocarbide (SiONC) ceramic films coated aluminum nitride (AlN) were prepared by using preceramic-polysilazane (PSZ) with dip-coating method, followed by pyrolysis at 700 °C in different (air, Ar, N2 and NH3) atmospheres to converted PSZ into SiOCair and SiONC(Ar,N2andNH3) ceramic. The existence of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface was characterized by FTIR, XRD and XPS. The interfacial adhesion between silicone rubber and AlN was significantly improved after the introduction of amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. It can be observed from AFM that the pyrolysis of PSZ at different atmosphere strongly affected to films morphology on AlN surface as SiOCair and SiONCNH3 ceramic films were more flat and smooth than SiONCN2 and SiONCAr ceramic films. Besides, the enhancement of the thermal conductivity of silicone rubber composites was found to be related to the decrease in the surface roughness of SiOCair and SiONC(Ar,N2andNH3) ceramic films on AlN surface. This present work provided an alternative surface modification of thermally conductive fillers to improve the thermal conductivity of silicon rubber composites by coating with amorphous SiOCair and SiONC(Ar,N2andNH3) ceramic films.

  10. 3D Defect Localization on Exothermic Faults within Multi-Layered Structures Using Lock-In Thermography: An Experimental and Numerical Approach.

    Science.gov (United States)

    Bae, Ji Yong; Lee, Kye-Sung; Hur, Hwan; Nam, Ki-Hwan; Hong, Suk-Ju; Lee, Ah-Yeong; Chang, Ki Soo; Kim, Geon-Hee; Kim, Ghiseok

    2017-10-13

    Micro-electronic devices are increasingly incorporating miniature multi-layered integrated architectures. However, the localization of faults in three-dimensional structure remains challenging. This study involved the experimental and numerical estimation of the depth of a thermally active heating source buried in multi-layered silicon wafer architecture by using both phase information from an infrared microscopy and finite element simulation. Infrared images were acquired and real-time processed by a lock-in method. It is well known that the lock-in method can increasingly improve detection performance by enhancing the spatial and thermal resolution of measurements. Operational principle of the lock-in method is discussed, and it is represented that phase shift of the thermal emission from a silicon wafer stacked heat source chip (SSHSC) specimen can provide good metrics for the depth of the heat source buried in SSHSCs. Depth was also estimated by analyzing the transient thermal responses using the coupled electro-thermal simulations. Furthermore, the effects of the volumetric heat source configuration mimicking the 3D through silicon via integration package were investigated. Both the infrared microscopic imaging with the lock-in method and FE simulation were potentially useful for 3D isolation of exothermic faults and their depth estimation for multi-layered structures, especially in packaged semiconductors.

  11. Characterization of 10 μm thick porous silicon dioxide obtained by complex oxidation process for RF application

    International Nuclear Information System (INIS)

    Park, Jeong-Yong; Lee, Jong-Hyun

    2003-01-01

    This paper proposes a 10 μm thick oxide layer structure, which can be used as a substrate for RF circuits. The structure has been fabricated by anodic reaction and complex oxidation, which is a combined process of low temperature thermal oxidation (500 deg. C, for 1 h at H 2 O/O 2 ) and a rapid thermal oxidation (RTO) process (1050 deg. C, for 1 min). The electrical characteristics of oxidized porous silicon layer (OPSL) were almost the same as those of standard thermal silicon dioxide. The leakage current through the OPSL of 10 μm was about 100-500 pA in the range of 0-50 V. The average value of breakdown field was about 3.9 MV cm -1 . From the X-ray photo-electron spectroscopy (XPS) analysis, surface and internal oxide films of OPSL, prepared by complex process were confirmed to be completely oxidized and also the role of RTO process was important for the densification of porous silicon layer (PSL) oxidized at a lower temperature. For the RF-test of Si substrate with thick silicon dioxide layer, we have fabricated high performance passive devices such as coplanar waveguide (CPW) on OPSL substrate. The insertion loss of CPW on OPSL prepared by complex oxidation process was -0.39 dB at 4 GHz and similar to that of CPW on OPSL prepared by a temperature of 1050 deg. C (1 h at H 2 O/O 2 ). Also the return loss of CPW on OPSL prepared by complex oxidation process was -23 dB at 10 GHz, which is similar to that of CPW on OPSL prepared by high temperature

  12. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  13. Compressibility and thermal expansion of cubic silicon nitride

    DEFF Research Database (Denmark)

    Jiang, Jianzhong; Lindelov, H.; Gerward, Leif

    2002-01-01

    The compressibility and thermal expansion of the cubic silicon nitride (c-Si3N4) phase have been investigated by performing in situ x-ray powder-diffraction measurements using synchrotron radiation, complemented with computer simulations by means of first-principles calculations. The bulk...... compressibility of the c-Si3N4 phase originates from the average of both Si-N tetrahedral and octahedral compressibilities where the octahedral polyhedra are less compressible than the tetrahedral ones. The origin of the unit cell expansion is revealed to be due to the increase of the octahedral Si-N and N-N bond...

  14. Improved the Surface Roughness of Silicon Nanophotonic Devices by Thermal Oxidation Method

    Energy Technology Data Exchange (ETDEWEB)

    Shi Zujun; Shao Shiqian; Wang Yi, E-mail: ywangwnlo@mail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, No. 1037, Luoyu Street, Wuhan 430074 (China)

    2011-02-01

    The transmission loss of the silicon-on-insulator (SOI) waveguide and the coupling loss of the SOI grating are determined to a large extent by the surface roughness. In order to obtain smaller loss, thermal oxidation is a good choice to reduce the surface roughness of the SOI waveguide and grating. Before the thermal oxidation, the root mean square of the surface roughness is over 11 nm. After the thermal oxidation, the SEM figure shows that the bottom of the grating is as smooth as quartz surface, while the AFM shows that the root mean square of the surface is less than 5 nm.

  15. Thermal resistance of buffer layer in a ceramic wall of MHD generation channel

    International Nuclear Information System (INIS)

    Nomura, Osami; Ebata, Yoshihiro; Hijikata, Kenichi.

    1982-01-01

    A wal l model is composed for obtaining the thermal resistance of the buffer layer. A buffer layer of the model is consisted to an adhesive layer and a buffer body. The adhesive layer is made of a copper plate, which is 0.3 mm thick, and adhered to the element by Refractory Method. The adhesive layer is consisted to three layers, i.e., Cu, Cu 2 O and CuO. These three layers seems to give rise to the thermal resistance. The buffer body is made of nickel wires of which radious is 0.4 mm and purity is 99.7%. All of the nickel wires are assembled in one direction which is parallel to a center line of the element, and bundled all together. Occupation ratio of nickel is about 78% in a sectional area of the buffer body. One end of the buffer body is soldered to adhesive layer by silver solder and opposite and is soldered to holder by lead solder. An element of the model is made of magnesia ceramics of which purity is about 99.9% and porosity is about 3%. A holder of the model is made of copper block. Results are as follows: (1) Thermal resistance of the buffer layer is from 1.9 to 2.5K/(W/cm 2 ). (2) Thermal resistance of the adhesive layer is from 0.43 to 0.87K/(W/cm 2 ). (3) Thermal resistance of the buffer body is calculated to about 0.7K/(W/cm 2 ) under the estimation at which the heat flows in the nickel wires only. (4) From above results, thermal resistance of silver soldering layer seems to be same as that of the adhesive layers. The buffer layer needs more value of the thermal resistance in order to apply to the MHD generation channel. Value of the thermal resistance is easily satisfied by changing of material of the buffer body, increase of thickness of the buffer layer and etc. Then this wall appears to be useful to an MHD generation channel wall. (author)

  16. The effect of silicon crystallographic orientation on the formation of silicon nanoclusters during anodic electrochemical etching

    International Nuclear Information System (INIS)

    Timokhov, D. F.; Timokhov, F. P.

    2009-01-01

    Possible ways for increasing the photoluminescence quantum yield of porous silicon layers have been investigated. The effect of the anodization parameters on the photoluminescence properties for porous silicon layers formed on silicon substrates with different crystallographic orientations was studied. The average diameters for silicon nanoclusters are calculated from the photoluminescence spectra of porous silicon. The influence of the substrate crystallographic orientation on the photoluminescence quantum yield of porous silicon is revealed. A model explaining the effect of the substrate orientation on the photoluminescence properties for the porous silicon layers formed by anode electrochemical etching is proposed.

  17. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Naderi, N., E-mail: naderi.phd@gmail.com [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Hashim, M.R. [Nano-Optoelectronics Research Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2013-03-05

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  18. Porous-shaped silicon carbide ultraviolet photodetectors on porous silicon substrates

    International Nuclear Information System (INIS)

    Naderi, N.; Hashim, M.R.

    2013-01-01

    Highlights: ► Porous-shaped silicon carbide thin film was deposited on porous silicon substrate. ► Thermal annealing was followed to enhance the physical properties of samples. ► Metal–semiconductor-metal ultraviolet detectors were fabricated on samples. ► The effect of annealing temperature on electrical performance of devices was studied. ► The efficiency of photodetectors was enhanced by annealing at elevated temperatures. -- Abstract: A metal–semiconductor-metal (MSM) ultraviolet photodetector was fabricated based on a porous-shaped structure of silicon carbide (SiC). For increasing the surface roughness of SiC and hence enhancing the light absorption effect in fabricated devices, porous silicon (PS) was chosen as a template; SiC was deposited on PS substrates via radio frequency magnetron sputtering. Therefore, the deposited layers followed the structural pattern of PS skeleton and formed a porous-shaped SiC layer on PS substrate. The structural properties of samples showed that the as-deposited SiC was amorphous. Thus, a post-deposition annealing process with elevated temperatures was required to convert its amorphous phase to crystalline phase. The morphology of the sputtered samples was examined via scanning electron and atomic force microscopies. The grain size and roughness of the deposited layers clearly increased upon an increase in the annealing temperature. The optical properties of sputtered SiC were enhanced due to applying high temperatures. The most intense photoluminescence peak was observed for the sample with 1200 °C of annealing temperature. For the metallization of the SiC substrates to fabricate MSM photodetectors, two interdigitated Schottky contacts of Ni with four fingers for each electrode were deposited onto all the porous substrates. The optoelectronic characteristics of MSM UV photodetectors with porous-shaped SiC substrates were studied in the dark and under UV illumination. The electrical characteristics of fabricated

  19. Synthesis and thermal conductivity of type II silicon clathrates

    Science.gov (United States)

    Beekman, M.; Nolas, G. S.

    2006-08-01

    We have synthesized and characterized polycrystalline Na 1Si 136 and Na 8Si 136, compounds possessing the type II clathrate hydrate crystal structure. Resistivity measurements from 10 to 300 K indicate very large resistivities in this temperature range, with activated temperature dependences indicative of relatively large band gap semiconductors. The thermal conductivity is very low; two orders-of-magnitude lower than that of diamond-structure silicon at room temperature. The thermal conductivity of Na 8Si 136 displays a temperature dependence that is atypical of crystalline solids and more indicative of amorphous materials. This work is part of a continuing effort to explore the many different compositions and structure types of clathrates, a class of materials that continues to be of interest for scientific and technological applications.

  20. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    Science.gov (United States)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  1. ANSYS program and re-validation of the thermal analysis of the Cornell silicon crystal

    International Nuclear Information System (INIS)

    Khounsary, A.; Kuzay, T.

    1992-01-01

    Thermal analysis of the Cornell three-channel silicon crystal is carried out using the ANSYS finite element program. Results are in general agreement with those previously obtained using the Transient Heat Transfer, version B (THTB) program. The main thrust of the present study has been to (a) explore the thermal analysis potentials of the ANSYS program in solving thermal hydraulic problems in the APS beamline design, (b) compare the ANSYS results with those obtained by THTB for a specific test crystal, and (c) obtain some cost benchmarks for the ANSYS program. On the basis of a limited number of test runs for the silicon crystal problem, conclusions can be drawn that (a) except for conduction problems with simple boundary conditions the utility of ANSYS for solving a variety of three-dimensional thermal hydraulic problems is at best limited, (b) in comparison with THTB program, ANSYS requires a more detailed modeling (with increasing computation time) for comparably accurate results, and (c) no firm statement regarding the cost factor can be made at this time although the ANSYS program appears to be more expensive than any other code we have used so far

  2. Electrically active, doped monocrystalline silicon nanoparticles produced by hot wire thermal catalytic pyrolysis

    CSIR Research Space (South Africa)

    Scriba, MR

    2011-05-01

    Full Text Available Doped silicon nanoparticles have successfully been produced by hot wire thermal catalytic pyrolysis at 40 mbar and a filament temperature of 1800 °C, using a mixture of silane and diborane or phosphine. All particles are monocrystalline with shapes...

  3. Thermal vibration of a rectangular single-layered graphene sheet with quantum effects

    International Nuclear Information System (INIS)

    Wang, Lifeng; Hu, Haiyan

    2014-01-01

    The thermal vibration of a rectangular single-layered graphene sheet is investigated by using a rectangular nonlocal elastic plate model with quantum effects taken into account when the law of energy equipartition is unreliable. The relation between the temperature and the Root of Mean Squared (RMS) amplitude of vibration at any point of the rectangular single-layered graphene sheet in simply supported case is derived first from the rectangular nonlocal elastic plate model with the strain gradient of the second order taken into consideration so as to characterize the effect of microstructure of the graphene sheet. Then, the RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet simply supported on an elastic foundation is derived. The study shows that the RMS amplitude of the rectangular single-layered graphene sheet predicted from the quantum theory is lower than that predicted from the law of energy equipartition. The maximal relative difference of RMS amplitude of thermal vibration appears at the sheet corners. The microstructure of the graphene sheet has a little effect on the thermal vibrations of lower modes, but exhibits an obvious effect on the thermal vibrations of higher modes. The quantum effect is more important for the thermal vibration of higher modes in the case of smaller sides and lower temperature. The relative difference of maximal RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet decreases monotonically with an increase of temperature. The absolute difference of maximal RMS amplitude of thermal vibration of a rectangular single-layered graphene sheet increases slowly with the rising of Winkler foundation modulus.

  4. Photovoltaic characteristics of porous silicon /(n+ - p) silicon solar cells

    International Nuclear Information System (INIS)

    Dzhafarov, T.D.; Aslanov, S.S.; Ragimov, S.H.; Sadigov, M.S.; Nabiyeva, A.F.; Yuksel, Aydin S.

    2012-01-01

    Full text : The purpose of this work is to improve the photovoltaic parameters of the screen-printed silicon solar cells by formation the nano-porous silicon film on the frontal surface of the cell. The photovoltaic characteristics of two type silicon solar cells with and without porous silicon layer were measured and compared. A remarkable increment of short-circuit current density and the efficiency by 48 percent and 20 percent, respectively, have been achieved for PS/(n + - pSi) solar cell comparing to (n + - p)Si solar cell without PS layer

  5. Thermal and thermo-mechanical behavior of butyl based rubber exposed to silicon oil at elevated temperature

    International Nuclear Information System (INIS)

    Ali, S.; Ramzan, S.; Raza, R.; Ahmed, F.; Hussain, R.; Ullah, S.; Ali, S.

    2013-01-01

    Silica reinforced rubbers are used as chemical resistant seals at high temperature. In this study the effect of alkali and silicon oil on the thermal and thermo-mechanical properties of the silica reinforced butyl rubber exposed as an interface between two liquid media at elevated temperature is investigated. Rubber bladder containing alkaline solution was immersed in silicon oil at 195+-5 degree C for multiple cycles and loss in its thermal, thermo-mechanical and mechanical properties were studied by TGA, DMA and Tinius Olsen Testing Machine supported by FTIR and Optical microscopy. It was observed that the thermal and thermo-mechanical properties of butyl rubber were negatively affected due to leaching out of silica filler embedded in an organic matrix at elevated temperature. The thermal stability of exposed rubber was decreased around 200 degree C and the loss of storage modulus was observed up to 99.5% at -59 degree C. (author)

  6. Effect of fabrication parameters on morphological and optical properties of highly doped p-porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Maryam, E-mail: mar.zare@gmail.com [Young Researchers Club, Khomeinishahr Branch, Islamic Azad University, Khomeinishahr (Iran, Islamic Republic of); Shokrollahi, Abbas [Young Researchers Club, Khomeinishahr Branch, Islamic Azad University, Khomeinishahr (Iran, Islamic Republic of); Seraji, Faramarz E. [Optical Communication Group, Iran Telecom Research Center, Tehran (Iran, Islamic Republic of)

    2011-09-01

    Porous silicon (PS) layers were fabricated by anodization of low resistive (highly doped) p-type silicon in HF/ethanol solution, by varying current density, etching time and HF concentration. Atomic force microscopy (AFM) and field emission scanning electron microscope (FESEM) analyses were used to investigate the physical properties and reflection spectrum was used to investigate the optical behavior of PS layers in different fabrication conditions. Vertically aligned mesoporous morphology is observed in fabricated films and with HF concentration higher than 20%. The dependence of porosity, layer thickness and rms roughness of the PS layer on current density, etching time and composition of electrolyte is also observed in obtained results. Correlation between reflectivity and fabrication parameters was also explored. Thermal oxidation was performed on some mesoporous layers that resulted in changes of surface roughness, mean height and reflectivity of the layers.

  7. Electrical Double Layer-Induced Ion Surface Accumulation for Ultrasensitive Refractive Index Sensing with Nanostructured Porous Silicon Interferometers.

    Science.gov (United States)

    Mariani, Stefano; Strambini, Lucanos Marsilio; Barillaro, Giuseppe

    2018-03-23

    Herein, we provide the first experimental evidence on the use of electrical double layer (EDL)-induced accumulation of charged ions (using both Na + and K + ions in water as the model) onto a negatively charged nanostructured surface (e.g., thermally growth SiO 2 )-Ion Surface Accumulation, ISA-as a means of improving performance of nanostructured porous silicon (PSi) interferometers for optical refractometric applications. Nanostructured PSi interferometers are very promising optical platforms for refractive index sensing due to PSi huge specific surface (hundreds of m 2 per gram) and low preparation cost (less than $0.01 per 8 in. silicon wafer), though they have shown poor resolution ( R) and detection limit (DL) (on the order of 10 -4 -10 -5 RIU) compared to other plasmonic and photonic platforms ( R and DL on the order of 10 -7 -10 -8 RIU). This can be ascribed to both low sensitivity and high noise floor of PSi interferometers when bulk refractive index variation of the solution infiltrating the nanopores either approaches or is below 10 -4 RIU. Electrical double layer-induced ion surface accumulation (EDL-ISA) on oxidized PSi interferometers allows the interferometer output signal (spectral interferogram) to be impressively amplified at bulk refractive index variation below 10 -4 RIU, increasing, in turn, sensitivity up to 2 orders of magnitude and allowing reliable measurement of refractive index variations to be carried out with both DL and R of 10 -7 RIU. This represents a 250-fold-improvement (at least) with respect to the state-of-the-art literature on PSi refractometers and pushes PSi interferometer performance to that of state-of-the-art ultrasensitive photonics/plasmonics refractive index platforms.

  8. On the intrinsic moisture permeation rate of remote microwave plasma-deposited silicon nitride layers

    NARCIS (Netherlands)

    van Assche, F. J. H.; Unnikrishnan, S.; Michels, J. J.; van Mol, A. M. B.; van de Weijer, P.; M. C. M. van de Sanden,; Creatore, M.

    2014-01-01

    We report on a low substrate temperature (110 °C) remote microwave plasma-enhanced chemical vapor deposition (PECVD) process of silicon nitride barrier layers against moisture permeation for organic light emitting diodes (OLEDs) and other moisture sensitive devices such as organic

  9. Optimization of Recombination Layer in the Tunnel Junction of Amorphous Silicon Thin-Film Tandem Solar Cells

    Directory of Open Access Journals (Sweden)

    Yang-Shin Lin

    2011-01-01

    Full Text Available The amorphous silicon/amorphous silicon (a-Si/a-Si tandem solar cells have attracted much attention in recent years, due to the high efficiency and low manufacturing cost compared to the single-junction a-Si solar cells. In this paper, the tandem cells are fabricated by high-frequency plasma-enhanced chemical vapor deposition (HF-PECVD at 27.1 MHz. The effects of the recombination layer and the i-layer thickness matching on the cell performance have been investigated. The results show that the tandem cell with a p+ recombination layer and i2/i1 thickness ratio of 6 exhibits a maximum efficiency of 9.0% with the open-circuit voltage (Voc of 1.59 V, short-circuit current density (Jsc of 7.96 mA/cm2, and a fill factor (FF of 0.70. After light-soaking test, our a-Si/a-Si tandem cell with p+ recombination layer shows the excellent stability and the stabilized efficiency of 8.7%.

  10. PECVD-ONO: A New Deposited Firing Stable Rear Surface Passivation Layer System for Crystalline Silicon Solar Cells

    Directory of Open Access Journals (Sweden)

    M. Hofmann

    2008-01-01

    Full Text Available A novel plasma-enhanced chemical vapour deposited (PECVD stack layer system consisting of a-SiOx:H, a-SiNx:H, and a-SiOx:H is presented for silicon solar cell rear side passivation. Surface recombination velocities below 60 cm/s (after firing and below 30 cm/s (after forming gas anneal were achieved. Solar cell precursors without front and rear metallisation showed implied open-circuit voltages Voc values extracted from quasi-steady-state photoconductance (QSSPC measurements above 680 mV. Fully finished solar cells with up to 20.0% energy conversion efficiency are presented. A fit of the cell's internal quantum efficiency using software tool PC1D and a comparison to a full-area aluminium-back surface field (Al-BSF and thermal SiO2 is shown. PECVD-ONO was found to be clearly superior to Al-BSF. A separation of recombination at the metallised and the passivated area at the solar cell's rear is presented using the equations of Fischer and Kray. Nuclear reaction analysis (NRA has been used to evaluate the hydrogen depth profile of the passivation layer system at different stages.

  11. Importance of layer thermal conductivity on the sharpness of patterns produced by laser interference

    Energy Technology Data Exchange (ETDEWEB)

    Peláez, R.J., E-mail: rpelaez@io.cfmac.csic.es [Laser Processing Group, Instituto de Optica, CSIC, Serrano 121, 28006 Madrid (Spain); Afonso, C.N. [Laser Processing Group, Instituto de Optica, CSIC, Serrano 121, 28006 Madrid (Spain); Škereň, M. [Faculty of Nuclear Sciences and Physical Engineering, Czech Technical University in Prague, Brehova 7, 115 19 Prague 1 (Czech Republic); Bulíř, J. [Institute of Physics, ASCR, v.v.i., Na Slovance 2, Prague (Czech Republic)

    2016-06-30

    Highlights: • Temperature profile matches laser intensity profile in poor thermally conducting layers. • Patterns produced in poor thermally conducting layers have sharp interfaces. • Lateral heat flow smears the temperature profile in thermally conducting layers. • Both liquid and solid state dewetting occurs upon patterning thermally conducting layers. • The thermal conductivity of layers limits the minimum period achievable. - Abstract: In this work, we compare patterns produced in Ag layers having similar thickness in the range 8.3–10.8 nm but having different initial nanostructure, i.e. behaving either as discontinuous or continuous layers and thus having very different thermal conductivities. The patterns are produced by exposing a phase mask to an excimer laser operating at 193 nm and using a projection optics that leads to similar fringed patterns with periods in the range 6.3–6.7 μm. The layer breaks up into isolated NPs due to laser induced melting at the regions around the intensity maxima sites. The resulting fringes have sharp interfaces in the case of discontinuous layers while a variety of regions across the pattern with no sharp interfaces are produced in the case of continuous layers. The results show that while the temperature distribution across the pattern matches almost perfectly the laser beam intensity profile for the former case, it becomes smeared due to lateral heat flow for the latter case. These results provide evidences for significant heating at the intensity minima sites that lead to solid-state dewetting and will eventually limit the minimum period achievable in the case of continuous metal layers or thermally conducting layers.

  12. Simulation of a silicon neutron detector coated with TiB2 absorber

    International Nuclear Information System (INIS)

    Krapohl, D; Nilsson, H-E; Petersson, S; Slavicek, T; Thungström, G; Pospisil, S

    2012-01-01

    Neutron radiation cannot be directly detected in semiconductor detectors and therefore needs converter layers. Planar clean-room processing can be used in the manufacturing process of semiconductor detectors with metal layers to produce a cost-effective device. We used the Geant4 Monte-Carlo toolkit to simulate the performance of a semiconductor neutron detector. A silicon photo-diode was coated with vapour deposited titanium, aluminium thin films and a titaniumdiboride (TiB 2 ) neutron absorber layer. The neutron capture reaction 10B(n, alpha)7Li is taken advantage of to create charged particles that can be counted. Boron-10 has a natural abundance of about SI 19.8%. The emitted alpha particles are absorbed in the underlying silicon detector. We varied the thickness of the converter layer and ran the simulation with a thermal neutron source in order to find the best efficiency of the TiB 2 converter layer and optimize the clean room process.

  13. Compact polarization beam splitter for silicon photonic integrated circuits with a 340-nm-thick silicon core layer.

    Science.gov (United States)

    Li, Chenlei; Dai, Daoxin

    2017-11-01

    A polarization beam splitter (PBS) is proposed and realized for silicon photonic integrated circuits with a 340-nm-thick silicon core layer by introducing an asymmetric directional coupler (ADC), which consists of a silicon-on-insulator (SOI) nanowire and a subwavelength grating (SWG) waveguide. The SWG is introduced to provide an optical waveguide which has much higher birefringence than a regular 340-nm-thick SOI nanowire, so that it is possible to make the phase-matching condition satisfied for TE polarization only in the present design when the waveguide dimensions are optimized. Meanwhile, there is a significant phase mismatching for TM polarization automatically. In this way, the present ADC enables strong polarization selectivity to realize a PBS that separates TE and TM polarizations to the cross and through ports, respectively. The realized PBS has a length of ∼2  μm for the coupling region. For the fabricated PBS, the extinction ratio (ER) is 15-30 dB and the excess loss is 0.2-2.6 dB for TE polarization while the ER is 20-27 dB and the excess loss is 0.3-2.8 dB for TM polarization when operating in the wavelength range of 1520-1580 nm.

  14. Radiation resistant passivation of silicon solar cells

    International Nuclear Information System (INIS)

    Swanson, R.M.; Gan, J.Y.; Gruenbaum, P.E.

    1991-01-01

    This patent describes a silicon solar cell having improved stability when exposed to concentrated solar radiation. It comprises a body of silicon material having a major surface for receiving radiation, a plurality of p and n conductivity regions in the body for collecting electrons and holes created by impinging radiation, and a passivation layer on the major surface including a first layer of silicon oxide in contact with the body and a polycrystalline silicon layer on the first layer of silicon oxide

  15. Radiative thermal emission from silicon nanoparticles: a reversed story from quantum to classical theory

    International Nuclear Information System (INIS)

    Roura, P.; Costa, J.

    2002-01-01

    Among the rush of papers published after the discovery of visible luminescence in porous silicon, a number of them claimed that an extraordinary behaviour had been found. However, after five years of struggling with increasingly sophisticated but not completely successful models, it was finally demonstrated that it was simply thermal radiation. Here, we calculate thermal radiation emitted by silicon nanoparticles when irradiated in vacuum with a laser beam. If one interprets this radiation as being photoluminescence, its properties appear extraordinary: non-exponential excitation and decay transients and a supralinear dependence on laser power. Within the (quantum) theory of photoluminescence, this behaviour can be interpreted as arising from a non-usual excitation mechanism known as multiphoton excitation. Although this erroneous interpretation has, to some extent, a predictive power, it is unable to give a sound explanation for the quenching of radiation when particles are not irradiated in vacuum but inside a gas. The real story of this error is presented both to achieve a deeper understanding of the radiative thermal emission of nanoparticles and as a matter of reflection on scientific activity. (author)

  16. Performance Enhancement of Silicon Alloy-Based Anodes Using Thermally Treated Poly(amide imide) as a Polymer Binder for High Performance Lithium-Ion Batteries.

    Science.gov (United States)

    Yang, Hwi Soo; Kim, Sang-Hyung; Kannan, Aravindaraj G; Kim, Seon Kyung; Park, Cheolho; Kim, Dong-Won

    2016-04-05

    The development of silicon-based anodes with high capacity and good cycling stability for next-generation lithium-ion batteries is a very challenging task due to the large volume changes in the electrodes during repeated cycling, which results in capacity fading. In this work, we synthesized silicon alloy as an active anode material, which was composed of silicon nanoparticles embedded in Cu-Al-Fe matrix phases. Poly(amide imide)s, (PAI)s, with different thermal treatments were used as polymer binders in the silicon alloy-based electrodes. A systematic study demonstrated that the thermal treatment of the silicon alloy electrodes at high temperature made the electrodes mechanically strong and remarkably enhanced the cycling stability compared to electrodes without thermal treatment. The silicon alloy electrode thermally treated at 400 °C initially delivered a discharge capacity of 1084 mAh g(-1) with good capacity retention and high Coulombic efficiency. This superior cycling performance was attributed to the strong adhesion of the PAI binder resulting from enhanced secondary interactions, which maintained good electrical contacts between the active materials, electronic conductors, and current collector during cycling. These findings are supported by results from X-ray photoelectron spectroscopy, scanning electron microscopy, and a surface and interfacial cutting analysis system.

  17. High-quality GaN nanowires grown on Si and porous silicon by thermal evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Shekari, L., E-mail: lsg09_phy089@student.usm.my [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Ramizy, A.; Omar, K.; Hassan, H. Abu; Hassan, Z. [Nano-Optoelectronics Research and Technology Laboratory, School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer A new kind of substrate (porous silicon) was used. Black-Right-Pointing-Pointer Also this research introduces an easy and safe method to grow high quality GaN NWs. Black-Right-Pointing-Pointer This is a new growth process to decrease the cost, complexity of growth of GaN NWs. Black-Right-Pointing-Pointer It is a controllable method to synthesize GaN NWs by thermal evaporation. - Abstract: Nanowires (NWs) of GaN thin films were prepared on as-grown Si (1 1 1) and porous silicon (PS) substrates using thermal evaporation method. The film growth produced high-quality wurtzite GaN NWs. The size, morphology, and nanostructures of the crystals were investigated through scanning electron microscopy, high-resolution X-ray diffraction and photoluminescence spectroscopy. The NWs grown on porous silicon were thinner, longer and denser compared with those on as-grown Si. The energy band gap of the NWs grown on PS was larger than that of NWs on as-grown Si. This is due to the greater quantum confinement effects of the crystalline structure of the NWs grown on PS.

  18. Distribution of electrode elements near contacts and junction layers in amorphous silicon solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Imura, T; Hiraki, A; Okamoto, H

    1982-01-01

    Auger electron spectroscopy with the ion sputter-etching technique and secondary ion mass spectroscopy have been utilized to investigate the depth distribution of Sn and In electrode elements in amorphous silicon layers of the photovoltaic device. The comparison of the depth profiles with the cell performances has indicated that the presence of the reduced state of In in both the p and i-layers affects the solar cell performance, but that of Sn does not. It was also shown that layered structure of In-Sn oxide (ITO)/SnO2 effectively prevents the diffusion of In and achieves high cell performances, having the thickness of the SnO2 layer about 200 A. 8 references.

  19. Thermal shock behaviour of mullite-bonded porous silicon carbide ceramics with yttria addition

    International Nuclear Information System (INIS)

    Ding Shuqiang; Zeng Yuping; Jiang Dongliang

    2007-01-01

    Thermal shock resistance of mullite (3Al 2 O 3 · 2SiO 2 )-bonded porous silicon carbide (SiC) ceramics with 3.0 wt% yttria (Y 2 O 3 ) addition was evaluated by a water-quenching technique. The thermal shock damage was investigated as a function of the quenching temperature, quenching cycles and specimen thickness. The residual flexural strength of the quenched specimens decreases with increasing quenching temperature and specimen thickness due to the larger thermal stress caused by thermal shock. However, quenching cycles at the temperature difference of 1200 deg. C have no effect on the residual strength since the same thermal stress was produced in repeated thermal shock processes. The good thermal shock damage resistance of the specimens is contributed mainly by the low strength and moderate elastic modulus. Moreover, the pores prevent the continuous propagation of cracks and alleviate further damage

  20. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  1. High performance SONOS flash memory with in-situ silicon nanocrystals embedded in silicon nitride charge trapping layer

    Science.gov (United States)

    Lim, Jae-Gab; Yang, Seung-Dong; Yun, Ho-Jin; Jung, Jun-Kyo; Park, Jung-Hyun; Lim, Chan; Cho, Gyu-seok; Park, Seong-gye; Huh, Chul; Lee, Hi-Deok; Lee, Ga-Won

    2018-02-01

    In this paper, SONOS-type flash memory device with highly improved charge-trapping efficiency is suggested by using silicon nanocrystals (Si-NCs) embedded in silicon nitride (SiNX) charge trapping layer. The Si-NCs were in-situ grown by PECVD without additional post annealing process. The fabricated device shows high program/erase speed and retention property which is suitable for multi-level cell (MLC) application. Excellent performance and reliability for MLC are demonstrated with large memory window of ∼8.5 V and superior retention characteristics of 7% charge loss for 10 years. High resolution transmission electron microscopy image confirms the Si-NC formation and the size is around 1-2 nm which can be verified again in X-ray photoelectron spectroscopy (XPS) where pure Si bonds increase. Besides, XPS analysis implies that more nitrogen atoms make stable bonds at the regular lattice point. Photoluminescence spectra results also illustrate that Si-NCs formation in SiNx is an effective method to form deep trap states.

  2. Low-stress silicon nitride layers for MEMS applications

    Science.gov (United States)

    Iliescu, Ciprian; Wei, Jiashen; Chen, Bangtao; Ong, Poh Lam; Tay, Francis E. H.

    2006-12-01

    The paper presents two deposition methods for generation of SiN x layers with "zero" residual stress in PECVD reactors: mixed frequency and high power in high frequency mode (13.56 MHz). Traditionally, mix frequency mode is commonly used to produce low stress SiN x layers, which alternatively applies the HF and LF mode. However, due to the low deposition rate of LF mode, the combined deposition rate of mix frequency is quite small in order to produce homogenous SiN x layers. In the second method, a high power which was up to 600 W has been used, may also produce low residual stress (0-20 MPa), with higher deposition rate (250 to 350 nm/min). The higher power not only leads to higher dissociation rates of gases which results in higher deposition rates, but also brings higher N bonding in the SiN x films and higher compressive stress from higher volume expansion of SiN x films, which compensates the tensile stress and produces low residual stress. In addition, the paper investigates the influence of other important parameters which have great impact to the residual stress and deposition rates, such as reactant gases flow rate and pressure. By using the final optimized recipe, masking layer for anisotropic wet etching in KOH and silicon nitride cantilever have been successfully fabricated based on the low stress SiN x layers. Moreover, nanoporous membrane with 400nm pores has also been fabricated and tested for cell culture. By cultivating the mouse D1 mesenchymal stem cells on top of the nanoporous membrane, the results showed that mouse D1 mesenchymal stem cells were able to grow well. This shows that the nanoporous membrane can be used as the platform for interfacing with living cells to become biocapsules for biomolecular separation.

  3. Thin-Film layers with Interfaces that reduce RF Losses on High-Resistivity Silicon Substrates

    NARCIS (Netherlands)

    Evseev, S. B.; Milosavljevic, S.; Nanver, L. K.

    2017-01-01

    Radio-Frequency (RF) losses on High-Resistivity Silicon (HRS) substrates were studied for several different surface passivation layers comprising thin-films of SiC, SiN and SiO2 In many combinations, losses from conductive surface channels were reduced and increasing the number of interfaces between

  4. Thermal Performance of Cryogenic Multilayer Insulation at Various Layer Spacings

    Science.gov (United States)

    Johnson, Wesley Louis

    2010-01-01

    Multilayer insulation (MLI) has been shown to be the best performing cryogenic insulation system at high vacuum (less that 10 (exp 3) torr), and is widely used on spaceflight vehicles. Over the past 50 years, many investigations into MLI have yielded a general understanding of the many variables that are associated with MLI. MLI has been shown to be a function of variables such as warm boundary temperature, the number of reflector layers, and the spacer material in between reflectors, the interstitial gas pressure and the interstitial gas. Since the conduction between reflectors increases with the thickness of the spacer material, yet the radiation heat transfer is inversely proportional to the number of layers, it stands to reason that the thermal performance of MLI is a function of the number of layers per thickness, or layer density. Empirical equations that were derived based on some of the early tests showed that the conduction term was proportional to the layer density to a power. This power depended on the material combination and was determined by empirical test data. Many authors have graphically shown such optimal layer density, but none have provided any data at such low densities, or any method of determining this density. Keller, Cunnington, and Glassford showed MLI thermal performance as a function of layer density of high layer densities, but they didn't show a minimal layer density or any data below the supposed optimal layer density. However, it was recently discovered that by manipulating the derived empirical equations and taking a derivative with respect to layer density yields a solution for on optimal layer density. Various manufacturers have begun manufacturing MLI at densities below the optimal density. They began this based on the theory that increasing the distance between layers lowered the conductive heat transfer and they had no limitations on volume. By modifying the circumference of these blankets, the layer density can easily be

  5. Radiation hardened high efficiency silicon space solar cell

    International Nuclear Information System (INIS)

    Garboushian, V.; Yoon, S.; Turner, J.

    1993-01-01

    A silicon solar cell with AMO 19% Beginning of Life (BOL) efficiency is reported. The cell has demonstrated equal or better radiation resistance when compared to conventional silicon space solar cells. Conventional silicon space solar cell performance is generally ∼ 14% at BOL. The Radiation Hardened High Efficiency Silicon (RHHES) cell is thinned for high specific power (watts/kilogram). The RHHES space cell provides compatibility with automatic surface mounting technology. The cells can be easily combined to provide desired power levels and voltages. The RHHES space cell is more resistant to mechanical damage due to micrometeorites. Micro-meteorites which impinge upon conventional cells can crack the cell which, in turn, may cause string failure. The RHHES, operating in the same environment, can continue to function with a similar crack. The RHHES cell allows for very efficient thermal management which is essential for space cells generating higher specific power levels. The cell eliminates the need for electrical insulation layers which would otherwise increase the thermal resistance for conventional space panels. The RHHES cell can be applied to a space concentrator panel system without abandoning any of the attributes discussed. The power handling capability of the RHHES cell is approximately five times more than conventional space concentrator solar cells

  6. Fabrication of nanopores in multi-layered silicon-based membranes using focused electron beam induced etching with XeF_2 gas

    International Nuclear Information System (INIS)

    Liebes-Peer, Yael; Bandalo, Vedran; Sökmen, Ünsal; Tornow, Marc; Ashkenasy, Nurit

    2016-01-01

    The emergent technology of using nanopores for stochastic sensing of biomolecules introduces a demand for the development of simple fabrication methodologies of nanopores in solid state membranes. This process becomes particularly challenging when membranes of composite layer architecture are involved. To overcome this challenge we have employed a focused electron beam induced chemical etching process. We present here the fabrication of nanopores in silicon-on-insulator based membranes in a single step process. In this process, chemical etching of the membrane materials by XeF_2 gas is locally accelerated by an electron beam, resulting in local etching, with a top membrane oxide layer preventing delocalized etching of the silicon underneath. Nanopores with a funnel or conical, 3-dimensional (3D) shape can be fabricated, depending on the duration of exposure to XeF_2, and their diameter is dominated by the time of exposure to the electron beam. The demonstrated ability to form high-aspect ratio nanopores in comparably thick, multi-layered silicon based membranes allows for an easy integration into current silicon process technology and hence is attractive for implementation in biosensing lab-on-chip fabrication technologies. (author)

  7. Porous silicon technology for integrated microsystems

    Science.gov (United States)

    Wallner, Jin Zheng

    With the development of micro systems, there is an increasing demand for integrable porous materials. In addition to those conventional applications, such as filtration, wicking, and insulating, many new micro devices, including micro reactors, sensors, actuators, and optical components, can benefit from porous materials. Conventional porous materials, such as ceramics and polymers, however, cannot meet the challenges posed by micro systems, due to their incompatibility with standard micro-fabrication processes. In an effort to produce porous materials that can be used in micro systems, porous silicon (PS) generated by anodization of single crystalline silicon has been investigated. In this work, the PS formation process has been extensively studied and characterized as a function of substrate type, crystal orientation, doping concentration, current density and surfactant concentration and type. Anodization conditions have been optimized for producing very thick porous silicon layers with uniform pore size, and for obtaining ideal pore morphologies. Three different types of porous silicon materials: meso porous silicon, macro porous silicon with straight pores, and macro porous silicon with tortuous pores, have been successfully produced. Regular pore arrays with controllable pore size in the range of 2mum to 6mum have been demonstrated as well. Localized PS formation has been achieved by using oxide/nitride/polysilicon stack as masking materials, which can withstand anodization in hydrofluoric acid up to twenty hours. A special etching cell with electrolytic liquid backside contact along with two process flows has been developed to enable the fabrication of thick macro porous silicon membranes with though wafer pores. For device assembly, Si-Au and In-Au bonding technologies have been developed. Very low bonding temperature (˜200°C) and thick/soft bonding layers (˜6mum) have been achieved by In-Au bonding technology, which is able to compensate the potentially

  8. Efficiency Enhancement of Nanotextured Black Silicon Solar Cells Using Al2O3/TiO2 Dual-Layer Passivation Stack Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Wei-Cheng; Tsai, Meng-Chen; Yang, Jason; Hsu, Chuck; Chen, Miin-Jang

    2015-05-20

    In this study, efficient nanotextured black silicon (NBSi) solar cells composed of silicon nanowire arrays and an Al2O3/TiO2 dual-layer passivation stack on the n(+) emitter were fabricated. The highly conformal Al2O3 and TiO2 surface passivation layers were deposited on the high-aspect-ratio surface of the NBSi wafers using atomic layer deposition. Instead of the single Al2O3 passivation layer with a negative oxide charge density, the Al2O3/TiO2 dual-layer passivation stack treated with forming gas annealing provides a high positive oxide charge density and a low interfacial state density, which are essential for the effective field-effect and chemical passivation of the n(+) emitter. In addition, the Al2O3/TiO2 dual-layer passivation stack suppresses the total reflectance over a broad range of wavelengths (400-1000 nm). Therefore, with the Al2O3/TiO2 dual-layer passivation stack, the short-circuit current density and efficiency of the NBSi solar cell were increased by 11% and 20%, respectively. In conclusion, a high efficiency of 18.5% was achieved with the NBSi solar cells by using the n(+)-emitter/p-base structure passivated with the Al2O3/TiO2 stack.

  9. Influence of a-Si:H deposition power on surface passivation property and thermal stability of a-Si:H/SiNx:H stacks

    Directory of Open Access Journals (Sweden)

    Hua Li

    2012-06-01

    Full Text Available The effectiveness of hydrogenated amorphous silicon (a-Si:H layers for passivating crystalline silicon surfaces has been well documented in the literature for well over a decade. One limitation of such layers however has arisen from their inability to withstand temperatures much above their deposition temperature without significant degradation. This limitation is of importance particularly with multicrystalline silicon materials where temperatures of at least 400°C are needed for effective hydrogenation of the crystallographic defects such as grain boundaries. To address this limitation, in this work the surface passivation quality and thermal stability of a stack passivating system, combining a layer of intrinsic a-Si:H and a capping layer of silicon nitride (SiNx:H, on p-type crystalline silicon wafers is studied and optimized. In particular the sensitivity of different microwave (MW power levels for underlying a-Si:H layer deposition are examined. Both effective minority carrier lifetime (ζeff measurement and Fourier transform infrared (FTIR spectrometry were employed to study the bonding configurations, passivating quality and thermal stability of the a-Si:H/SiNx:H stacks. It is established that the higher MW power could result in increased as-deposited ζeff and implied Voc (iVoc values, indicating likely improved surface passivation quality, but that this combination degrades more quickly when exposed to prolonged thermal treatments. The more dihydride-rich film composition corresponding to the higher MW power appears to be beneficial for bond restructuring by hydrogen interchanges when exposed to short term annealing, however it also appears more susceptible to providing channels for hydrogen out-effusion which is the likely cause of the poorer thermal stability for prolonged high temperature exposure compared with stacks with underlying a-Si:H deposited with lower MW power.

  10. Ultrafast triggered transient energy storage by atomic layer deposition into porous silicon for integrated transient electronics

    Science.gov (United States)

    Douglas, Anna; Muralidharan, Nitin; Carter, Rachel; Share, Keith; Pint, Cary L.

    2016-03-01

    Here we demonstrate the first on-chip silicon-integrated rechargeable transient power source based on atomic layer deposition (ALD) coating of vanadium oxide (VOx) into porous silicon. A stable specific capacitance above 20 F g-1 is achieved until the device is triggered with alkaline solutions. Due to the rational design of the active VOx coating enabled by ALD, transience occurs through a rapid disabling step that occurs within seconds, followed by full dissolution of all active materials within 30 minutes of the initial trigger. This work demonstrates how engineered materials for energy storage can provide a basis for next-generation transient systems and highlights porous silicon as a versatile scaffold to integrate transient energy storage into transient electronics.Here we demonstrate the first on-chip silicon-integrated rechargeable transient power source based on atomic layer deposition (ALD) coating of vanadium oxide (VOx) into porous silicon. A stable specific capacitance above 20 F g-1 is achieved until the device is triggered with alkaline solutions. Due to the rational design of the active VOx coating enabled by ALD, transience occurs through a rapid disabling step that occurs within seconds, followed by full dissolution of all active materials within 30 minutes of the initial trigger. This work demonstrates how engineered materials for energy storage can provide a basis for next-generation transient systems and highlights porous silicon as a versatile scaffold to integrate transient energy storage into transient electronics. Electronic supplementary information (ESI) available: (i) Experimental details for ALD and material fabrication, ellipsometry film thickness, preparation of gel electrolyte and separator, details for electrochemical measurements, HRTEM image of VOx coated porous silicon, Raman spectroscopy for VOx as-deposited as well as annealed in air for 1 hour at 450 °C, SEM and transient behavior dissolution tests of uniformly coated VOx on

  11. Comparison of silicon pin diode detector fabrication processes using ion implantation and thermal doping

    International Nuclear Information System (INIS)

    Zhou, C.Z.; Warburton, W.K.

    1996-01-01

    Two processes for the fabrication of silicon p-i-n diode radiation detectors are described and compared. Both processes are compatible with conventional integrated-circuit fabrication techniques and yield very low leakage currents. Devices made from the process using boron thermal doping have about a factor of 2 lower leakage current than those using boron ion implantation. However, the boron thermal doping process requires additional process steps to remove boron skins. (orig.)

  12. Real-time monitoring of enzyme activity in a mesoporous silicon double layer

    Science.gov (United States)

    Orosco, Manuel M.; Pacholski, Claudia; Sailor, Michael J.

    2009-04-01

    The activity of certain proteolytic enzymes is often an indicator of disease states such as cancer, stroke and neurodegeneracy, so there is a need for rapid assays that can characterize the kinetics and substrate specificity of enzymatic reactions. Nanostructured membranes can efficiently separate biomolecules, but coupling a sensitive detection method to such a membrane remains difficult. Here, we demonstrate a single mesoporous nanoreactor that can isolate and quantify in real time the reaction products of proteases. The reactor consists of two layers of porous films electrochemically prepared from crystalline silicon. The upper layer, with large pore sizes (~100 nm in diameter), traps the protease and acts as the reactor. The lower layer, with smaller pore sizes (~6 nm), excludes the proteases and other large proteins and captures the reaction products. Infiltration of the digested fragments into the lower layer produces a measurable change in optical reflectivity, and this allows label-free quantification of enzyme kinetics in real time within a volume of ~5 nl.

  13. Study of the effect of neutron and electron irradiations on the low temperature thermal conductivity of germanium and silicon

    International Nuclear Information System (INIS)

    Vandevyver, M.

    1967-06-01

    The main results obtained from this work are the following: 1 Neutron irradiation (at 300 deg. K) produces lattice defects in germanium and silicon, and a corresponding very large lowering of the thermal conductivity is observed in the low temperature region (4-300 ). The results obtained have been explained with the help of the following hypotheses: for silicon a scattering of phonons by the stress fields produced by the defects; for germanium, a supplementary scattering of the electron phonon type. 2 Annealing treatments carried out on these materials above 373 deg. K restored the thermal conductivity over the whole temperature range of the measurements (4-300 deg. K); in the case of both germanium and silicon there were two steps in the annealing process. 3 A study of the thermal conductivity of germanium (initially P or N) after an electronic irradiation showed that the scattering of phonons could depend on the state of charge of the defects thus produced. (author) [fr

  14. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Directory of Open Access Journals (Sweden)

    Kae Dal Kwack

    2011-01-01

    Full Text Available A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light.

  15. Photo-EMF Sensitivity of Porous Silicon Thin Layer–Crystalline Silicon Heterojunction to Ammonia Adsorption

    Science.gov (United States)

    Vashpanov, Yuriy; Jung, Jae Il; Kwack, Kae Dal

    2011-01-01

    A new method of using photo-electromotive force in detecting gas and controlling sensitivity is proposed. Photo-electromotive force on the heterojunction between porous silicon thin layer and crystalline silicon wafer depends on the concentration of ammonia in the measurement chamber. A porous silicon thin layer was formed by electrochemical etching on p-type silicon wafer. A gas and light transparent electrical contact was manufactured to this porous layer. Photo-EMF sensitivity corresponding to ammonia concentration in the range from 10 ppm to 1,000 ppm can be maximized by controlling the intensity of illumination light. PMID:22319353

  16. The integration of InGaP LEDs with CMOS on 200 mm silicon wafers

    Science.gov (United States)

    Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen

    2017-02-01

    The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.

  17. InP membrane on silicon integration technology

    NARCIS (Netherlands)

    Smit, M.K.

    2013-01-01

    Integration of light sources in silicon photonics is usually done with an active InP-based layer stack on a silicon-based photonic circuit-layer. InP Membrane On Silicon (IMOS) technology integrates all functionality in a single InP-based layer.

  18. Investigation of silicon/silicon germanium multiple quantum well layers in silicon avalanche photodiodes

    International Nuclear Information System (INIS)

    Loudon, A.Y.

    2002-01-01

    Silicon single photon avalanche diodes (SPADs) are currently utilised in many single photon counting systems due to their high efficiency, fast response times, low voltage operation and potentially low cost. For fibre based applications however (at wavelengths 1.3 and 1.55μm) and eye-safe wavelength applications (>1.4μm), Si devices are not suitable due to their 1.1μm absorption edge and hence greatly reduced absorption above this wavelength. InGaAs/InP or Ge SPADs absorb at these longer wavelengths, but both require cryogenic cooling for low noise operation and III-V integration with conventional Si circuitry is difficult. Si/SiGe is currently attracting great interest for optoelectronic applications and attempts to combine Si avalanche photodiodes with Si/SiGe multiple quantum well absorbing layers have been successful. Here, an effort to utilise this material system has shown an improvement in photon counting efficiency above 1.1μm of more than 30 times compared to an all-Si control device. In addition to its longer wavelength response, this Si/SiGe device has room temperature operation, low cost fabrication and is compatible with conventional Si circuitry. (author)

  19. A thermal model for amorphous silicon photovoltaic integrated in ETFE cushion roofs

    International Nuclear Information System (INIS)

    Zhao, Bing; Chen, Wujun; Hu, Jianhui; Qiu, Zhenyu; Qu, Yegao; Ge, Binbin

    2015-01-01

    Highlights: • A thermal model is proposed to estimate temperature of a-Si PV integrated in ETFE cushion. • Nonlinear equation is solved by Runge–Kutta method integrated in a new program. • Temperature profiles varying with weather conditions are obtained and analyzed. • Numerical results are in good line with experimental results with coefficients of 0.821–0.985. • Reasons for temperature difference of 0.9–4.6 K are solar irradiance and varying parameters. - Abstract: Temperature characteristics of amorphous silicon photovoltaic (a-Si PV) integrated in building roofs (e.g. the ETFE cushions) are indispensible for evaluating the thermal performances of a-Si PV and buildings. To investigate the temperature characteristics and temperature value, field experiments and numerical modeling were performed and compared in this paper. An experimental mock-up composed of a-Si PV and a three-layer ETFE cushion structure was constructed and experiments were carried out under four typical weather conditions (winter sunny, winter cloudy, summer sunny and summer cloudy). The measured solar irradiance and air temperature were used as the real weather conditions for the thermal model. On the other side, a theoretical thermal model was developed based on energy balance equation which was expressed as that absorbed energy was equal to converted energy and energy loss. The corresponding differential equation of PV temperature varying with weather conditions was solved by the Runge–Kutta method. The comparisons between the experimental and numerical results were focusing on the temperature characteristics and temperature value. For the temperature characteristics, good agreement was obtained by correlation analysis with the coefficients of 0.821–0.985, which validated the feasibility of the thermal model. For the temperature value, the temperature difference between the experimental and numerical results was only 0.9–4.6 K and the reasons could be the dramatical

  20. Growth of High-Density Zinc Oxide Nanorods on Porous Silicon by Thermal Evaporation

    Directory of Open Access Journals (Sweden)

    Nurul Izni Rusli

    2012-12-01

    Full Text Available The formation of high-density zinc oxide (ZnO nanorods on porous silicon (PS substrates at growth temperatures of 600–1000 °C by a simple thermal evaporation of zinc (Zn powder in the presence of oxygen (O2 gas was systematically investigated. The high-density growth of ZnO nanorods with (0002 orientation over a large area was attributed to the rough surface of PS, which provides appropriate planes to promote deposition of Zn or ZnOx seeds as nucleation sites for the subsequent growth of ZnO nanorods. The geometrical morphologies of ZnO nanorods are determined by the ZnOx seed structures, i.e., cluster or layer structures. The flower-like hexagonal-faceted ZnO nanorods grown at 600 °C seem to be generated from the sparsely distributed ZnOx nanoclusters. Vertically aligned hexagonal-faceted ZnO nanorods grown at 800 °C may be inferred from the formation of dense arrays of ZnOx clusters. The formation of disordered ZnO nanorods formed at 1000 °C may due to the formation of a ZnOx seed layer. The growth mechanism involved has been described by a combination of self-catalyzed vapor-liquid-solid (VLS and vapor-solid (VS mechanism. The results suggest that for a more precise study on the growth of ZnO nanostructures involving the introduction of seeds, the initial seed structures must be taken into account given their significant effects.

  1. SiO2 on silicon: behavior under heavy ion irradiation

    International Nuclear Information System (INIS)

    Rotaru, C.

    2004-03-01

    Heavy ion irradiation was performed on a-SiO 2 layers deposited on Si. Damage of the surface was studied by means of Atomic Force Microscopy. Hillocks appear for an electronic stopping power higher than 16 keV/nm. The height of the hillocks decreases with the thickness of the oxide layer. Infrared Spectroscopy studies show that the damage threshold for a-SiO 2 is at an electronic stopping power of 2 keV/nm. Therefore it is probable that the origin of the hillocks comes from the silicon layer. This could be explain within the frame of thermal spike model. The theoretical thresholds are 8 keV/nm and 1.8 keV/nm for silicon and a-SiO 2 respectively. Chemical etching after irradiation gives a technical possibility to create nano-pits, whose size and shape can be controlled. Additionally, these structures allowed to determine the AFM tip radius. (author)

  2. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  3. Electrical properties improvement of multicrystalline silicon solar cells using a combination of porous silicon and vanadium oxide treatment

    International Nuclear Information System (INIS)

    Derbali, L.; Ezzaouia, H.

    2013-01-01

    In this paper, we will report the enhancement of the conversion efficiency of multicrystalline silicon solar cells after coating the front surface with a porous silicon layer treated with vanadium oxide. The incorporation of vanadium oxide into the porous silicon (PS) structure, followed by a thermal treatment under oxygen ambient, leads to an important decrease of the surface reflectivity, a significant enhancement of the effective minority carrier lifetime (τ eff ) and a significant enhancement of the photoluminescence (PL) of the PS structure. We Obtained a noticeable increase of (τ eff ) from 3.11 μs to 134.74 μs and the surface recombination velocity (S eff ) have decreased from 8441 cm s −1 to 195 cm s −1 . The reflectivity spectra of obtained films, performed in the 300–1200 nm wavelength range, show an important decrease of the average reflectivity from 40% to 5%. We notice a significant improvement of the internal quantum efficiency (IQE) in the used multicrystalline silicon substrates. Results are analyzed and compared to those carried out on a reference (untreated) sample. The electrical properties of the treated silicon solar cells were improved noticeably as regard to the reference (untreated) sample.

  4. Dissolution of Monocrystalline Silicon Nanomembranes and Their Use as Encapsulation Layers and Electrical Interfaces in Water-Soluble Electronics.

    Science.gov (United States)

    Lee, Yoon Kyeung; Yu, Ki Jun; Song, Enming; Barati Farimani, Amir; Vitale, Flavia; Xie, Zhaoqian; Yoon, Younghee; Kim, Yerim; Richardson, Andrew; Luan, Haiwen; Wu, Yixin; Xie, Xu; Lucas, Timothy H; Crawford, Kaitlyn; Mei, Yongfeng; Feng, Xue; Huang, Yonggang; Litt, Brian; Aluru, Narayana R; Yin, Lan; Rogers, John A

    2017-12-26

    The chemistry that governs the dissolution of device-grade, monocrystalline silicon nanomembranes into benign end products by hydrolysis serves as the foundation for fully eco/biodegradable classes of high-performance electronics. This paper examines these processes in aqueous solutions with chemical compositions relevant to groundwater and biofluids. The results show that the presence of Si(OH) 4 and proteins in these solutions can slow the rates of dissolution and that ion-specific effects associated with Ca 2+ can significantly increase these rates. This information allows for effective use of silicon nanomembranes not only as active layers in eco/biodegradable electronics but also as water barriers capable of providing perfect encapsulation until their disappearance by dissolution. The time scales for this encapsulation can be controlled by introduction of dopants into the Si and by addition of oxide layers on the exposed surfaces.The former possibility also allows the doped silicon to serve as an electrical interface for measuring biopotentials, as demonstrated in fully bioresorbable platforms for in vivo neural recordings. This collection of findings is important for further engineering development of water-soluble classes of silicon electronics.

  5. Amorphous silicon pixel radiation detectors and associated thin film transistor electronics readout

    International Nuclear Information System (INIS)

    Perez-Mendez, V.; Cho, G.; Drewery, J.; Jing, T.; Kaplan, S.N.; Mireshghi, A.; Wildermuth, D.; Goodman, C.; Fujieda, I.

    1992-07-01

    We describe the characteristics of thin (1 μm) and thick (> 30 μm) hydrogenated amorphous silicon p-i-n diodes which are optimized for detecting and recording the spatial distribution of charged particles, x-ray, γ rays and thermal neutrons. For x-ray, γ ray, and charged particle detection we can use thin p-i-n photosensitive diode arrays coupled to evaporated layers of suitable scintillators. For thermal neutron detection we use thin (2∼5 μm) gadolinium converters on 30 μm thick a-Si:H diodes. For direct detection of minimum ionizing particles and others with high resistance to radiation damage, we use the thick p-i-n diode arrays. Diode and amorphous silicon readouts as well as polysilicon pixel amplifiers are described

  6. Silicon-ion-implanted PMMA with nanostructured ultrathin layers for plastic electronics

    Science.gov (United States)

    Hadjichristov, G. B.; Ivanov, Tz E.; Marinov, Y. G.

    2014-12-01

    Being of interest for plastic electronics, ion-beam produced nanostructure, namely silicon ion (Si+) implanted polymethyl-methacrylate (PMMA) with ultrathin nanostructured dielectric (NSD) top layer and nanocomposite (NC) buried layer, is examined by electric measurements. In the proposed field-effect organic nanomaterial structure produced within the PMMA network by ion implantation with low energy (50 keV) Si+ at the fluence of 3.2 × 1016 cm-2 the gate NSD is ion-nanotracks-modified low-conductive surface layer, and the channel NC consists of carbon nanoclusters. In the studied ion-modified PMMA field-effect configuration, the gate NSD and the buried NC are formed as planar layers both with a thickness of about 80 nm. The NC channel of nano-clustered amorphous carbon (that is an organic semiconductor) provides a huge increase in the electrical conduction of the material in the subsurface region, but also modulates the electric field distribution in the drift region. The field effect via the gate NSD is analyzed. The most important performance parameters, such as the charge carrier field-effect mobility and amplification of this particular type of PMMA- based transconductance device with NC n-type channel and gate NSD top layer, are determined.

  7. Enhanced optical output power of InGaN/GaN light-emitting diodes grown on a silicon (111) substrate with a nanoporous GaN layer.

    Science.gov (United States)

    Lee, Kwang Jae; Chun, Jaeyi; Kim, Sang-Jo; Oh, Semi; Ha, Chang-Soo; Park, Jung-Won; Lee, Seung-Jae; Song, Jae-Chul; Baek, Jong Hyeob; Park, Seong-Ju

    2016-03-07

    We report the growth of InGaN/GaN multiple quantum wells blue light-emitting diodes (LEDs) on a silicon (111) substrate with an embedded nanoporous (NP) GaN layer. The NP GaN layer is fabricated by electrochemical etching of n-type GaN on the silicon substrate. The crystalline quality of crack-free GaN grown on the NP GaN layer is remarkably improved and the residual tensile stress is also decreased. The optical output power is increased by 120% at an injection current of 20 mA compared with that of conventional LEDs without a NP GaN layer. The large enhancement of optical output power is attributed to the reduction of threading dislocation, effective scattering of light in the LED, and the suppression of light propagation into the silicon substrate by the NP GaN layer.

  8. Silicon Alloying On Aluminium Based Alloy Surface

    International Nuclear Information System (INIS)

    Suryanto

    2002-01-01

    Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment

  9. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  10. Mechanically flexible optically transparent porous mono-crystalline silicon substrate

    KAUST Repository

    Rojas, Jhonathan Prieto; Syed, Ahad A.; Hussain, Muhammad Mustafa

    2012-01-01

    For the first time, we present a simple process to fabricate a thin (≥5μm), mechanically flexible, optically transparent, porous mono-crystalline silicon substrate. Relying only on reactive ion etching steps, we are able to controllably peel off a thin layer of the original substrate. This scheme is cost favorable as it uses a low-cost silicon <100> wafer and furthermore it has the potential for recycling the remaining part of the wafer that otherwise would be lost and wasted during conventional back-grinding process. Due to its porosity, it shows see-through transparency and potential for flexible membrane applications, neural probing and such. Our process can offer flexible, transparent silicon from post high-thermal budget processed device wafer to retain the high performance electronics on flexible substrates. © 2012 IEEE.

  11. A p-silicon nanowire/n-ZnO thin film heterojunction diode prepared by thermal evaporation

    International Nuclear Information System (INIS)

    Hazra, Purnima; Jit, S.

    2014-01-01

    This paper represents the electrical and optical characteristics of a SiNW/ZnO heterojunction diode and subsequent studies on the photodetection properties of the diode in the ultraviolet (UV) wavelength region. In this work, silicon nanowire arrays were prepared on p-type (100)-oriented Si substrate by an electroless metal deposition and etching method with the help of ultrasonication. After that, catalyst-free deposition of zinc oxide (ZnO) nanowires on a silicon nanowire (SiNW) array substrate was done by utilizing a simple and cost-effective thermal evaporation technique without using a buffer layer. The SEM and XRD techniques are used to show the quality of the as-grown ZnO nanowire film. The junction properties of the diode are evaluated by measuring current—voltage and capacitance—voltage characteristics. The diode has a well-defined rectifying behavior with a rectification ratio of 190 at ±2 V, turn-on voltage of 0.5 V, and barrier height is 0.727 eV at room temperature under dark conditions. The photodetection parameters of the diode are investigated in the bias voltage range of ±2 V. The diode shows responsivity of 0.8 A/W at a bias voltage of 2 V under UV illumination (wavelength = 365 nm). The characteristics of the device indicate that it can be used for UV detection applications in nano-optoelectronic and photonic devices. (semiconductor devices)

  12. High temperature study on the thermal properties of few-layer Mo0.5W0.5S2 and effects of capping layers

    Directory of Open Access Journals (Sweden)

    Hong Gu

    Full Text Available We investigated the thermal properties of few-layer Mo0.5W0.5S2 using a series of samples with different kinds of capping layers. Temperature-dependent Raman measurements were conducted in the range of 300–500 K, with power-dependent measurements also carried out. It indicated, for the few-layer Mo0.5W0.5S2, the temperature coefficients of the WS2-like E12g mode, MoS2-like E12g mode and A1g mode were −0.0155 cm−1/K, −0.0146 cm−1/K, and −0.0130 cm−1/K, respectively. And the thermal conductivity was estimated to be 44.8 W/mK. Moreover, the Mo0.5W0.5S2 samples coated with capping layers (ZrO2, HfO2 both showed a better thermal stability and a larger thermal conductivity than the one without. The results revealed that the capping layer should be an important factor in the thermal property. Keywords: Mo0.5W0.5S2, TMDs, Thermal properties, High temperature, Capping layers, Raman

  13. METHOD FOR DETERMINATION OF THERMAL CHARACTERISTICS OF THE LAYER OF GRANULAR MEDIA WITH ELEMENTS OF PULSED THERMAL NDT

    Directory of Open Access Journals (Sweden)

    Y. V. Shokina

    2015-01-01

    Full Text Available At the Department of Food Production of Murmansk State Technical University (MSTU was developed a method of producing smoke fuel using infrared energy supply. The method provides a stable temperature pyrolysis of wood fuel is less than 400 ° C. Kinetic of the heating layer of fuel (wooden chips is affected by chip's density and moisture content. The method of calculating of the optimum modes of smoke produce, which is based on a system of differential equations of heat and mass transfer in the IR smoke generator, was previously proposed. The system of equations includes thermal characteristics (TC of the fuel layer (e.g. specific heat, thermal conductivity, thermal diffusivity. The exact definition of these characteristics affect the accuracy of the experimental calculation of optimal process conditions with use of the developed software. A definition of layer's TC by a method with elements of pulsed thermal NDT. The use of thermal imager is proposed for measuring the temperature of the irritated surface of the porous wooden chip's lawyer.

  14. Direct numerical simulation of thermally-stratified turbulent boundary layer subjected to adverse pressure gradient

    International Nuclear Information System (INIS)

    Hattori, Hirofumi; Kono, Amane; Houra, Tomoya

    2016-01-01

    Highlights: • We study various thermally-stratified turbulent boundary layers having adverse pressure gradient (APG) by means of DNS. • The detailed turbulent statistics and structures in various thermally-stratified turbulent boundary layers having APG are discussed. • It is found that the friction coefficient and Stanton number decrease along the streamwise direction due to the effects of stable thermal stratification and APG, but those again increase due to the APG effect in the case of weak stable thermal stratification. • In the case of strong stable stratification with or without APG, the flow separation is observed in the downstream region. - Abstract: The objective of this study is to investigate and observe turbulent heat transfer structures and statistics in thermally-stratified turbulent boundary layers subjected to a non-equilibrium adverse pressure gradient (APG) by means of direct numerical simulation (DNS). DNSs are carried out under conditions of neutral, stable and unstable thermal stratifications with a non-equilibrium APG, in which DNS results reveal heat transfer characteristics of thermally-stratified non-equilibrium APG turbulent boundary layers. In cases of thermally-stratified turbulent boundary layers affected by APG, heat transfer performances increase in comparison with a turbulent boundary layer with neutral thermal stratification and zero pressure gradient (ZPG). Especially, it is found that the friction coefficient and Stanton number decrease along the streamwise direction due to the effects of stable thermal stratification and APG, but those again increase due to the APG effect in the case of weak stable thermal stratification (WSBL). Thus, the analysis for both the friction coefficient and Stanton number in the case of WSBL with/without APG is conducted using the FIK identity in order to investigate contributions from the transport equations, in which it is found that both Reynolds-shear-stress and the mean convection terms

  15. Improved silicon surface passivation of APCVD Al2O3 by rapid thermal annealing

    NARCIS (Netherlands)

    Black, L.E.; Allen, T.; McIntosh, K.R.; Cuévas, A.

    2016-01-01

    Short-duration post-deposition thermal treatments at temperatures above those normally used for annealing activation have the potential to further improve the already excellent passivation of crystalline silicon (c-Si) achieved by Al2O3, but have so far received little attention. In this work we

  16. Forward-bias diode parameters, electronic noise, and photoresponse of graphene/silicon Schottky junctions with an interfacial native oxide layer

    Science.gov (United States)

    An, Yanbin; Behnam, Ashkan; Pop, Eric; Bosman, Gijs; Ural, Ant

    2015-09-01

    Metal-semiconductor Schottky junction devices composed of chemical vapor deposition grown monolayer graphene on p-type silicon substrates are fabricated and characterized. Important diode parameters, such as the Schottky barrier height, ideality factor, and series resistance, are extracted from forward bias current-voltage characteristics using a previously established method modified to take into account the interfacial native oxide layer present at the graphene/silicon junction. It is found that the ideality factor can be substantially increased by the presence of the interfacial oxide layer. Furthermore, low frequency noise of graphene/silicon Schottky junctions under both forward and reverse bias is characterized. The noise is found to be 1/f dominated and the shot noise contribution is found to be negligible. The dependence of the 1/f noise on the forward and reverse current is also investigated. Finally, the photoresponse of graphene/silicon Schottky junctions is studied. The devices exhibit a peak responsivity of around 0.13 A/W and an external quantum efficiency higher than 25%. From the photoresponse and noise measurements, the bandwidth is extracted to be ˜1 kHz and the normalized detectivity is calculated to be 1.2 ×109 cm Hz1/2 W-1. These results provide important insights for the future integration of graphene with silicon device technology.

  17. Effect of layer thickness on the properties of nickel thermal sprayed steel

    Energy Technology Data Exchange (ETDEWEB)

    Nurisna, Zuhri, E-mail: zuhri-nurisna@yahoo.co.id; Triyono,, E-mail: triyonomesin@uns.ac.id; Muhayat, Nurul, E-mail: nurulmuhayat@staff.uns.ac.id; Wijayanta, Agung Tri, E-mail: agungtw@uns.ac.id [Department of Mechanical Engineering, Sebelas Maret University, Jl. Jr. Sutami 36 A, Surakarta (Indonesia)

    2016-03-29

    Thermal arc spray nickel coating is widely used for decorative and functional applications, by improving corrosion resistance, wear resistance, heat resistence or by modifying other properties of the coated materials. There are several properties have been studied. Layer thickness of nickel thermal sprayed steel may be make harder the substrate surface. In this study, the effect of layer thickness of nickel thermal sprayed steel has been investigated. The rectangular substrate specimens were coated by Ni–5 wt.% Al using wire arc spray method. The thickness of coating layers were in range from 0.4 to 1.0 mm. Different thickness of coating layers were conducted to investigate their effect on hardness and morphology. The coating layer was examined by using microvickers and scanning electron microscope with EDX attachment. Generally, the hardness at the interface increased with increasing thickness of coating layers for all specimens due to higher heat input during spraying process. Morphology analysis result that during spraying process aluminum would react with surrounding oxygen and form aluminum oxide at outer surface of splat. Moreover, porosity was formed in coating layers. However, presence porosity is not related to thickness of coating material. The thicker coating layer resulted highesr of hardness and bond strength.

  18. Thermal conductivities of thin, sputtered optical films

    International Nuclear Information System (INIS)

    Henager, C.H. Jr.; Pawlewicz, W.T.

    1991-05-01

    The normal component of the thin film thermal conductivity has been measured for the first time for several advanced sputtered optical materials. Included are data for single layers of boron nitride (BN), aluminum nitride (AIN), silicon aluminum nitride (Si-Al-N), silicon aluminum oxynitride (Si-Al-O-N), silicon carbide (SiC), and for dielectric-enhanced metal reflectors of the form Al(SiO 2 /Si 3 N 4 ) n and Al(Al 2 O 3 /AIN) n . Sputtered films of more conventional materials like SiO 2 , Al 2 O 3 , Ta 2 O 5 , Ti, and Si have also been measured. The data show that thin film thermal conductivities are typically 10 to 100 times lower than conductivities for the same materials in bulk form. Structural disorder in the amorphous or very fine-grained films appears to account for most of the conductivity difference. Conclusive evidence for a film/substrate interface contribution is presented

  19. Metal induced crystallization of silicon germanium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Gjukic, M.

    2007-05-15

    In the framework of this thesis the applicability of the aluminium-induced layer exchange on binary silicon germanium alloys was studied. It is here for the first time shown that polycrstalline silicon-germanium layers can be fabricated over the whole composition range by the aluminium-induced layer exchange. The experimental results prove thet the resulting material exhibits a polycrystalline character with typocal grain sizes of 10-100 {mu}m. Raman measurements confirm that the structural properties of the resulting layers are because of the large crystallites more comparable with monocrystalline than with nano- or microcrystalline silicon-germanium. The alloy ratio of the polycrystalline layer correspondes to the chemical composition of the amorphous starting layer. The polycrystalline silicon-germanium layers possess in the range of the interband transitions a reflection spectrum, as it is otherwise only known from monocrystalline reference layers. The improvement of the absorption in the photovoltaically relevant spectral range aimed by the application of silicon-germanium could be also proved by absorption measurments. Strongly correlated with the structural properties of the polycrystalline layers and the electronic band structure resulting from this are beside the optical properties also the electrical properties of the material, especially the charge-carrier mobility and the doping concentration. For binary silicon-germanium layers the hole concentration of about 2 x 10{sup 18} cm{sup -3} for pure silicon increrases to about 5 x 10{sup 20} cm{sub -3} for pure germanium. Temperature-resolved measurements were applied in order to detect doping levels respectively semiconductor-metal transitions. In the last part of the thesis the hydrogen passivation of polycrystalline thin silicon-germanium layers, which were fabricated by means of aluminium-induced layer exchange, is treated.

  20. Reproduction of mouse-pup ultrasonic vocalizations by nanocrystalline silicon thermoacoustic emitter

    Science.gov (United States)

    Kihara, Takashi; Harada, Toshihiro; Kato, Masahiro; Nakano, Kiyoshi; Murakami, Osamu; Kikusui, Takefumi; Koshida, Nobuyoshi

    2006-01-01

    As one of the functional properties of ultrasound generator based on efficient thermal transfer at the nanocrystalline silicon (nc-Si) layer surface, its potential as an ultrasonic simulator of vocalization signals is demonstrated by using the acoustic data of mouse-pup calls. The device composed of a surface-heating thin-film electrode, an nc-Si layer, and a single-crystalline silicon (c-Si) wafer, exhibits an almost completely flat frequency response over a wide range without any mechanical surface vibration systems. It is shown that the fabricated emitter can reproduce digitally recorded ultrasonic mouse-pups vocalizations very accurately in terms of the call duration, frequency dispersion, and sound pressure level. The thermoacoustic nc-Si device provides a powerful physical means for the understanding of ultrasonic communication mechanisms in various living animals.

  1. ANTIMONY INDUCED CRYSTALLIZATION OF AMORPHOUS SILICON

    Institute of Scientific and Technical Information of China (English)

    Y. Wang; H.Z. Li; C.N. Yu; G.M. Wu; I. Gordon; P. Schattschneider; O. Van Der Biest

    2007-01-01

    Antimony induced crystallization of PVD (physics vapor deposition) amorphous silicon can be observed on sapphire substrates. Very large crystalline regions up to several tens of micrometers can be formed. The Si diffraction patterns of the area of crystallization can be observed with TEM (transmission electron microscopy). Only a few and much smaller crystals of the order of 1μm were formed when the antimony layer was deposited by MBE(molecular beam epitaxy) compared with a layer formed by thermal evaporation. The use of high vacuum is essential in order to observe any Sb induced crystallization at all. In addition it is necessary to take measures to limit the evaporation of the antimony.

  2. Local thermal property analysis by scanning thermal microscopy of an ultrafine-grained copper surface layer produced by surface mechanical attrition treatment

    Energy Technology Data Exchange (ETDEWEB)

    Guo, F.A. [Suzhou Institute for Nonferrous Metals Processing Technology, No. 200 Shenxu Road, Suzhou Industrial Park, Suzhou 215021 (China) and Unite de Thermique et d' Analyse Physique, Laboratoire d' Energetique et d' Optique, Universite de Reims, BP 1039, 51687 Reims Cedex 2 (France)]. E-mail: guofuan@yahoo.com; JI, Y.L. [Suzhou Institute for Nonferrous Metals Processing Technology, No. 200 Shenxu Road, Suzhou Industrial Park, Suzhou 215021 (China); Trannoy, N. [Unite de Thermique et d' Analyse Physique, Laboratoire d' Energetique et d' Optique, Universite de Reims, BP 1039, 51687 Reims Cedex 2 (France); Lu, J. [LASMIS, Universite de Technologie de Troyes, 12 Rue Marie Curie, Troyes 10010 (France)

    2006-06-15

    Scanning thermal microscopy (SThM) was used to map thermal conductivity images in an ultrafine-grained copper surface layer produced by surface mechanical attrition treatment (SMAT). It is found that the deformed surface layer shows different thermal conductivities that strongly depend on the grain size of the microstructure: the thermal conductivity of the nanostructured surface layer decreases obviously when compared with that of the coarse-grained matrix of the sample. The role of the grain boundaries in thermal conduction is analyzed in correlation with the heat conduction mechanism in pure metal. A theoretical approach, based on this investigation, was used to calculate the heat flow from the probe tip to the sample and then estimate the thermal conductivities at different scanning positions. Experimental results and theoretical calculation demonstrate that SThM can be used as a tool for the thermal property and microstructural analysis of ultrafine-grained microstructures.

  3. DETERMINING THE THERMAL RESISTANCE OF A VENTILATED HINGED FACADE SYSTEM LAYER

    Directory of Open Access Journals (Sweden)

    Gagarin Vladimir Gennad'evich

    2015-03-01

    Full Text Available Enveloping structures with hinged façade systems are nowadays widely used for moisture control of enveloping structures, prevention of overheating of the structures by insolation, saving the constructions from atmospheric moisture and also for correspondence with the raised requirements to thermal protection of the enveloping structures, aimed also at reducing energy consumption. In the winter conditions the influence of air layer on the thermal insulation parameters is usually neglected. In the article the thermal resistance of an air gap and is considered and its effect in the calculation of the heat resistance of a building envelope with hinged facade system is analyzed in the conditions of cold weather. The thermal resistance of the air layer determines how the heat losses decrease.

  4. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    Science.gov (United States)

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  5. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  6. Diamond deposition on siliconized stainless steel

    International Nuclear Information System (INIS)

    Alvarez, F.; Reinoso, M.; Huck, H.; Rosenbusch, M.

    2010-01-01

    Silicon diffusion layers in AISI 304 and AISI 316 type stainless steels were investigated as an alternative to surface barrier coatings for diamond film growth. Uniform 2 μm thick silicon rich interlayers were obtained by coating the surface of the steels with silicon and performing diffusion treatments at 800 deg. C. Adherent diamond films with low sp 2 carbon content were deposited on the diffused silicon layers by a modified hot filament assisted chemical vapor deposition (HFCVD) method. Characterization of as-siliconized layers and diamond coatings was performed by energy dispersive X-ray analysis, scanning electron microscopy, X-ray diffraction and Raman spectroscopy.

  7. Computer simulation for the formation of the insulator layer of silicon-on-insulator devices by N sup + and O sup + Co-implantation

    CERN Document Server

    Lin Qing; Xie Xin Yun; Lin Chenglu; Liu Xiang Hua

    2002-01-01

    A buried sandwiched layer consisting of silicon dioxide (upper part), silicon oxynitride (medium part) and silicon nitride (lower part) is formed by N sup + and O sup + co-implantation in silicon wafers at a constant temperature of 550 degree C. The microstructure is performed by cross-sectional transmission electron microscopy. To predict the quality of the buried sandwiched layer, the authors study the computer simulation for the formation of the SIMON (separated by implantation of oxygen and nitrogen) structure. The simulation program for SIMOX (separated by implantation of oxygen) is improved in order to be applied in O sup + and N sup + co-implantation on the basis of different formation mechanism between SIMOX and SIMNI (separated by implantation of nitrogen) structures. There is a good agreement between experiment and simulation results verifying the theoretical model and presumption in the program

  8. Characteristics of surface mount low barrier silicon Schottky diodes with boron contamination in the substrate–epitaxial layer interface

    International Nuclear Information System (INIS)

    Pal, Debdas; Hoag, David; Barter, Margaret

    2012-01-01

    Unusual negative resistance characteristics were observed in low barrier HMIC (Heterolithic Microwave Integrated Circuit) silicon Schottky diodes with HF (hydrofluoric acid)/IPA (isopropyl alcohol) vapor clean prior to epitaxial growth of silicon. SIMS (secondary ion mass spectroscopy) analysis and the results of the buried layer structure confirmed boron contamination in the substrate/epitaxial layer interface. Consequently the structure turned into a thyristor like p-n-p-n device. A dramatic reduction of boron contamination was found in the wafers with H 2 0/HCl/HF dry only clean prior to growth, which provided positive resistance characteristics. Consequently the mean differential resistance at 10 mA was reduced to about 8.1 Ω. The lower series resistance (5.6–5.9 Ω) and near 1 ideality factor (1.03–1.06) of the Schottky devices indicated the good quality of the epitaxial layer. (paper)

  9. Tuning the thermal conductivity of silicon carbide by twin boundary: a molecular dynamics study

    International Nuclear Information System (INIS)

    Liu, Qunfeng; Wang, Liang; Shen, Shengping; Luo, Hao

    2017-01-01

    Silicon carbide (SiC) is a semiconductor with excellent mechanical and physical properties. We study the thermal transport in SiC by using non-equilibrium molecular dynamics simulations. The work is focused on the effects of twin boundaries and temperature on the thermal conductivity of 3C-SiC. We find that compared to perfect SiC, twinned SiC has a markedly reduced thermal conductivity when the twin boundary spacing is less than 100 nm. The Si–Si twin boundary is more effective to phonon scattering than the C–C twin boundary. We also find that the phonon scattering effect of twin boundary decreases with increasing temperature. Our findings provide insights into the thermal management of SiC-based electronic devices and thermoelectric applications. (paper)

  10. Thermal degradation mechanism of addition-cure liquid silicone rubber with urea-containing silane

    International Nuclear Information System (INIS)

    Fang, Weizhen; Zeng, Xingrong; Lai, Xuejun; Li, Hongqiang; Chen, Wanjuan; Zhang, Yajun

    2015-01-01

    Highlights: • The urea-containing silane was incorporated into addition-cure liquid silicone rubber (ALSR) via hydrosilylation reaction. • The thermal stability of the ALSR was improved by DEUPAS both in nitrogen and air • The TG–FTIR of evolved gases during degradation was performed. • The possible degradation mechanism of the ALSR samples was proposed. - Abstract: The reactive urea-containing silane, (γ-diethylureidopropyl) allyloxyethoxysilane (DEUPAS), was synthesized by the trans-etherification reaction. The chemical structure was characterized by Fourier transform infrared spectrometry (FTIR) and 1 H nuclear magnetic resonance spectrometry ( 1 H NMR). Subsequently, DEUPAS was incorporated into addition-cure liquid silicone rubber (ALSR) via hydrosilylation reaction. The thermal stability of the ALSR samples was investigated by thermogravimetry (TG) and thermogravimetry–Fourier transform infrared spectrometry (TG–FTIR). When DEUPAS was incorporated, the temperature of 10% weight loss and 20% weight loss under air atmosphere were respectively increased by 31 °C and 60 °C compared with those of the ALSR without DEUPAS. Meanwhile, the residual weight at 800 °C increased from 33.5% to 58.7%. It was found that the striking enhancement in thermal stability of the ALSR samples was likely attributed to the decomposition of the urea groups to isocyanic acid, which reacted with hydroxyl groups to inhibit the unzipping depolymerization

  11. Thermal degradation mechanism of addition-cure liquid silicone rubber with urea-containing silane

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Weizhen; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Lai, Xuejun; Li, Hongqiang; Chen, Wanjuan; Zhang, Yajun

    2015-04-10

    Highlights: • The urea-containing silane was incorporated into addition-cure liquid silicone rubber (ALSR) via hydrosilylation reaction. • The thermal stability of the ALSR was improved by DEUPAS both in nitrogen and air • The TG–FTIR of evolved gases during degradation was performed. • The possible degradation mechanism of the ALSR samples was proposed. - Abstract: The reactive urea-containing silane, (γ-diethylureidopropyl) allyloxyethoxysilane (DEUPAS), was synthesized by the trans-etherification reaction. The chemical structure was characterized by Fourier transform infrared spectrometry (FTIR) and {sup 1}H nuclear magnetic resonance spectrometry ({sup 1}H NMR). Subsequently, DEUPAS was incorporated into addition-cure liquid silicone rubber (ALSR) via hydrosilylation reaction. The thermal stability of the ALSR samples was investigated by thermogravimetry (TG) and thermogravimetry–Fourier transform infrared spectrometry (TG–FTIR). When DEUPAS was incorporated, the temperature of 10% weight loss and 20% weight loss under air atmosphere were respectively increased by 31 °C and 60 °C compared with those of the ALSR without DEUPAS. Meanwhile, the residual weight at 800 °C increased from 33.5% to 58.7%. It was found that the striking enhancement in thermal stability of the ALSR samples was likely attributed to the decomposition of the urea groups to isocyanic acid, which reacted with hydroxyl groups to inhibit the unzipping depolymerization.

  12. The demonstration of nonlinear analytic model for the strain field induced by thermal copper filled TSVs (through silicon via

    Directory of Open Access Journals (Sweden)

    M. H. Liao

    2013-08-01

    Full Text Available The thermo-elastic strain is induced by through silicon vias (TSV due to the difference of thermal expansion coefficients between the copper (∼18 ppm/ °C and silicon (∼2.8 ppm/ °C when the structure is exposed to a thermal ramp budget in the three dimensional integrated circuit (3DIC process. These thermal expansion stresses are high enough to introduce the delamination on the interfaces between the copper, silicon, and isolated dielectric. A compact analytic model for the strain field induced by different layouts of thermal copper filled TSVs with the linear superposition principle is found to have large errors due to the strong stress interaction between TSVs. In this work, a nonlinear stress analytic model with different TSV layouts is demonstrated by the finite element method and the analysis of the Mohr's circle. The characteristics of stress are also measured by the atomic force microscope-raman technique with nanometer level space resolution. The change of the electron mobility with the consideration of this nonlinear stress model for the strong interactions between TSVs is ∼2–6% smaller in comparison with those from the consideration of the linear stress superposition principle only.

  13. Influence of Fabric Parameters on Thermal Comfort Performance of Double Layer Knitted Interlock Fabrics

    Directory of Open Access Journals (Sweden)

    Afzal Ali

    2017-03-01

    Full Text Available The aim of this study was to analyse the effects of various fabric parameters on the thermal resistance, thermal conductivity, thermal transmittance, thermal absorptivity and thermal insulation of polyester/cotton double layer knitted interlock fabrics. It was found that by increasing fibre content with higher specific heat increases the thermal insulation while decreases the thermal transmittance and absorptivity of the fabric. It was concluded that double layer knitted fabrics developed with higher specific heat fibres, coarser yarn linear densities, higher knitting loop length and fabric thickness could be adequately used for winter clothing purposes.

  14. Graded thermal insulation layer systems; Gradierte Waermedaemmschichtsysteme

    Energy Technology Data Exchange (ETDEWEB)

    Leushake, U.; Krell, T. [Deutsche Forschungsanstalt fuer Luft- und Raumfahrt e.V. (DLR), Koeln (Germany). Inst. fuer Werkstoff-Forschung

    1996-12-31

    Graded thermal insulation systems reduce local stresses between two layers. Grading usually involves a concentration variation in a second phase but may also involve variations of the microstructure or chemical composition. The contribution discusses the application of this technique for thermal protection of turbine blades in aircraft propulsion systems. [Deutsch] Mit Hilfe gradierter Waermeschichtsysteme ist es moeglich die lokalen Spannungen zwischen zwei Schichten zu verringern. Die Gradierung umfasst meistens eine Variation des Gehaltes einer zweiten Phase, kann aber auch die Variation der Mikrostruktur oder der chemischen Zusammensetzung beinhalten. In diesem Beitrag wird auf die Anwendung als thermischer Schutz von Turbinenschaufeln fuer Flugtriebwerke eingegangen.

  15. Thin film silicon by a microwave plasma deposition technique: Growth and devices, and, interface effects in amorphous silicon/crystalline silicon solar cells

    Science.gov (United States)

    Jagannathan, Basanth

    Thin film silicon (Si) was deposited by a microwave plasma CVD technique, employing double dilution of silane, for the growth of low hydrogen content Si films with a controllable microstructure on amorphous substrates at low temperatures (prepared by this technique. Such films showed a dark conductivity ˜10sp{-6} S/cm, with a conduction activation energy of 0.49 eV. Film growth and properties have been compared for deposition in Ar and He carrier systems and growth models have been proposed. Low temperature junction formation by undoped thin film silicon was examined through a thin film silicon/p-type crystalline silicon heterojunctions. The thin film silicon layers were deposited by rf glow discharge, dc magnetron sputtering and microwave plasma CVD. The hetero-interface was identified by current transport analysis and high frequency capacitance methods as the key parameter controlling the photovoltaic (PV) response. The effect of the interface on the device properties (PV, junction, and carrier transport) was examined with respect to modifications created by chemical treatment, type of plasma species, their energy and film microstructure interacting with the substrate. Thermally stimulated capacitance was used to determine the interfacial trap parameters. Plasma deposition of thin film silicon on chemically clean c-Si created electron trapping sites while hole traps were seen when a thin oxide was present at the interface. Under optimized conditions, a 10.6% efficient cell (11.5% with SiOsb2 A/R) with an open circuit voltage of 0.55 volts and a short circuit current density of 30 mA/cmsp2 was fabricated.

  16. Effect of Liquid Phase Content on Thermal Conductivity of Hot-Pressed Silicon Carbide Ceramics

    International Nuclear Information System (INIS)

    Lim, Kwang-Young; Jang, Hun; Lee, Seung-Jae; Kim, Young-Wook

    2015-01-01

    Silicon carbide (SiC) is a promising material for Particle-Based Accident Tolerant (PBAT) fuel, fission, and fusion power applications due to its superior physical and thermal properties such as low specific mass, low neutron cross section, excellent radiation stability, low coefficient of thermal expansion, and high thermal conductivity. Thermal conductivity of PBAT fuel is one of very important factors for plant safety and energy efficiency of nuclear reactors. In the present work, the effect of Y 2 O 3 -Sc 2 O 3 content on the microstructure and thermal properties of the hot pressed SiC ceramics have been investigated. Suppressing the β to α phase transformation of SiC ceramics is beneficial in increasing the thermal conductivity of liquid-phase sintered SiC ceramics. Developed SiC ceramics with Y 2 O 3 -Sc 2 O 3 additives are very useful for thermal conductivity on matrix material of the PBAT fuel

  17. Modelling of thermal field and point defect dynamics during silicon single crystal growth using CZ technique

    Science.gov (United States)

    Sabanskis, A.; Virbulis, J.

    2018-05-01

    Mathematical modelling is employed to numerically analyse the dynamics of the Czochralski (CZ) silicon single crystal growth. The model is axisymmetric, its thermal part describes heat transfer by conduction and thermal radiation, and allows to predict the time-dependent shape of the crystal-melt interface. Besides the thermal field, the point defect dynamics is modelled using the finite element method. The considered process consists of cone growth and cylindrical phases, including a short period of a reduced crystal pull rate, and a power jump to avoid large diameter changes. The influence of the thermal stresses on the point defects is also investigated.

  18. Characterization and comparative investigation of thermally insulating layers for the turbine and engine construction

    International Nuclear Information System (INIS)

    Steffens, H.D.; Fischer, U.

    1987-01-01

    The aim of the research project was to subject commercially produced thermal insulation layer systems, the use of which seems promising for engine and turbine construction, to standardized characterisation, testing and comparison. Suitable methods and procedures for this had to be developed, in order to be able to derive instructions for optimisation guidelines for the production of improved thermal insulation systems from the results of investigations. In the context of the research project, a computer-controlled thermal shock test rig was first developed, designed and built. This test rig was designed so that important test conditions, such as the heating and cooling speed could be varied reproducibly over wide ranges. Methods and procedures were worked out, which permit a comparative qualitative and quantitative characterisation of layers of thermal insulation. From metallographic investigations, the layer build-up, layer structure, porosity and crack morphology of the layers in the delivered state and after testing could be assessed and compared. X-ray fine structure investigations gave information on the type and quantity of the phases occurring in the ceramic layers. The results of thermal shock tests which were done at different temperature intervals depending on the substrate, could be correlated with the build-up of layers and supplied information on damage mechanisms and the course of failure. (orig.) With 57 figs., 16 tabs., 89 refs [de

  19. Detection mechanisms in silicon diodes used as α-particle and thermal neutron detectors

    International Nuclear Information System (INIS)

    Cerofolini, G.F.; Ferla, G.; Foglio Para, A.

    1981-01-01

    Some common silicon devices (diodes, RAMs etc.) can be used as α and thermal neutron detectors. An α resolution of approx. equal to 3% can be obtained utilizing p + /n or n + /p diodes with no external bias. Thermal neutrons are detected by means of the reaction 10 B(n,α) 7 Li on the 10 B present in the devices. Neutron efficiency has been substantially improved by implantation of 10 B ions in the p + region of the diodes. Experimental results allow us to clarify the carrier collection mechanisms throughout the device. Some current opinions in the field are contradicted. (orig.)

  20. Thermally controlled coupling of a rolled-up microtube integrated with a waveguide on a silicon electronic-photonic integrated circuit.

    Science.gov (United States)

    Zhong, Qiuhang; Tian, Zhaobing; Veerasubramanian, Venkat; Dastjerdi, M Hadi Tavakoli; Mi, Zetian; Plant, David V

    2014-05-01

    We report on the first experimental demonstration of the thermal control of coupling strength between a rolled-up microtube and a waveguide on a silicon electronic-photonic integrated circuit. The microtubes are fabricated by selectively releasing a coherently strained GaAs/InGaAs heterostructure bilayer. The fabricated microtubes are then integrated with silicon waveguides using an abruptly tapered fiber probe. By tuning the gap between the microtube and the waveguide using localized heaters, the microtube-waveguide evanescent coupling is effectively controlled. With heating, the extinction ratio of a microtube whispering-gallery mode changes over an 18 dB range, while the resonant wavelength remains approximately unchanged. Utilizing this dynamic thermal tuning effect, we realize coupling modulation of the microtube integrated with the silicon waveguide at 2 kHz with a heater voltage swing of 0-6 V.

  1. Poly-silicon quantum-dot single-electron transistors

    International Nuclear Information System (INIS)

    Kang, Kwon-Chil; Lee, Joung-Eob; Lee, Jung-Han; Lee, Jong-Ho; Shin, Hyung-Cheol; Park, Byung-Gook

    2012-01-01

    For operation of a single-electron transistors (SETs) at room temperature, we proposed a fabrication method for a SET with a self-aligned quantum dot by using polycrystalline silicon (poly-Si). The self-aligned quantum dot is formed by the selective etching of a silicon nanowire on a planarized surface and the subsequent deposition and etch-back of poly-silicon or chemical mechanical polishing (CMP). The two tunneling barriers of the SET are fabricated by thermal oxidation. Also, to decrease the leakage current and control the gate capacitance, we deposit a hard oxide mask layer. The control gate is formed by using an electron beam and photolithography on chemical vapor deposition (CVD). Owing to the small capacitance of the narrow control gate due to the tetraethyl orthosilicate (TEOS) hard mask, we observe clear Coulomb oscillation peaks and differential trans-conductance curves at room temperature. The clear oscillation period of the fabricated SET is 2.0 V.

  2. Energy Levels of Defects Created in Silicon Supersaturated with Transition Metals

    Science.gov (United States)

    García, H.; Castán, H.; Dueñas, S.; García-Hemme, E.; García-Hernansaz, R.; Montero, D.; González-Díaz, G.

    2018-03-01

    Intermediate-band semiconductors have attracted much attention for use in silicon-based solar cells and infrared detectors. In this work, n-Si substrates have been implanted with very high doses (1013 cm-2 and 1014 cm-2) of vanadium, which gives rise to a supersaturated layer inside the semiconductor. However, the Mott limit was not exceeded. The energy levels created in the supersaturated silicon were studied in detail by means of thermal admittance spectroscopy. We found a single deep center at energy near E C - 200 meV. This value agrees with one of the levels found for vanadium in silicon. The capture cross-section values of the deep levels were also calculated, and we found a relationship between the capture cross-section and the energy position of the deep levels which follows the Meyer-Neldel rule. This process usually appears in processes involving multiple excitations. The Meyer-Neldel energy values agree with those previously obtained for silicon supersaturated with titanium and for silicon contaminated with iron.

  3. Pulsed Laser Interactions with Silicon Nano structures in Emitter Formation

    International Nuclear Information System (INIS)

    Huat, V.L.C.; Leong, C.S.; Kamaruzzaman Sopian, Saleem Hussain Zaidi

    2015-01-01

    Silicon wafer thinning is now approaching fundamental limits for wafer thickness owing to thermal expansion mismatch between Al and Si, reduced yields in wet-chemical processing as a result of fragility, and reduced optical absorption. An alternate manufacturing approach is needed to eliminate current manufacturing issues. In recent years, pulsed lasers have become readily available and costs have been significantly reduced. Pulsed laser interactions with silicon, in terms of micromachining, diffusions, and edge isolation, are well known, and have become industrial manufacturing tools. In this paper, pulsed laser interactions with silicon nano structures were identified as the most desirable solution for the fundamental limitations discussed above. Silicon nano structures have the capability for extremely high absorption that significantly reduces requirements for laser power, as well as thermal shock to the thinner wafer. Laser-assisted crystallization, in the presence of doping materials, leads to nano structure profiles that are highly desirable for sunlight absorption. The objective of this paper is the replacement of high temperature POCl_3 diffusion by laser-assisted phosphorus layers. With these improvements, complete low-temperature processing of thinner wafers was achievable with 3.7 % efficiency. Two-dimensional laser scanning was proved to be able to form uniformly annealed surfaces with higher fill factor and open-circuit voltage. (author)

  4. Nanostructured Porous Silicon Photonic Crystal for Applications in the Infrared

    Directory of Open Access Journals (Sweden)

    G. Recio-Sánchez

    2012-01-01

    Full Text Available In the last decades great interest has been devoted to photonic crystals aiming at the creation of novel devices which can control light propagation. In the present work, two-dimensional (2D and three-dimensional (3D devices based on nanostructured porous silicon have been fabricated. 2D devices consist of a square mesh of 2 μm wide porous silicon veins, leaving 5×5 μm square air holes. 3D structures share the same design although multilayer porous silicon veins are used instead, providing an additional degree of modulation. These devices are fabricated from porous silicon single layers (for 2D structures or multilayers (for 3D structures, opening air holes in them by means of 1 KeV argon ion bombardment through the appropriate copper grids. For 2D structures, a complete photonic band gap for TE polarization is found in the thermal infrared range. For 3D structures, there are no complete band gaps, although several new partial gaps do exist in different high-symmetry directions. The simulation results suggest that these structures are very promising candidates for the development of low-cost photonic devices for their use in the thermal infrared range.

  5. Effect of metallic coating on the properties of copper-silicon carbide composites

    Science.gov (United States)

    Chmielewski, M.; Pietrzak, K.; Teodorczyk, M.; Nosewicz, S.; Jarząbek, D.; Zybała, R.; Bazarnik, P.; Lewandowska, M.; Strojny-Nędza, A.

    2017-11-01

    In the presented paper a coating of SiC particles with a metallic layer was used to prepare copper matrix composite materials. The role of the layer was to protect the silicon carbide from decomposition and dissolution of silicon in the copper matrix during the sintering process. The SiC particles were covered by chromium, tungsten and titanium using Plasma Vapour Deposition method. After powder mixing of components, the final densification process via Spark Plasma Sintering (SPS) method at temperature 950 °C was provided. The almost fully dense materials were obtained (>97.5%). The microstructure of obtained composites was studied using scanning electron microscopy as well as transmission electron microscopy. The microstructural analysis of composites confirmed that regardless of the type of deposited material, there is no evidence for decomposition process of silicon carbide in copper. In order to measure the strength of the interface between ceramic particles and the metal matrix, the micro tensile tests have been performed. Furthermore, thermal diffusivity was measured with the use of the laser pulse technique. In the context of performed studies, the tungsten coating seems to be the most promising solution for heat sink application. Compared to pure composites without metallic layer, Cu-SiC with W coating indicate the higher tensile strength and thermal diffusitivy, irrespective of an amount of SiC reinforcement. The improvement of the composite properties is related to advantageous condition of Cu-SiC interface characterized by well homogenity and low porosity, as well as individual properties of the tungsten coating material.

  6. Modeling the influence of interaction layer formation on thermal conductivity of U–Mo dispersion fuel

    International Nuclear Information System (INIS)

    Burkes, Douglas E.; Casella, Andrew M.; Huber, Tanja K.

    2015-01-01

    Highlights: • Hsu equation provides best thermal conductivity estimate of U–Mo dispersion fuel. • Simple model considering interaction layer formation was coupled with Hsu equation. • Interaction layer thermal conductivity is not the most important attribute. • Effective thermal conductivity is mostly influenced by interaction layer formation. • Fuel particle distribution also influences the effective thermal conductivity. - Abstract: The Global Threat Reduction Initiative Program continues to develop existing and new test reactor fuels to achieve the maximum attainable uranium loadings to support the conversion of a number of the world’s remaining high-enriched uranium fueled reactors to low-enriched uranium fuel. Currently, the program is focused on assisting with the development and qualification of a fuel design that consists of a uranium–molybdenum (U–Mo) alloy dispersed in an aluminum matrix. Thermal conductivity is an important consideration in determining the operational temperature of the fuel and can be influenced by interaction layer formation between the dispersed phase and matrix, porosity that forms during fabrication of the fuel plates or rods, and upon the concentration of the dispersed phase within the matrix. This paper develops and validates a simple model to study the influence of interaction layer formation, dispersed particle size, and volume fraction of dispersed phase in the matrix on the effective conductivity of the composite. The model shows excellent agreement with results previously presented in the literature. In particular, the thermal conductivity of the interaction layer does not appear to be as important in determining the effective conductivity of the composite, while formation of the interaction layer and subsequent consumption of the matrix reveals a rather significant effect. The effective thermal conductivity of the composite can be influenced by the dispersed particle distribution by minimizing interaction

  7. Nanostructured silicon for thermoelectric

    Science.gov (United States)

    Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.

    2011-06-01

    Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.

  8. Finite element modelling and experimental characterization of an electro-thermally actuated silicon-polymer micro gripper

    International Nuclear Information System (INIS)

    Krecinic, F; Duc, T Chu; Sarro, P M; Lau, G K

    2008-01-01

    This paper presents simulation and experimental characterization of an electro-thermally actuated micro gripper. This micro actuator can conceptually be seen as a bi-morph structure of SU-8 and silicon, actuated by thermal expansion of the polymer. The polymer micro gripper with an embedded comb-like silicon skeleton is designed to reduce unwanted out-of-plane bending of the actuator, while offering a large gripper stroke. The temperature and displacement field of the micro gripper structure is determined using a two-dimensional finite element analysis. This analysis is compared to experimental data from steady-state and transient measurements of the integrated heater resistance, which depends on the average temperature of the actuator. The stability of the polymer actuator is evaluated by recording the transient behaviour of the actual jaw displacements. The maximum single jaw displacement of this micro gripper design is 34 µm at a driving voltage of 4 V and an average actuator temperature of 170 °C. The transient thermal response is modelled by a first-order system with a characteristic time constant of 11.1 ms. The simulated force capability of the device is 0.57 mN per µm jaw displacement

  9. Optical characterization of nanocrystals in silicon rich oxide superlattices and porous silicon

    International Nuclear Information System (INIS)

    Agocs, E.; Petrik, P.; Milita, S.; Vanzetti, L.; Gardelis, S.; Nassiopoulou, A.G.; Pucker, G.; Balboni, R.; Fried, M.

    2011-01-01

    We propose to analyze ellipsometry data by using effective medium approximation (EMA) models. Thanks to EMA, having nanocrystalline reference dielectric functions and generalized critical point (GCP) model the physical parameters of two series of samples containing silicon nanocrystals, i.e. silicon rich oxide (SRO) superlattices and porous silicon layers (PSL), have been determined. The superlattices, consisting of ten SRO/SiO 2 layer pairs, have been prepared using plasma enhanced chemical vapor deposition. The porous silicon layers have been prepared using short monopulses of anodization current in the transition regime between porous silicon formation and electropolishing, in a mixture of hydrofluoric acid and ethanol. The optical modeling of both structures is similar. The effective dielectric function of the layer is calculated by EMA using nanocrystalline components (nc-Si and GCP) in a dielectric matrix (SRO) or voids (PSL). We discuss the two major problems occurring when modeling such structures: (1) the modeling of the vertically non-uniform layer structures (including the interface properties like nanoroughness at the layer boundaries) and (2) the parameterization of the dielectric function of nanocrystals. We used several techniques to reduce the large number of fit parameters of the GCP models. The obtained results are in good agreement with those obtained by X-ray diffraction and electron microscopy. We investigated the correlation of the broadening parameter and characteristic EMA components with the nanocrystal size and the sample preparation conditions, such as the annealing temperatures of the SRO superlattices and the anodization current density of the porous silicon samples. We found that the broadening parameter is a sensitive measure of the nanocrystallinity of the samples, even in cases, where the nanocrystals are too small to be visible for X-ray scattering. Major processes like sintering, phase separation, and intermixing have been

  10. Lattice thermal conductivity in layered BiCuSeO

    KAUST Repository

    Kumar, S.

    2016-06-30

    We quantify the low lattice thermal conductivity in layered BiCuSeO (the oxide with the highest known figure of merit). It turns out that the scattering of acoustical into optical phonons is strongly enhanced in the material because of the special structure of the phonon dispersion. For example, at room temperature the optical phonons account for an enormous 42% of the lattice thermal conductivity. We also quantify the anisotropy of the lattice thermal conductivity and determine the distribution of the mean free path of the phonons at different temperatures to provide a guide for tuning the thermal properties. © the Owner Societies 2016.

  11. Meshed doped silicon photonic crystals for manipulating near-field thermal radiation

    Science.gov (United States)

    Elzouka, Mahmoud; Ndao, Sidy

    2018-01-01

    The ability to control and manipulate heat flow is of great interest to thermal management and thermal logic and memory devices. Particularly, near-field thermal radiation presents a unique opportunity to enhance heat transfer while being able to tailor its characteristics (e.g., spectral selectivity). However, achieving nanometric gaps, necessary for near-field, has been and remains a formidable challenge. Here, we demonstrate significant enhancement of the near-field heat transfer through meshed photonic crystals with separation gaps above 0.5 μm. Using a first-principle method, we investigate the meshed photonic structures numerically via finite-difference time-domain technique (FDTD) along with the Langevin approach. Results for doped-silicon meshed structures show significant enhancement in heat transfer; 26 times over the non-meshed corrugated structures. This is especially important for thermal management and thermal rectification applications. The results also support the premise that thermal radiation at micro scale is a bulk (rather than a surface) phenomenon; the increase in heat transfer between two meshed-corrugated surfaces compared to the flat surface (8.2) wasn't proportional to the increase in the surface area due to the corrugations (9). Results were further validated through good agreements between the resonant modes predicted from the dispersion relation (calculated using a finite-element method), and transmission factors (calculated from FDTD).

  12. Effect of layer thickness on the thermal release from Be-D co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Doerner, R. P.

    2014-08-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967-70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D2 release from co-deposited Be-(0.05)D layers produced at ˜323 K. Bake desorption of layers of thickness 0.2-0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be-D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction.

  13. Study on the development of coating technology for UO{sub 2} nuclear fuel pellet and the microstructural observation of the coated layer

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yong; Song, Moon Sup; Cho, In Sik; Kim Yu Sin; Lim Young Kyun [Sunmoon University, Asan (Korea)

    1998-04-01

    In order to enhance inherent safety of UO{sub 2} nuclear fuel pellet and develop future nuclear fuel technology, a coating method for the preparation multi-layers of pyrolytic carbon and silicon carbide on the fuel was developed. Inner pyrolytic carbon layer and outer silicon layer were prepared by thermal decomposition of propane in a fluidized bed type CVD unit and silane in ECR PECVD, respectively. Combustion reaction between two layers resulted in forming silicon carbide layer. The morphology depended on the initial carbon shape. Phase identification and microstructural analysis of the combustion product with XRD, AES, SEM and TEM showed that final products of inner layer and outer layer were pyrolytic carbon with isotropic structure and fine crystalline {beta}-SiC, respectively. This coating process is very useful for the fabrication of coated UO{sub 2} nuclear fuel pellet an future nuclear fuel fabrication technology. (author). 45 refs., 47 figs., 5 tabs.

  14. Light emitting structures porous silicon-silicon substrate

    International Nuclear Information System (INIS)

    Monastyrskii, L.S.; Olenych, I.B.; Panasjuk, M.R.; Savchyn, V.P.

    1999-01-01

    The research of spectroscopic properties of porous silicon has been done. Complex of photoluminescence, electroluminescence, cathodoluminescence, thermostimulated depolarisation current analyte methods have been applied to study of geterostructures and free layers of porous silicon. Light emitting processes had tendency to decrease. The character of decay for all kinds of luminescence were different

  15. Influence of Roughness on Quality Molybdenum Deposit Layer by Thermal Spraying

    Directory of Open Access Journals (Sweden)

    Marián Bujna

    2016-01-01

    Full Text Available In this paper we deal with the impact of roughness on the quality of molybdenum layer. Insufficient cleaning may result in a poor quality of the sprayed layer. Our aim is to analyze the influence of surface roughness on the quality of molybdenum layer thickness applied by thermal spraying. Thermal spraying influence several physical and chemical properties of the coating surface. The most important ones include: hardness, density, porosity, corrosion resistance and adhesion. This technology of surface treatment of material is often used for its high degree of hardness. Hardness and erosion resistance are the parameters that need to be achieved particularly in working conditions where there is excessive depreciation of a component.

  16. Direct measurements of the velocity and thickness of ''explosively'' propagating buried molten layers in amorphous silicon

    International Nuclear Information System (INIS)

    Lowndes, D.H.; Jellison, G.E. Jr.; Pennycook, S.J.; Withrow, S.P.; Mashburn, D.N.

    1986-01-01

    Simultaneous infrared (1152 nm) and visible (633 nm) reflectivity measurements with nanosecond resolution were used to study the initial formation and subsequent motion of pulsed KrF laser-induced ''explosively'' propagating buried molten layers in ion implantation-amorphized silicon. The buried layer velocity decreases with depth below the surface, but increases with KrF laser energy density; a maximum velocity of about 14 m/s was observed, implying an undercooling-velocity relationship of approx. 14 K/(m/s). Z-contrast scanning transmission electron microscopy was used to form a direct chemical image of implanted Cu ions transported by the buried layer and showed that the final buried layer thickness was <15 nm

  17. The Response of the Ocean Thermal Skin Layer to Air-Sea Surface Heat Fluxes

    Science.gov (United States)

    Wong, Elizabeth Wing-See

    There is much evidence that the ocean is heating as a result of an increase in concentrations of greenhouse gases (GHGs) in the atmosphere from human activities. GHGs absorb infrared radiation and re-emit infrared radiation back to the ocean's surface which is subsequently absorbed. However, the incoming infrared radiation is absorbed within the top micrometers of the ocean's surface which is where the thermal skin layer exists. Thus the incident infrared radiation does not directly heat the upper few meters of the ocean. We are therefore motivated to investigate the physical mechanism between the absorption of infrared radiation and its effect on heat transfer at the air-sea boundary. The hypothesis is that since heat lost through the air-sea interface is controlled by the thermal skin layer, which is directly influenced by the absorption and emission of infrared radiation, the heat flow through the thermal skin layer adjusts to maintain the surface heat loss, assuming the surface heat loss does not vary, and thus modulates the upper ocean heat content. This hypothesis is investigated through utilizing clouds to represent an increase in incoming longwave radiation and analyzing retrieved thermal skin layer vertical temperature profiles from a shipboard infrared spectrometer from two research cruises. The data are limited to night-time, no precipitation and low winds of less than 2 m/s to remove effects of solar radiation, wind-driven shear and possibilities of thermal skin layer disruption. The results show independence of the turbulent fluxes and emitted radiation on the incident radiative fluxes which rules out the immediate release of heat from the absorption of the cloud infrared irradiance back into the atmosphere through processes such as evaporation and increase infrared emission. Furthermore, independence was confirmed between the incoming and outgoing radiative flux which implies the heat sink for upward flowing heat at the air-sea interface is more

  18. Metal ion-specific thermal stability of bacterial S-Layers

    Energy Technology Data Exchange (ETDEWEB)

    Drobot, Bjoern; Raff, Johannes [Helmholtz-Zentrum Dresden-Rossendorf e.V., Dresden (Germany). Div. Biogeochemistry; Fahmy, Karim [Helmholtz-Zentrum Dresden-Rossendorf e.V., Dresden (Germany). Div. Biophysics

    2016-07-01

    Many bacteria are covered by a surface layer (S-layer), i.e., a para-crystalline two-dimensional array of proteins which control cell shape, act as molecular sieves and have potential applications as radionuclide-binding material for bioremediation of polluted areas. Knowledge and control of the metal-dependent stability of the purified proteins is required for their technical application. Here, we have explored by differential scanning calorimetry the thermal stability of the S-layer protein slp-B53 from Lysinibacillus sphaericus, a Gram-positive bacterium isolated from a uranium mining waste pile [1].

  19. Zinc tin oxide as high-temperature stable recombination layer for mesoscopic perovskite/silicon monolithic tandem solar cells

    KAUST Repository

    Werner, Jérémie

    2016-12-05

    Perovskite/crystalline silicon tandem solar cells have the potential to reach efficiencies beyond those of silicon single-junction record devices. However, the high-temperature process of 500 °C needed for state-of-the-art mesoscopic perovskite cells has, so far, been limiting their implementation in monolithic tandem devices. Here, we demonstrate the applicability of zinc tin oxide as a recombination layer and show its electrical and optical stability at temperatures up to 500 °C. To prove the concept, we fabricate monolithic tandem cells with mesoscopic top cell with up to 16% efficiency. We then investigate the effect of zinc tin oxide layer thickness variation, showing a strong influence on the optical interference pattern within the tandem device. Finally, we discuss the perspective of mesoscopic perovskite cells for high-efficiency monolithic tandem solar cells. © 2016 Author(s)

  20. Optical properties of uniformly sized silicon nanocrystals within a single silicon oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    En Naciri, A., E-mail: aotmane.en-naciri@univ-lorraine.fr [Universite de Lorraine, LCP-A2MC, Institut Jean Barriol (France); Miska, P. [Universite de Lorraine, Institut Jean Lamour CNRS UMR 7198 (France); Keita, A.-S. [Max Planck Institute for Intelligent Systems (Germany); Battie, Y. [Universite de Lorraine, LCP-A2MC, Institut Jean Barriol (France); Rinnert, H.; Vergnat, M. [Universite de Lorraine, Institut Jean Lamour CNRS UMR 7198 (France)

    2013-04-15

    Silicon nanocrystals (Si-NC) with different sizes (2-6 nm) are synthesized by evaporation. The system is composed of a single Si-NC layer that is well controlled in size. The numerical modeling of such system, without a large size distribution, is suitable to perform easily the optical calculations. The nanocrystal size and confinement effects on the optical properties are determined by photoluminescence (PL) measurements, absorption in the UV visible range, and spectroscopic ellipsometry (SE). The optical constants and the bandgap energies are then extracted and analyzed. The dependence of the optical responses with the decrease of the size of the Si-NC occurs not only with a drastic reduction of the amplitudes of dielectric function but also by a significant expansion of the optical gap. This study supports the idea of a presence of a critical size of Si-NC for which the confinement effect becomes weak. The evolution of those bandgap energies are discussed in comparison with values reported in literature.

  1. Formation and Characterization of Stacked Nanoscale Layers of Polymers and Silanes on Silicon Surfaces

    Science.gov (United States)

    Ochoa, Rosie; Davis, Brian; Conley, Hiram; Hurd, Katie; Linford, Matthew R.; Davis, Robert C.

    2008-10-01

    Chemical surface patterning at the nanoscale is a critical component of chemically directed assembly of nanoscale devices or sensitive biological molecules onto surfaces. Complete and consistent formation of nanoscale layers of silanes and polymers is a necessary first step for chemical patterning. We explored methods of silanizing silicon substrates for the purpose of functionalizing the surfaces. The chemical functionalization, stability, flatness, and repeatability of the process was characterized by use of ellipsometry, water contact angle, and Atomic Force Microscopy (AFM). We found that forming the highest quality functionalized surfaces was accomplished through use of chemical vapor deposition (CVD). Specifically, surfaces were plasma cleaned and hydrolyzed before the silane was applied. A polymer layer less then 2 nm in thickness was electrostatically bound to the silane layer. The chemical functionalization, stability, flatness, and repeatability of the process was also characterized for the polymer layer using ellipsometry, water contact angle, and AFM.

  2. Thermal Analysis of Low Layer Density Multilayer Insulation Test Results

    Science.gov (United States)

    Johnson, Wesley L.

    2011-01-01

    Investigation of the thermal performance of low layer density multilayer insulations is important for designing long-duration space exploration missions involving the storage of cryogenic propellants. Theoretical calculations show an analytical optimal layer density, as widely reported in the literature. However, the appropriate test data by which to evaluate these calculations have been only recently obtained. As part of a recent research project, NASA procured several multilayer insulation test coupons for calorimeter testing. These coupons were configured to allow for the layer density to be varied from 0.5 to 2.6 layer/mm. The coupon testing was completed using the cylindrical Cryostat-l00 apparatus by the Cryogenics Test Laboratory at Kennedy Space Center. The results show the properties of the insulation as a function of layer density for multiple points. Overlaying these new results with data from the literature reveals a minimum layer density; however, the value is higher than predicted. Additionally, the data show that the transition region between high vacuum and no vacuum is dependent on the spacing of the reflective layers. Historically this spacing has not been taken into account as thermal performance was calculated as a function of pressure and temperature only; however the recent testing shows that the data is dependent on the Knudsen number which takes into account pressure, temperature, and layer spacing. These results aid in the understanding of the performance parameters of MLI and help to complete the body of literature on the topic.

  3. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  4. Effect of van der Waals forces on thermal conductance at the interface of a single-wall carbon nanotube array and silicon

    Directory of Open Access Journals (Sweden)

    Ya Feng

    2014-12-01

    Full Text Available Molecular dynamics simulations are performed to evaluate the effect of van der Waals forces among single-wall carbon nanotubes (SWNTs on the interfacial thermal conductance between a SWNT array and silicon substrate. First, samples of SWNTs vertically aligned on silicon substrate are simulated, where both the number and arrangement of SWNTs are varied. Results reveal that the interfacial thermal conductance of a SWNT array/Si with van der Waals forces present is higher than when they are absent. To better understand how van der Waals forces affect heat transfer through the interface between SWNTs and silicon, further constructs of one SWNT surrounded by different numbers of other ones are studied, and the results show that the interfacial thermal conductance of the central SWNT increases with increasing van der Waals forces. Through analysis of the covalent bonds and vibrational density of states at the interface, we find that heat transfer across the interface is enhanced with a greater number of chemical bonds and that improved vibrational coupling of the two sides of the interface results in higher interfacial thermal conductance. Van der Waals forces stimulate heat transfer at the interface.

  5. Metallic layer-by-layer photonic crystals for linearly-polarized thermal emission and thermophotovoltaic device including same

    Science.gov (United States)

    Lee, Jae-Hwang; Ho, Kai-Ming; Constant, Kristen P.

    2016-07-26

    Metallic thermal emitters consisting of two layers of differently structured nickel gratings on a homogeneous nickel layer are fabricated by soft lithography and studied for polarized thermal radiation. A thermal emitter in combination with a sub-wavelength grating shows a high extinction ratio, with a maximum value close to 5, in a wide mid-infrared range from 3.2 to 7.8 .mu.m, as well as high emissivity up to 0.65 at a wavelength of 3.7 .mu.m. All measurements show good agreement with theoretical predictions. Numerical simulations reveal that a high electric field exists within the localized air space surrounded by the gratings and the intensified electric-field is only observed for the polarizations perpendicular to the top sub-wavelength grating. This result suggests how the emissivity of a metal can be selectively enhanced at a certain range of wavelengths for a given polarization.

  6. High performance multilayered nano-crystalline silicon/silicon-oxide light-emitting diodes on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Darbari, S; Shahmohammadi, M; Mortazavi, M; Mohajerzadeh, S [Thin Film and Nano-Electronic Laboratory, School of ECE, University of Tehran, Tehran (Iran, Islamic Republic of); Abdi, Y [Nano-Physics Research Laboratory, Department of Physics, University of Tehran, Tehran (Iran, Islamic Republic of); Robertson, M; Morrison, T, E-mail: mohajer@ut.ac.ir [Department of Physics, Acadia University, Wolfville, NS (Canada)

    2011-09-16

    A low-temperature hydrogenation-assisted sequential deposition and crystallization technique is reported for the preparation of nano-scale silicon quantum dots suitable for light-emitting applications. Radio-frequency plasma-enhanced deposition was used to realize multiple layers of nano-crystalline silicon while reactive ion etching was employed to create nano-scale features. The physical characteristics of the films prepared using different plasma conditions were investigated using scanning electron microscopy, transmission electron microscopy, room temperature photoluminescence and infrared spectroscopy. The formation of multilayered structures improved the photon-emission properties as observed by photoluminescence and a thin layer of silicon oxy-nitride was then used for electrical isolation between adjacent silicon layers. The preparation of light-emitting diodes directly on glass substrates has been demonstrated and the electroluminescence spectrum has been measured.

  7. Porous silicon-based passivation and gettering in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Dimassi, W.; Bouaiecha, M.; Saadoun, M.; Bessaies, B.; Ezzaouia, H.; Bennaceur, R.

    2002-01-01

    In this work, we report on the effect of introducing a superficial porous silicon (PS) layer on the electrical characteristics of polycrystalline silicon solar cells. The PS layer was formed using a vapour etching (VE)-based method. In addition to its known anti-reflecting action, the forming hydrogen-rich PS layer acts as a passivating agent for the surface of the cell. As a result we found an improvement of the I-V characteristics in dark conditions and AM1 illumination. We show that when the formation of a superficial PS layer is followed by a heat treatment, gettering of impurities from the polycrystalline silicon material is possible. After the removal of the PS layer and the formation of the photovoltaic (PV) structure, we observed an increase of the light-beam-induced-current (LBIC) for treatment temperatures not exceeding 900 deg. C. An improvement of the bulk minority carrier diffusion length and the grain boundary (GB) recombination velocity were observed as the temperature rises, although a global decrease of the LBIC current was observed for temperatures greater than 900 deg. C

  8. Structural and Antimicrobial Evaluation of Silver Doped Hydroxyapatite-Polydimethylsiloxane Thin Layers

    Directory of Open Access Journals (Sweden)

    S. L. Iconaru

    2017-01-01

    Full Text Available An Ag:HAp (xAg = 0.5 powder was deposited by thermal evaporation technique as coating on a silicon substrate previously covered with a polydimethylsiloxane (PDMS layer. The Ag:HAp-PDMS layers were characterized by Scanning Electron Microscopy (SEM, Energy Dispersive X-ray Spectroscopy (EDS, and Fourier Transform Infrared Spectroscopy (FT-IR. By infrared spectroscopy analysis, the phase composition of the Ag:HAp-PDMS layers was investigated. The antimicrobial activity of Ag:HAp-PDMS layers was tested against Escherichia coli, Staphylococcus aureus, and Candida albicans microbial strains. The microbial activity decreases significantly for the surveyed time intervals on Ag:HAp-PDMS layers.

  9. Structural and optical properties of 70-keV carbon ion beam synthesized carbon nanoclusters in thermally grown silicon dioxide

    International Nuclear Information System (INIS)

    Poudel, P.R.; Poudel, P.P.; Paramo, J.A.; Strzhemechny, Y.M.; Rout, B.; McDaniel, F.D.

    2015-01-01

    The structural and optical properties of carbon nanoclusters formed in thermally grown silicon dioxide film via the ion beam synthesis process have been investigated. A low-energy (70 keV) carbon ion beam (C - ) at a fluence of 3 x 10 17 atoms/cm 2 was used for implantation into a thermally grown silicon dioxide layer (500 nm thick) on a Si (100) wafer. Several parts of the implanted samples were subsequently annealed in a gas mixture (4 % H 2 + 96 % Ar) at 900 C for different time periods. The as-implanted and annealed samples were characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy, Raman spectroscopy, transmission electron microscopy (TEM), and photoluminescence spectroscopy (PL). The carbon ion implantation depth profile was simulated using a widely used Monte Carlo-based simulation code SRIM-2012. Additionally, the elemental depth profile of the implanted carbon along with host elements of silicon and oxygen were simulated using a dynamic ion-solid interaction code T-DYN, which incorporates the effects of the surface sputtering and gradual change in the elemental composition in the implanted layers due to high-fluence ion implantation. The elemental depth profile obtained from the XPS measurements matches closely to the T-DYN predictions. Raman measurements indicate the formation of graphitic phases in the annealed samples. The graphitic peak (G-peak) was found to be increased with the annealing time duration. In the sample annealed for 10 min, the sizes of the carbon nanoclusters were found to be 1-4 nm in diameter using TEM. The PL measurements at room temperature using a 325-nm laser show broad-band emissions in the ultraviolet to visible range in the as-implanted sample. Intense narrow bands along with the broad bands were observed in the annealed samples. The defects present in the as-grown samples along with carbon ion-induced defect centers in the as-implanted samples are the main contributors to the observed

  10. Structural and optical properties of 70-keV carbon ion beam synthesized carbon nanoclusters in thermally grown silicon dioxide

    Energy Technology Data Exchange (ETDEWEB)

    Poudel, P.R. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States); Intel Corporation, Rio Rancho, NM (United States); Poudel, P.P. [University of Kentucky, Department of Chemistry, Lexington, KY (United States); Paramo, J.A.; Strzhemechny, Y.M. [Texas Christian University, Department of Physics and Astronomy, Fort Worth, TX (United States); Rout, B. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States); University of North Texas, Center for Advanced Research and Technology, Denton, TX (United States); McDaniel, F.D. [University of North Texas, Ion Beam Modification and Analysis Laboratory, Department of Physics, Denton, TX (United States)

    2014-09-18

    The structural and optical properties of carbon nanoclusters formed in thermally grown silicon dioxide film via the ion beam synthesis process have been investigated. A low-energy (70 keV) carbon ion beam (C{sup -}) at a fluence of 3 x 10{sup 17} atoms/cm{sup 2} was used for implantation into a thermally grown silicon dioxide layer (500 nm thick) on a Si (100) wafer. Several parts of the implanted samples were subsequently annealed in a gas mixture (4 % H{sub 2} + 96 % Ar) at 900 C for different time periods. The as-implanted and annealed samples were characterized by X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy, Raman spectroscopy, transmission electron microscopy (TEM), and photoluminescence spectroscopy (PL). The carbon ion implantation depth profile was simulated using a widely used Monte Carlo-based simulation code SRIM-2012. Additionally, the elemental depth profile of the implanted carbon along with host elements of silicon and oxygen were simulated using a dynamic ion-solid interaction code T-DYN, which incorporates the effects of the surface sputtering and gradual change in the elemental composition in the implanted layers due to high-fluence ion implantation. The elemental depth profile obtained from the XPS measurements matches closely to the T-DYN predictions. Raman measurements indicate the formation of graphitic phases in the annealed samples. The graphitic peak (G-peak) was found to be increased with the annealing time duration. In the sample annealed for 10 min, the sizes of the carbon nanoclusters were found to be 1-4 nm in diameter using TEM. The PL measurements at room temperature using a 325-nm laser show broad-band emissions in the ultraviolet to visible range in the as-implanted sample. Intense narrow bands along with the broad bands were observed in the annealed samples. The defects present in the as-grown samples along with carbon ion-induced defect centers in the as-implanted samples are the main

  11. The Effects of Thermal Cycling on Gallium Nitride and Silicon Carbide Semiconductor Devices for Aerospace Use

    Science.gov (United States)

    Patterson, Richard L.; Hammoud, Ahmad

    2012-01-01

    Electronics designed for use in NASA space missions are required to work efficiently and reliably under harsh environment conditions. These Include radiation, extreme temperatures, thermal cycling, to name a few. Preliminary data obtained on new Gallium Nitride and Silicon Carbide power devices under exposure to radiation followed by long term thermal cycling are presented. This work was done in collaboration with GSFC and JPL in support of the NASA Electronic Parts and Packaging (NEPP) Program

  12. Effect of layer thickness on the thermal release from Be–D co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Doerner, R.P.

    2014-01-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967–70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D 2 release from co-deposited Be–(0.05)D layers produced at ∼323 K. Bake desorption of layers of thickness 0.2–0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be–D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction. (paper)

  13. Dispersion engineering of thick high-Q silicon nitride ring-resonators via atomic layer deposition.

    Science.gov (United States)

    Riemensberger, Johann; Hartinger, Klaus; Herr, Tobias; Brasch, Victor; Holzwarth, Ronald; Kippenberg, Tobias J

    2012-12-03

    We demonstrate dispersion engineering of integrated silicon nitride based ring resonators through conformal coating with hafnium dioxide deposited on top of the structures via atomic layer deposition. Both, magnitude and bandwidth of anomalous dispersion can be significantly increased. The results are confirmed by high resolution frequency-comb-assisted-diode-laser spectroscopy and are in very good agreement with the simulated modification of the mode spectrum.

  14. Evolution of a Native Oxide Layer at the a-Si:H/c-Si Interface and Its Influence on a Silicon Heterojunction Solar Cell.

    Science.gov (United States)

    Liu, Wenzhu; Meng, Fanying; Zhang, Xiaoyu; Liu, Zhengxin

    2015-12-09

    The interface microstructure of a silicon heterojunction (SHJ) solar cell was investigated. We found an ultrathin native oxide layer (NOL) with a thickness of several angstroms was formed on the crystalline silicon (c-Si) surface in a very short time (∼30 s) after being etched by HF solution. Although the NOL had a loose structure with defects that are detrimental for surface passivation, it acted as a barrier to restrain the epitaxial growth of hydrogenated amorphous silicon (a-Si:H) during the plasma-enhanced chemical vapor deposition (PECVD). The microstructure change of the NOL during the PECVD deposition of a-Si:H layers with different conditions and under different H2 plasma treatments were systemically investigated in detail. When a brief H2 plasma was applied to treat the a-Si:H layer after the PECVD deposition, interstitial oxygen and small-size SiO2 precipitates were transformed to hydrogenated amorphous silicon suboxide alloy (a-SiO(x):H, x ∼ 1.5). In the meantime, the interface defect density was reduced by about 50%, and the parameters of the SHJ solar cell were improved due to the post H2 plasma treatment.

  15. Exact solution of nonsteady thermal boundary layer equation

    International Nuclear Information System (INIS)

    Dorfman, A.S.

    1995-01-01

    There are only a few exact solutions of the thermal boundary layer equation. Most of them are derived for a specific surface temperature distribution. The first exact solution of the steady-state boundary layer equation was given for a plate with constant surface temperature and free-stream velocity. The same problem for a plate with polynomial surface temperature distribution was solved by Chapmen and Rubesin. Levy gave the exact solution for the case of a power law distribution of both surface temperature and free-stream velocity. The exact solution of the steady-state boundary layer equation for an arbitrary surface temperature and a power law free-stream velocity distribution was given by the author in two forms: of series and of the integral with an influence function of unheated zone. A similar solution of the nonsteady thermal boundary layer equation for an arbitrary surface temperature and a power law free-stream velocity distribution is presented here. In this case, the coefficients of series depend on time, and in the limit t → ∞ they become the constant coefficients of a similar solution published before. This solution, unlike the one presented here, does not satisfy the initial conditions at t = 0, and, hence, can be used only in time after the beginning of the process. The solution in the form of a series becomes a closed-form exact solution for polynomial surface temperature and a power law free-stream velocity distribution. 7 refs., 2 figs

  16. Silicon heterojunction transistor

    International Nuclear Information System (INIS)

    Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.

    1979-01-01

    SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas

  17. Thermally responsive silicon nanowire arrays for native/denatured-protein separation

    International Nuclear Information System (INIS)

    Wang Hongwei; Wang Yanwei; Yuan Lin; Wang Lei; Yang Weikang; Wu Zhaoqiang; Li Dan; Chen Hong

    2013-01-01

    We present our findings of the selective adsorption of native and denatured proteins onto thermally responsive, native-protein resistant poly(N-isopropylacrylamide) (PNIPAAm) decorated silicon nanowire arrays (SiNWAs). The PNIPAAm–SiNWAs surface, which shows very low levels of native-protein adsorption, favors the adsorption of denatured proteins. The amount of denatured-protein adsorption is higher at temperatures above the lower critical solution temperature (LCST) of PNIPAAm. Temperature cycling surrounding the LCST, which ensures against thermal denaturation of native proteins, further increases the amount of denatured-protein adsorption. Moreover, the PNIPAAm–SiNWAs surface is able to selectively adsorb denatured protein even from mixtures of different protein species; meanwhile, the amount of native proteins in solution is kept nearly at its original level. It is believed that these results will not only enrich current understanding of protein interactions with PNIPAAm-modified SiNWAs surfaces, but may also stimulate applications of PNIPAAm–SiNWAs surfaces for native/denatured protein separation. (paper)

  18. Back contact to film silicon on metal for photovoltaic cells

    Science.gov (United States)

    Branz, Howard M.; Teplin, Charles; Stradins, Pauls

    2013-06-18

    A crystal oriented metal back contact for solar cells is disclosed herein. In one embodiment, a photovoltaic device and methods for making the photovoltaic device are disclosed. The photovoltaic device includes a metal substrate with a crystalline orientation and a heteroepitaxial crystal silicon layer having the same crystal orientation of the metal substrate. A heteroepitaxial buffer layer having the crystal orientation of the metal substrate is positioned between the substrate and the crystal silicon layer to reduce diffusion of metal from the metal foil into the crystal silicon layer and provide chemical compatibility with the heteroepitaxial crystal silicon layer. Additionally, the buffer layer includes one or more electrically conductive pathways to electrically couple the crystal silicon layer and the metal substrate.

  19. A thermal conductivity model for nanofluids including effect of the temperature-dependent interfacial layer

    International Nuclear Information System (INIS)

    Sitprasert, Chatcharin; Dechaumphai, Pramote; Juntasaro, Varangrat

    2009-01-01

    The interfacial layer of nanoparticles has been recently shown to have an effect on the thermal conductivity of nanofluids. There is, however, still no thermal conductivity model that includes the effects of temperature and nanoparticle size variations on the thickness and consequently on the thermal conductivity of the interfacial layer. In the present work, the stationary model developed by Leong et al. (J Nanopart Res 8:245-254, 2006) is initially modified to include the thermal dispersion effect due to the Brownian motion of nanoparticles. This model is called the 'Leong et al.'s dynamic model'. However, the Leong et al.'s dynamic model over-predicts the thermal conductivity of nanofluids in the case of the flowing fluid. This suggests that the enhancement in the thermal conductivity of the flowing nanofluids due to the increase in temperature does not come from the thermal dispersion effect. It is more likely that the enhancement in heat transfer of the flowing nanofluids comes from the temperature-dependent interfacial layer effect. Therefore, the Leong et al.'s stationary model is again modified to include the effect of temperature variation on the thermal conductivity of the interfacial layer for different sizes of nanoparticles. This present model is then evaluated and compared with the other thermal conductivity models for the turbulent convective heat transfer in nanofluids along a uniformly heated tube. The results show that the present model is more general than the other models in the sense that it can predict both the temperature and the volume fraction dependence of the thermal conductivity of nanofluids for both non-flowing and flowing fluids. Also, it is found to be more accurate than the other models due to the inclusion of the effect of the temperature-dependent interfacial layer. In conclusion, the present model can accurately predict the changes in thermal conductivity of nanofluids due to the changes in volume fraction and temperature for

  20. Alternative method for steam generation for thermal oxidation of silicon

    Science.gov (United States)

    Spiegelman, Jeffrey J.

    2010-02-01

    Thermal oxidation of silicon is an important process step in MEMS device fabrication. Thicker oxide layers are often used as structural components and can take days or weeks to grow, causing high gas costs, maintenance issues, and a process bottleneck. Pyrolytic steam, which is generated from hydrogen and oxygen combustion, was the default process, but has serious drawbacks: cost, safety, particles, permitting, reduced growth rate, rapid hydrogen consumption, component breakdown and limited steam flow rates. Results from data collected over a 24 month period by a MEMS manufacturer supports replacement of pyrolytic torches with RASIRC Steamer technology to reduce process cycle time and enable expansion previously limited by local hydrogen permitting. Data was gathered to determine whether Steamers can meet or exceed pyrolytic torch performance. The RASIRC Steamer uses de-ionized water as its steam source, eliminating dependence on hydrogen and oxygen. A non-porous hydrophilic membrane selectively allows water vapor to pass. All other molecules are greatly restricted, so contaminants in water such as dissolved gases, ions, total organic compounds (TOC), particles, and metals can be removed in the steam phase. The MEMS manufacturer improved growth rate by 7% over the growth range from 1μm to 3.5μm. Over a four month period, wafer uniformity, refractive index, wafer stress, and etch rate were tracked with no significant difference found. The elimination of hydrogen generated a four-month return on investment (ROI). Mean time between failure (MTBF) was increased from 3 weeks to 32 weeks based on three Steamers operating over eight months.

  1. Influence of Material Coating on the Heat Transfer in a Layered Cu-SiC-Cu Systems

    Directory of Open Access Journals (Sweden)

    Strojny-Nędza A.

    2017-06-01

    Full Text Available This paper describes the process of obtaining Cu-SiC-Cu systems by way of spark plasma sintering. A monocrystalline form of silicon carbide (6H-SiC type was applied in the experiment. Additionally, silicon carbide samples were covered with a layer of tungsten and molybdenum using chemical vapour deposition (CVD technique. Microstructural examinations and thermal properties measurements were performed. A special attention was put to the metal-ceramic interface. During annealing at a high temperature, copper reacts with silicon carbide. To prevent the decomposition of silicon carbide two types of coating (tungsten and molybdenum were applied. The effect of covering SiC with the aforementioned elements on the composite’s thermal conductivity was analyzed. Results were compared with the numerical modelling of heat transfer in Cu-SiC-Cu systems. Certain possible reasons behind differences in measurements and modelling results were discussed.

  2. Simultaneous measurements of thermal conductivity and electrical conductivity of micro-machined Silicon films

    International Nuclear Information System (INIS)

    Hagino, H; Kawahara, Y; Goto, A; Miyazaki, K

    2012-01-01

    The in-plane effective thermal conductivity of free-standing Si thin films with periodic micropores was measured at -100 to 0 °C. The Si thin films with micropores were prepared from silicon-on-insulator (SOI) wafers by standard microfabrication processes. The dimensions of the free-standing Si thin films were 200μm×150μm×2 μm, with staggered 4 μm pores having an average pitch of 4 mm. The Si thin film serves both as a heater and thermometer. The average temperature rise of the thin film is a function of its in-plane thermal conductivity. The effective thermal conductivity was calculated using a simple one-dimensional heat conduction model. The measured thermal conductivity was much lower than that expected based on classical model evaluations. A significant phonon size effect was observed even in the microsized structures, and the mean free path for phonons is very long even at the room temperature.

  3. Harmonic and Anharmonic Properties of Diamond Structure Crystals with Application to the Calculation of the Thermal Expansion of Silicon. Ph.D. Thesis. Final Report

    Science.gov (United States)

    Wanser, K. H.

    1981-01-01

    Silicon has interesting harmonic and anharmonic properties such as the low lying transverse acoustic modes at the X and L points of the Brillouin zone, negative Gruneisen parameters, negative thermal expansion and anomalous acoustic attenuation. In an attempt to understand these properties, a lattice dynamical model employing long range, nonlocal, dipole-dipole interactions was developed. Analytic expression for the Gruneisen parameters of several modes are presented. These expressions explain how the negative Gruneisen parameters arise. This model is applied to the calculation of the thermal expansion of silicon from 5K to 1700K. The thermoelastic contribution to the acoustic attenuation of silicon is computed from 1 to 300 K. Strong attenuation anomalies associated with negative thermal expansion are found in the vicinity of 17K and 125K.

  4. Polyenergy ion beam synthesis of buried oxynitride layer in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Barabanenkov, M.Yu. E-mail: barab@ipmt-hpm.ac.ru; Agafonov, Yu.A.; Mordkovich, V.N.; Pustovit, A.N.; Vyatkin, A.F.; Zinenko, V.I

    2000-11-01

    The efficiency of silicon oxynitride synthesis in silicon crystals implanted with substoichiometric doses of oxygen and nitrogen ions is investigated both experimentally and theoretically. Si crystals are implanted with oxygen and nitrogen ions with doses of 1.5 and 4.5x10{sup 17} cm{sup -2}, respectively, at fixed oxygen ion energy of 150 keV and nitrogen ion energies varied from 80 to 180 keV. The samples annealed at 1200 deg C for 2 h were analysed by secondary ion mass spectroscopy (SIMS). Theoretically, a `diffusion-alternative sinks' model is applied to the annealing stage of ion beam synthesis of a buried layer of a new phase in solids. It is shown that the maximum of the ternary phase production is attained when nitrogen ions are implanted deeper than oxygen ions. An explanation of this fact is given in terms of that (i) the segregation of oxygen and nitrogen species on the surface of oxide nuclei removes the kinetic restriction of nuclei growth, characteristic of oxide growth, at the expense of only oxygen atoms, and (ii) the higher the implantation energy the smoother the shape of ion range distribution in the target, which, in its turn, causes the predominance of the impurity sink over the impurity diffusion.

  5. Polyenergy ion beam synthesis of buried oxynitride layer in silicon

    International Nuclear Information System (INIS)

    Barabanenkov, M.Yu.; Agafonov, Yu.A.; Mordkovich, V.N.; Pustovit, A.N.; Vyatkin, A.F.; Zinenko, V.I.

    2000-01-01

    The efficiency of silicon oxynitride synthesis in silicon crystals implanted with substoichiometric doses of oxygen and nitrogen ions is investigated both experimentally and theoretically. Si crystals are implanted with oxygen and nitrogen ions with doses of 1.5 and 4.5x10 17 cm -2 , respectively, at fixed oxygen ion energy of 150 keV and nitrogen ion energies varied from 80 to 180 keV. The samples annealed at 1200 deg C for 2 h were analysed by secondary ion mass spectroscopy (SIMS). Theoretically, a `diffusion-alternative sinks' model is applied to the annealing stage of ion beam synthesis of a buried layer of a new phase in solids. It is shown that the maximum of the ternary phase production is attained when nitrogen ions are implanted deeper than oxygen ions. An explanation of this fact is given in terms of that (i) the segregation of oxygen and nitrogen species on the surface of oxide nuclei removes the kinetic restriction of nuclei growth, characteristic of oxide growth, at the expense of only oxygen atoms, and (ii) the higher the implantation energy the smoother the shape of ion range distribution in the target, which, in its turn, causes the predominance of the impurity sink over the impurity diffusion

  6. Influence of dome phosphor particle concentration on mid-power LED thermal resistance

    NARCIS (Netherlands)

    Alexeev, A.; Martin, G.; Hildenbrand, V.D.; Bosschaart, K.J.

    2016-01-01

    The modern white mid-power LEDs usually contain phosphor particles encapsulated in silicone dome material. The particles convert the blue light emitted from the epitaxial layer and play significant role in thermal processes of LED packages. In this paper the influence of the phosphor particles

  7. Redistribution of erbium during the crystallization of buried amorphous silicon layers

    International Nuclear Information System (INIS)

    Aleksandrov, O.V.; Nikolaev, Yu.A.; Sobolev, N.A.; Sakharov, V.I.; Serenkov, I.T.; Kudryavtsev, Yu.A.

    1999-01-01

    The redistribution of Er during its implantation in silicon at doses close to the amorphization threshold and its subsequent solid-phase epitaxial (SPE) crystallization is investigated. The formation of a buried amorphous (a) layer is discovered at Er doses equal to 5x10 13 and 1x10 14 cm -2 using Rutherford backscattering. The segregation of Er in this case takes place inwardly from the two directions corresponding to the upper and lower boundaries of the buried αlayer and leads to the formation of a concentration peak at the meeting place of the two crystallization fronts. A method for calculating the coordinate dependence of the segregation coefficient k from the distribution profiles of the erbium impurity before and after annealing is proposed. The k(x) curve exhibits a drop, whose width increases with decreasing Er implantation dose. Its appearance is attributed to the nonequilibrium nature of the segregation process at the beginning of SPE crystallization

  8. Silica-sol-based spin-coating barrier layer against phosphorous diffusion for crystalline silicon solar cells.

    Science.gov (United States)

    Uzum, Abdullah; Fukatsu, Ken; Kanda, Hiroyuki; Kimura, Yutaka; Tanimoto, Kenji; Yoshinaga, Seiya; Jiang, Yunjian; Ishikawa, Yasuaki; Uraoka, Yukiharu; Ito, Seigo

    2014-01-01

    The phosphorus barrier layers at the doping procedure of silicon wafers were fabricated using a spin-coating method with a mixture of silica-sol and tetramethylammonium hydroxide, which can be formed at the rear surface prior to the front phosphorus spin-on-demand (SOD) diffusion and directly annealed simultaneously with the front phosphorus layer. The optimization of coating thickness was obtained by changing the applied spin-coating speed; from 2,000 to 8,000 rpm. The CZ-Si p-type silicon solar cells were fabricated with/without using the rear silica-sol layer after taking the sheet resistance measurements, SIMS analysis, and SEM measurements of the silica-sol material evaluations into consideration. For the fabrication of solar cells, a spin-coating phosphorus source was used to form the n(+) emitter and was then diffused at 930°C for 35 min. The out-gas diffusion of phosphorus could be completely prevented by spin-coated silica-sol film placed on the rear side of the wafers coated prior to the diffusion process. A roughly 2% improvement in the conversion efficiency was observed when silica-sol was utilized during the phosphorus diffusion step. These results can suggest that the silica-sol material can be an attractive candidate for low-cost and easily applicable spin-coating barrier for any masking purpose involving phosphorus diffusion.

  9. Using silicon nanostructures for the improvement of silicon solar cells' efficiency

    International Nuclear Information System (INIS)

    Torre, J. de la; Bremond, G.; Lemiti, M.; Guillot, G.; Mur, P.; Buffet, N.

    2006-01-01

    Silicon nanostructures (ns-Si) show interesting optical and electrical properties as a result of the band gap widening caused by quantum confinement effects. Along with their potential utilization for silicon-based light emitters' fabrication, they could also represent an appealing option for the improvement of energy conversion efficiency in silicon-based solar cells whether by using their luminescence properties (photon down-conversion) or the excess photocurrent produced by an improved high-energy photon's absorption. In this work, we report on the morphological and optical studies of non-stoichiometric silica (SiO x ) and silicon nitride (SiN x ) layers containing silicon nanostructures (ns-Si) in view of their application for solar cell's efficiency improvement. The morphological studies of the samples performed by transmission electron microscopy (TEM) unambiguously show the presence of ns-Si in a crystalline form for high temperature-annealed SiO x layers and for low temperature deposition of SiN x layers. The photoluminescence emission (PL) shows a rather high efficiency in both kind of layers with an intensity of only a factor ∼ 100 lower than that of porous silicon (pi-Si). The photocurrent spectroscopy (PC) shows a significant increase of absorption at high photon energy excitation most probably related to photon absorption within ns-Si quantized states. Moreover, the absorption characteristics obtained from PC spectra show a good agreement with the PL emission states unambiguously demonstrating a same origin, related to Q-confined excitons within ns-Si. Finally, the major asset of this material is the possibility to incorporate it to solar cells manufacturing processing for an insignificant cost

  10. The role of defects in fluorescent silicon carbide layers grown by sublimation epitaxy

    DEFF Research Database (Denmark)

    Schimmel, Saskia; Kaiser, Michl; Jokubavicius, Valdas

    Donor-acceptor co-doped silicon carbide layers are promising light converters for novel monolithic all-semiconductor LEDs due to their broad-band donor-acceptor pair luminescence and potentially high internal quantum efficiency. Besides appropriate doping concentrations yielding low radiative...... lifetimes, high nonradiative lifetimes are crucial for efficient light conversion. Despite the excellent crystalline quality that can generally be obtained by sublimation epitaxy according to XRD measurements, the role of defects in f-SiC is not yet well understood. Recent results from room temperature...... photoluminescence, charge carrier lifetime measurements by microwave detected photoconductivity and internal quantum efficiency measurements suggest that the internal quantum efficiency of f-SiC layers is significantly affected by the incorporation of defects during epitaxy. Defect formation seems to be related...

  11. Self-organized, effective medium black silicon antireflection structures for silicon optics in the mid-infrared

    Science.gov (United States)

    Steglich, Martin; Käsebier, Thomas; Kley, Ernst-Bernhard; Tünnermann, Andreas

    2016-09-01

    Thanks to its high quality and low cost, silicon is the material of choice for optical devices operating in the mid-infrared (MIR; 2 μm to 6 μm wavelength). Unfortunately in this spectral region, the refractive index is comparably high (about 3.5) and leads to severe reflection losses of about 30% per interface. In this work, we demonstrate that self-organized, statistical Black Silicon structures, fabricated by Inductively Coupled Plasma Reactive Ion Etching (ICP-RIE), can be used to effectively suppress interface reflection. More importantly, it is shown that antireflection can be achieved in an image-preserving, non-scattering way. This enables Black Silicon antireflection structures (ARS) for imaging applications in the MIR. It is demonstrated that specular transmittances of 97% can be easily achieved on both flat and curved substrates, e.g. lenses. Moreover, by a combined optical and morphological analysis of a multitude of different Black Silicon ARS, an effective medium criterion for the examined structures is derived that can also be used as a design rule for maximizing sample transmittance in a desired wavelength range. In addition, we show that the mechanical durability of the structures can be greatly enhanced by coating with hard dielectric materials like diamond-like carbon (DLC), hence enabling practical applications. Finally, the distinct advantages of statistical Black Silicon ARS over conventional AR layer stacks are discussed: simple applicability to topological substrates, absence of thermal stress and cost-effectiveness.

  12. Thermal stability of double-ceramic-layer thermal barrier coatings with various coating thickness

    International Nuclear Information System (INIS)

    Dai Hui; Zhong Xinghua; Li Jiayan; Zhang Yanfei; Meng Jian; Cao Xueqiang

    2006-01-01

    Double-ceramic-layer (DCL) coatings with various thickness ratios composed of YSZ (6-8 wt.% Y 2 O 3 + ZrO 2 ) and lanthanum zirconate (LZ, La 2 Zr 2 O 7 ) were produced by the atmospheric plasma spraying. Chemical stability of LZ in contact with YSZ in DCL coatings was investigated by calcining powder blends at different temperatures. No obvious reaction was observed when the calcination temperature was lower than 1250 deg. C, implying that LZ and YSZ had good chemical applicability for producing DCL coating. The thermal cycling test indicate that the cycling lives of the DCL coatings are strongly dependent on the thickness ratio of LZ and YSZ, and the coatings with YSZ thickness between 150 and 200 μm have even longer lives than the single-layer YSZ coating. When the YSZ layer is thinner than 100 μm, the DCL coatings failed in the LZ layer close to the interface of YSZ layer and LZ layer. For the coatings with the YSZ thickness above 150 μm, the failure mainly occurs at the interface of the YSZ layer and the bond coat

  13. Steady state ensembles of thermal radiation in a layered media with a constant heat flux

    International Nuclear Information System (INIS)

    Budaev, Bair V.; Bogy, David B.

    2013-01-01

    This paper describes steady-state ensembles of thermally excited electromagnetic radiation in nano-scale layered media with a constant non-vanishing heat flux across the layers. It is shown that Planck's law of thermal radiation, the principle of equivalence, and the laws of wave propagation in layered media, imply that in order for the ensemble of thermally excited electromagnetic fields to exist in a medium consisting of a stack of layers between two half-space, the net heat flux across the layers must exceed a certain threshold that is determined by the temperatures of the half spaces and by the reflective properties of the entire structure. The obtained results provide a way for estimating the radiative heat transfer coefficient of nano-scale layered structures. (copyright 2013 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Colossal negative thermal expansion in reduced layered ruthenate.

    Science.gov (United States)

    Takenaka, Koshi; Okamoto, Yoshihiko; Shinoda, Tsubasa; Katayama, Naoyuki; Sakai, Yuki

    2017-01-10

    Large negative thermal expansion (NTE) has been discovered during the last decade in materials of various kinds, particularly materials associated with a magnetic, ferroelectric or charge-transfer phase transition. Such NTE materials have attracted considerable attention for use as thermal-expansion compensators. Here, we report the discovery of giant NTE for reduced layered ruthenate. The total volume change related to NTE reaches 6.7% in dilatometry, a value twice as large as the largest volume change reported to date. We observed a giant negative coefficient of linear thermal expansion α=-115 × 10 -6  K -1 over 200 K interval below 345 K. This dilatometric NTE is too large to be attributable to the crystallographic unit-cell volume variation with temperature. The highly anisotropic thermal expansion of the crystal grains might underlie giant bulk NTE via microstructural effects consuming open spaces in the sintered body on heating.

  15. Application of porous silicon in solar cell

    Science.gov (United States)

    Maniya, Nalin H.; Ashokan, Jibinlal; Srivastava, Divesh N.

    2018-05-01

    Silicon is widely used in solar cell applications with over 95% of all solar cells produced worldwide composed of silicon. Nanostructured thin porous silicon (PSi) layer acting as anti-reflecting coating is used in photovoltaic solar cells due to its advantages including simple and low cost fabrication, highly textured surfaces enabling lowering of reflectance, controllability of thickness and porosity of layer, and high surface area. PSi layers have previously been reported to reduce the reflection of light and replaced the conventional anti-reflective coating layers on solar cells. This can essentially improve the efficiency and decrease the cost of silicon solar cells. Here, we investigate the reflectance of different PSi layers formed by varying current density and etching time. PSi layers were formed by a combination of current density including 60 and 80 mA/cm2 and time for fabrication as 2, 4, 6, and 8 seconds. The fabricated PSi layers were characterized using reflectance spectroscopy and field emission scanning electron microscopy. Thickness and pore size of PSi layer were increased with increase in etching time and current density, respectively. The reflectance of PSi layers was decreased with increase in etching time until 6 seconds and increased again after 6 seconds, which was observed across both the current density. Reduction in reflectance indicates the increase of absorption of light by silicon due to the thin PSi layer. In comparison with the reflectance of silicon wafer, PSi layer fabricated at 80 mA/cm2 for 6 seconds gave the best result with reduction in reflectance up to 57%. Thus, the application of PSi layer as an effective anti-reflecting coating for the fabrication of solar cell has been demonstrated.

  16. Research of acceptor impurity thermal activation in GaN: Mg epitaxial layers

    Directory of Open Access Journals (Sweden)

    Aleksandr V. Mazalov

    2016-06-01

    The effect of thermal annealing of GaN:Mg layers on acceptor impurity activation has been investigated. Hole concentration increased and mobility decreased with an increase in thermal annealing temperature. The sample annealed at 1000 °C demonstrated the lowest value of resistivity. Rapid thermal annealing (annealing with high heating speed considerably improved the efficiency of Mg activation in the GaN layers. The optimum time of annealing at 1000 °C has been determined. The hole concentration increased by up to 4 times compared to specimens after conventional annealing.

  17. Free-standing silicon micro machined resistors from (110) substrate

    International Nuclear Information System (INIS)

    Bernardini, R.; Diligenti, A.; Nannini, A.; Piotto, M.

    1998-01-01

    A simple process to obtain silicon planes released from the substrate and provided with large area pads for ohmic contacts is described. Resistors 500 μm long with a 40 μm x 1 μm cross section were obtained. Resistance measurements showed that the current flows in a reduced cross section, probably owing to the presence of a superficial depletion layer. Preliminary magnetoresistance measurements are presented. Reduction of the resistor cross section can be obtained by thermal oxidation

  18. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Science.gov (United States)

    Weiying, Ou; Lei, Zhao; Hongwei, Diao; Jun, Zhang; Wenjing, Wang

    2011-05-01

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells.

  19. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Ou Weiying; Zhao Lei; Diao Hongwei; Zhang Jun; Wang Wenjing, E-mail: wjwangwj@126.com [Key Laboratory of Solar Thermal Energy and Photovoltaic System, Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)

    2011-05-15

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells. (semiconductor technology)

  20. Photoacoustic emission from Au nanoparticles arrayed on thermal insulation layer.

    Science.gov (United States)

    Namura, Kyoko; Suzuki, Motofumi; Nakajima, Kaoru; Kimura, Kenji

    2013-04-08

    Efficient photoacoustic emission from Au nanoparticles on a porous SiO(2) layer was investigated experimentally and theoretically. The Au nanoparticle arrays/porous SiO(2)/SiO(2)/Ag mirror sandwiches, namely, local plasmon resonators, were prepared by dynamic oblique deposition (DOD). Photoacoustic measurements were performed on the local plasmon resonators, whose optical absorption was varied from 0.03 (3%) to 0.95 by varying the thickness of the dielectric SiO(2) layer. The sample with high absorption (0.95) emitted a sound that was eight times stronger than that emitted by graphite (0.94) and three times stronger than that emitted by the sample without the porous SiO(2) layer (0.93). The contribution of the porous SiO(2) layer to the efficient photoacoustic emission was analyzed by means of a numerical method based on a one-dimensional heat transfer model. The result suggested that the low thermal conductivity of the underlying porous layer reduces the amount of heat escaping from the substrate and contributes to the efficient photoacoustic emission from Au nanoparticle arrays. Because both the thermal conductivity and the spatial distribution of the heat generation can be controlled by DOD, the local plasmon resonators produced by DOD are suitable for the spatio-temporal modulation of the local temperature.