WorldWideScience

Sample records for teos plasma-enhanced cvd

  1. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  2. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  3. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  4. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  5. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  6. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  7. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  8. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  9. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  10. Quantum mechanical study of pre-dissociation enhancement of linear and nonlinear polarizabilities of (TeO2)(n) oligomers as a key to understanding the remarkable dielectric properties of TeO2 glasses.

    Science.gov (United States)

    Smirnov, Mikhail; Mirgorodsky, Andrei; Masson, Olivier; Thomas, Philippe

    2012-09-20

    The effects of intermolecular interactions of TeO(2) molecules in the (TeO(2))(n) oligomers on the polarizability (α) and second hyperpolarizability (γ) are investigated by the use of a density functional method. A significant intermolecular distance dependence of both quantities is observed. The huge dissociation-induced polarizability enhancement is analyzed in terms of the molecular orbital evolution. It is shown that the obtained results can provide a new look at the microscopic origin of the extraordinary dielectric properties of TeO(2) glass.

  11. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  12. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  13. Diameter Tuning of Single-Walled Carbon Nanotubes by Diffusion Plasma CVD

    Directory of Open Access Journals (Sweden)

    Toshiaki Kato

    2011-01-01

    Full Text Available We have realized a diameter tuning of single-walled carbon nanotubes (SWNTs by adjusting process gas pressures with plasma chemical vapor deposition (CVD. Detailed photoluminescence measurements reveal that the diameter distribution of SWNTs clearly shifts to a large-diameter region with an increase in the pressure during plasma CVD, which is also confirmed by Raman scattering spectroscopy. Based on the systematical investigation, it is found that the main diameter of SWNTs is determined by the pressure during the heating in an atmosphere of hydrogen and the diameter distribution is narrowed by adjusting the pressure during the plasma generation. Our results could contribute to an application of SWNTs to high-performance thin-film transistors, which requires the diameter-controlled semiconductor-rich SWNTs.

  14. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  15. Growth of carbon allotropes and plasma characterization in linear antenna microwave plasma CVD system

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Davydova, Marina; Ižák, Tibor; Čada, Martin; Kromka, Alexander

    2014-01-01

    Roč. 53, č. 5 (2014), "05FP04-1"-"05FP04-3" ISSN 0021-4922 R&D Projects: GA TA ČR TA01011740; GA ČR GAP205/12/0908 Grant - others:AVČR(CZ) M100100902 Institutional support: RVO:68378271 Keywords : antenna linear * CVD system * plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.127, year: 2014

  16. Hard coatings by plasma CVD on polycarbonate for automotive and optical applications

    International Nuclear Information System (INIS)

    Schmauder, T.; Nauenburg, K.-D.; Kruse, K.; Ickes, G.

    2006-01-01

    In many applications, plastic surfaces need coatings as a protection against abrasion or weathering. Leybold Optics is developing Plasma CVD processes and machinery for transparent hard coatings (THC) for polycarbonate parts. In this paper we present the current features and remaining challenges of this technique. The coatings generally show excellent adhesion. Abrasion resistance is superior to commonly used lacquers. Climate durability of the coating has been improved to pass the tests demanded by automotive specifications. Current activities are focused on improving the durability under exposure to UV radiation. Estimations show that our high-rate plasma CVD hard coating process is also economically competitive to lacquering

  17. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  18. New materials for advanced lithium battery. Especially on plasma-assisted CVD of TiS sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Kikkawa, Shin' ichi [Osaka Univ. (Japan)

    1989-03-15

    Intercalation can be applied to cathode reaction of rechargeable battery. Three kinds of candidate materials, TiS{sub 2}, NbS{sub 3} and alkali transition metal dioxides such as LiCoO{sub 2} were studied as the battery cathode. TiS{sub 2} is closest to a practical usage. Lithium ion has to diffuse in the TiS{sub 2} crystal lattice during the intercalation. Large surface area is necessary to obtain high current density. Preferred orientation of the crystallite is also required in its film formation to further enhance its current density. CVD reactor was used to obtain TiS{sub 2} fine powder and its thin film. Black fine power was obtained on a thin film deposited on a glass substrate. The powder was obtained in high yield under a pressure of 120 Pa at the electrode center where the plasma density was high. In conclusion, TiS{sub 2} fine power with submicron diameter and also highly preferred oriented TiS{sub 2} thin film were obtained using plasma-CVD. 21 refs., 8 figs.

  19. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  20. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  1. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  2. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  3. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  4. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Science.gov (United States)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  5. Forming method of a functional layer-built film by micro-wave plasma CVD

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Keishi

    1988-11-18

    In forming an amorphous semi-conductor material film, the micro-wave plasma CVD cannot be generally used because of such demerits as film-separation, low yield, columnar structure in the film, and problems in the optical and electrical properties. In this invention, a specific substrate is placed in a layer-built film forming unit which is capable of maintaining vacuum; raw material gas for the film formation is introduced; plasma is generated by a micro-wave energy to decompose the raw material gas, thus forming the layer-built film on the substarte. Then a film is made by adding a specific amount of calcoganide-containing gas to the raw material gas. By this, the utilization efficiency of the raw material gas gets roughly 100% and both the adhesion to the substrate and the structural flexibility of the layer-built film increase, enhancing the yield of forming various functional elements (sensor, solar cell, thin transistor film, etc.), and thus greatly reducing the production cost. 6 figs., 7 tabs.

  6. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  7. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  8. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  9. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  10. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  11. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  12. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  13. FABRICATION OF CNTS BY TOLUENE DECOMPOSITION IN A NEW REACTOR BASED ON AN ATMOSPHERIC PRESSURE PLASMA JET COUPLED TO A CVD SYSTEM

    Directory of Open Access Journals (Sweden)

    FELIPE RAMÍREZ-HERNÁNDEZ

    2017-03-01

    Full Text Available Here, we present a method to produce carbon nanotubes (CNTs based on the coupling between two conventional techniques used for the preparation of nanostructures: an arc-jet as a source of plasma and a chemical vapour deposition (CVD system. We call this system as an “atmospheric pressure plasma (APP-enhanced CVD” (APPE-CVD. This reactor was used to grow CNTs on non-flat aluminosilicate substrates by the decomposition of toluene (carbon source in the presence of ferrocene (as a catalyst. Both, CNTs and by-products of carbon were collected at three different temperatures (780, 820 and 860 °C in different regions of the APPE-CVD system. These samples were analysed by thermogravimetric analysis (TGA and DTG, scanning electron microscopy (SEM and Raman spectroscopy in order to determine the effect of APP on the thermal stability of the as-grown CNTs. It was found that the amount of metal catalyst in the synthesised CNTs is reduced by applying APP, being 820 °C the optimal temperature to produce CNTs with a high yield and carbon purity (95 wt. %. In contrast, when the synthesis temperature was fixed at 780 °C or 860 °C, amorphous carbon or CNTs with different structural defects, respectively, was formed through APEE-CVD reactor. We recommended the use of non-flat aluminosilicate particles as supports to increase CNT yield and facilitate the removal of deposits from the substrate surface. The approach that we implemented (to synthesise CNTs by using the APPE-CVD reactor may be useful to produce these nanostructures on a gram-scale for use in basic studies. The approach may also be scaled up for mass production.

  14. P-type sp3-bonded BN/n-type Si heterodiode solar cell fabricated by laser-plasma synchronous CVD method

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Nagata, Takahiro; Chikyo, Toyohiro; Sato, Yuhei; Watanabe, Takayuki; Hirano, Daisuke; Takizawa, Takeo; Nakamura, Katsumitsu; Hashimoto, Takuya; Nakamura, Takuya; Koga, Kazunori; Shiratani, Masaharu; Yamamoto, Atsushi

    2009-01-01

    A heterojunction of p-type sp 3 -bonded boron nitride (BN) and n-type Si fabricated by laser-plasma synchronous chemical vapour deposition (CVD) showed excellent rectifying properties and proved to work as a solar cell with photovoltaic conversion efficiency of 1.76%. The BN film was deposited on an n-type Si (1 0 0) substrate by plasma CVD from B 2 H 6 + NH 3 + Ar while doping of Si into the BN film was induced by the simultaneous irradiation of an intense excimer laser with a pulse power of 490 mJ cm -2 , at a wavelength of 193 nm and at a repetition rate of 20 Hz. The source of dopant Si was supposed to be the Si substrate ablated at the initial stage of the film growth. The laser enhanced the doping (and/or diffusion) of Si into BN as well as the growth of sp 3 -bonded BN simultaneously in this method. P-type conduction of BN films was determined by the hot (thermoelectric) probe method. The BN/Si heterodiode with an essentially transparent p-type BN as a front layer is supposed to efficiently absorb light reaching the active region so as to potentially result in high efficiency.

  15. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  16. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  17. Plasma-enhanced synthesis of green flame retardant cellulosic materials

    Science.gov (United States)

    Totolin, Vladimir

    The natural fiber-containing fabrics and composites are more environmentally friendly, and are used in transportation (automobiles, aerospace), military applications, construction industries (ceiling paneling, partition boards), consumer products, etc. Therefore, the flammability characteristics of the composites based on polymers and natural fibers play an important role. This dissertation presents the development of plasma assisted - green flame retardant coatings for cellulosic substrates. The overall objective of this work was to generate durable flame retardant treatment on cellulosic materials. In the first approach sodium silicate layers were pre-deposited onto clean cotton substrates and cross linked using low pressure, non-equilibrium oxygen plasma. A statistical design of experiments was used to optimize the plasma parameters. The modified cotton samples were tested for flammability using an automatic 45° angle flammability test chamber. Aging tests were conducted to evaluate the coating resistance during the accelerated laundry technique. The samples revealed a high flame retardant behavior and good thermal stability proved by thermo-gravimetric analysis. In the second approach flame retardant cellulosic materials have been produced using a silicon dioxide (SiO2) network coating. SiO 2 network armor was prepared through hydrolysis and condensation of the precursor tetraethyl orthosilicate (TEOS), prior coating the substrates, and was cross linked on the surface of the substrates using atmospheric pressure plasma (APP) technique. Due to protection effects of the SiO2 network armor, the cellulosic based fibers exhibit enhanced thermal properties and improved flame retardancy. In the third approach, the TEOS/APP treatments were extended to linen fabrics. The thermal analysis showed a higher char content and a strong endothermic process of the treated samples compared with control ones, indicating a good thermal stability. Also, the surface analysis proved

  18. In situ mid-infrared analyses of reactive gas-phase intermediates in TEOS/Ozone SAPCVD

    International Nuclear Information System (INIS)

    Whidden, Thomas K.; Doiron, Sarah

    1998-01-01

    In this report, we present in situ characterizations of chemical vapour deposition (CVD) reactors used in silicon dioxide thin film depositions. The characterizations are based on Fourier transform infrared spectroscopy. The infrared absorption data are interpreted within the context of process and thin film properties and the bearing of the spectroscopic data upon the chemical mechanisms extant in the deposition reaction. The relevance of the interpretations to real-time process control is discussed. The process under study in this work is TEOS/ozone-based deposition of silicon dioxide thin films at subatmospheric pressures. This process exhibits many desirable properties but has fundamental problems that may be solvable by reaction control based on in situ analyses and the real-time manipulation of reagent concentrations and process conditions. Herein we discuss our preliminary data on characterizations of TEOS/ozone chemistries in commercial reactor configurations. Reaction products and reactive intermediate species are detected and identified. Quantitative in situ measurements of the reagent materials are demonstrated. Preliminary correlations of these data with process and thin film properties are discussed

  19. An optical emission spectroscopy study of the plasma generated in the DC HF CVD nucleation of diamond

    Energy Technology Data Exchange (ETDEWEB)

    Larijani, M.M. [Nuclear Research Centre for Agriculture and Medicine, AEOI, P.O. Box 31485-498, Karaj (Iran, Islamic Republic of)]. E-mail: mmojtahedzadeh@nrcam.org; Le Normand, F. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France); Cregut, O. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France)

    2007-02-15

    Optical emission spectroscopy (OES) was used to study the plasma generated by the activation of the gas phase CH{sub 4} + H{sub 2} both by hot filaments and by a plasma discharge (DC HF CVD) during the nucleation of CVD diamond. The effects of nucleation parameters, such as methane concentration and extraction potential, on the plasma chemistry near the surface were investigated. The density of the diamond nucleation and the quality of the diamond films were studied by scanning electron microscopy (SEM) and Raman scattering, respectively. The OES results showed that the methane concentration influenced strongly the intensity ratio of H{sub {beta}}-H{sub {alpha}} implying an increase of electron mean energy, as well as CH, CH{sup +}, C{sub 2}. A correlation between the relative increase of CH{sup +} and the diamond nucleation density was found, conversely the increase of C{sub 2} contributed to the introduction of defects in the diamond nuclei.

  20. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  1. Zn2(TeO3Br2

    Directory of Open Access Journals (Sweden)

    Mats Johnsson

    2008-05-01

    Full Text Available Single crystals of dizinc tellurium dibromide trioxide, Zn2(TeO3Br2, were synthesized via a transport reaction in sealed evacuated silica tubes. The compound has a layered crystal structure in which the building units are [ZnO4Br] distorted square pyramids, [ZnO2Br2] distorted tetrahedra, and [TeO3E] tetrahedra (E being the 5s2 lone pair of Te4+ joined through sharing of edges and corners to form layers of no net charge. Bromine atoms and tellurium lone pairs protrude from the surfaces of each layer towards adjacent layers. This new compound Zn2(TeO3Br2 is isostructural with the synthetic compounds Zn2(TeO3Cl2, CuZn(TeO32, Co2(TeO3Br2 and the mineral sophiite, Zn2(SeO3Cl2.

  2. Zn2(TeO3)Br2

    Science.gov (United States)

    Zhang, Dong; Johnsson, Mats

    2008-01-01

    Single crystals of dizinc tellurium dibromide trioxide, Zn2(TeO3)Br2, were synthesized via a transport reaction in sealed evacuated silica tubes. The compound has a layered crystal structure in which the building units are [ZnO4Br] distorted square pyramids, [ZnO2Br2] distorted tetra­hedra, and [TeO3 E] tetra­hedra (E being the 5s 2 lone pair of Te4+) joined through sharing of edges and corners to form layers of no net charge. Bromine atoms and tellurium lone pairs protrude from the surfaces of each layer towards adjacent layers. This new compound Zn2(TeO3)Br2 is isostructural with the synthetic compounds Zn2(TeO3)Cl2, CuZn(TeO3)2, Co2(TeO3)Br2 and the mineral sophiite, Zn2(SeO3)Cl2. PMID:21202162

  3. Heparin free coating on PLA membranes for enhanced hemocompatibility via iCVD

    Science.gov (United States)

    Wang, Hui; Shi, Xiao; Gao, Ailin; Lin, Haibo; Chen, Yongliang; Ye, Yumin; He, Jidong; Liu, Fu; Deng, Gang

    2018-03-01

    In the present work, we report one-step immobilization of nano-heparin coating on PLA membranes via initiated chemical vapor deposition (iCVD) for enhanced hemocompatibility. The nano-coating introduced onto the membrane surface via the crosslinking of P(MAA-EGDA) was confirmed by the FTIR, SEM and weight measurement respectively. The negative carboxyl groups could form the hydration interaction with the protein and platelets and electrostatic interaction with amide groups of thrombin by the mediation of antithrombin, which is similar but different with heparin. The P(MAA-EGDA) coated membranes showed suppressed platelet adhesion and prolonged clotting time (APTTs increased to 59 s, PTs increased to 20.4 s, TTs increased to 17.5 s, and the FIBs declined by 30 mg/dL). Moreover, the complement activation tests demonstrated the formation of C3a and C5a was inhibited. All results demonstrated that the nano-coating of P(MAA-EGDA) via iCVD significantly enhanced the hemocompatibility of PLA membranes, which is also applicable for various membranes.

  4. TEO LT hakkab kaotama atraktiivsust / Romet Kreek

    Index Scriptorium Estoniae

    Kreek, Romet, 1972-

    2011-01-01

    Autori sõnul on Leedu telekomiettevõtte TEO LT puhul raske oodata, et käive ja kasum kasvaksid, parimal juhul on need stabiilsed. Osalt on selle põhjuseks tehnoloogilised muutused, osalt suuromaniku poliitika. TEO LT I kvartali majandusnäitajatest

  5. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  6. Plasma Enhanced Growth of Carbon Nanotubes For Ultrasensitive Biosensors

    Science.gov (United States)

    Cassell, Alan M.; Li, J.; Ye, Q.; Koehne, J.; Chen, H.; Meyyappan, M.

    2004-01-01

    The multitude of considerations facing nanostructure growth and integration lends itself to combinatorial optimization approaches. Rapid optimization becomes even more important with wafer-scale growth and integration processes. Here we discuss methodology for developing plasma enhanced CVD growth techniques for achieving individual, vertically aligned carbon nanostructures that show excellent properties as ultrasensitive electrodes for nucleic acid detection. We utilize high throughput strategies for optimizing the upstream and downstream processing and integration of carbon nanotube electrodes as functional elements in various device types. An overview of ultrasensitive carbon nanotube based sensor arrays for electrochemical biosensing applications and the high throughput methodology utilized to combine novel electrode technology with conventional MEMS processing will be presented.

  7. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  8. Growth and characterization of nanodiamond layers prepared using plasma enhanced linear antennas microwave CVD system

    Czech Academy of Sciences Publication Activity Database

    Fendrych, František; Taylor, Andrew; Peksa, Ladislav; Kratochvílová, Irena; Vlček, J.; Řezáčová, V.; Petrák, V.; Kluiber, Z.; Fekete, Ladislav; Liehr, M.; Nesládek, M.

    2010-01-01

    Roč. 43, č. 37 (2010), 374018/1-374018/6 ISSN 0022-3727 R&D Projects: GA AV ČR KAN200100801; GA AV ČR KAN300100801; GA AV ČR KAN301370701 Institutional research plan: CEZ:AV0Z10100520 Keywords : nanodiamond, * thin films * PE MW CVD * linear antennas Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.105, year: 2010

  9. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  10. Lipids, atherosclerosis and CVD risk: is CRP an innocent bystander?

    DEFF Research Database (Denmark)

    Nordestgaard, B G; Zacho, J

    2009-01-01

    AIM: To evaluate recent human studies with respect to the interpretation of whether elevated plasma levels of C-reactive protein (CRP) cause cardiovascular disease (CVD), or whether elevated CRP levels more likely is an innocent bystander. DATA SYNTHESIS: Elevated CRP concentrations...... and vulnerability of atherosclerotic plaques, and thus simply an innocent bystander in CVD....

  11. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  12. Post-Irradiation Behaviour of I131 in TeO2

    International Nuclear Information System (INIS)

    Jaćimović, Lj.; Stevović, J.; Veljković, S.R.

    1965-01-01

    The system I 131 in TeO 2 is interesting because little is known about thermal chemical changes in this target. Radioiodine was produced by neutron irradiation of TeO 2 in the reactor. Irradiated TeO 2 was dissolved in diluted NaOH. The analysis of the iodine valency forms was made by ion exchange techniques. The thermal and radiation stability of TeO 2 was studied by using the spectrophotometric method for the determination of tellurium. Post-irradiation annealing of I 131 in TeO 2 was studied in dependence on the time and temperature of the heating. The main tendency of annealing was the reduction of radioiodine. The time dependence of this process indicates a fast change at high temperatures. The curves are more complex at lower temperatures. The annealing may appear complex because of the variety of thermal reactions of iodine intermediary. It may react with products of the following processes: tellurium recoil and corresponding hot zone, beta transition of Te 131 and TeO 2 itself. The kinetics of these changes was considered and an estimation of the processes during annealing was made. The influence of the neutron flux on the kinetics of annealing was also studied. (author) [fr

  13. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  14. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  15. Structure of glasses of the TeO2-MoO3 system

    International Nuclear Information System (INIS)

    Dimitriev, Y.; Dimitrov, V.; Bart, J.C.J.

    1981-01-01

    Structural models for glasses of the TeO 2 -MoO 3 system are suggested. On the basis of X-ray and infrared spectral investigations, by comparing with known crystalline structures of TeO 2 , MoO 3 and Te 2 MoO 7 9t 2 M, it is shown that the glasses from TeO 2 to Te 2 MoO 7 possess [TeO 4 ] and [MoO 5 ] groups as basic structural units. The latter are connected to form [Mo 2 O 8 ] complexes. The glasses in the MoO 3 -rich compositional range are built up of [TeO 3 ] and [MoO 6 ] polyhedra. The glass-formation tendency is discussed in relation to the role of the free electron pair and the disruption of secondary and weak primary bonds in the crystals. (author)

  16. TEO - vana rahamasin töötab ikka veel / Steffan Andersson

    Index Scriptorium Estoniae

    Andersson, Steffan

    2006-01-01

    Ülevaade Leedu kommunikatsioonifirma TEO (endine Leedu Telekom, praegu 60% mahus TeliaSonera tütarfirma) majandustegevusest ja aktsia hinnast. Graafik: TEO aktsia kurss 24. okt. 2005 - 24. okt. 2006

  17. Design and construction of a prototype to obtain TeO2

    International Nuclear Information System (INIS)

    Roque H, I.

    1997-01-01

    At the National Institute of Nuclear Research is developed the process to produce the radioisotope Iodine 131 which is employed in medicine with therapeutical purposes. The raw material to produce iodine 131 is tellurium dioxide (TeO 2 ). TeO 2 is intended to be produced from a prototype being this aim of this thesis named D esign and construction of a prototype to obtain TeO 2 . The TeO 2 obtained must have specific physicochemical characteristics, being necessary an special design of a prototype which will guarantee the quality of tellurium dioxide obtention. Design and building the final prototype project, was developed in to three stages. At the first stage, the TeO 2 was obtained at the laboratory, this allows to know the basic reaction characteristics. The second stage purpose, was to work with an former prototype which allowed to produce 100 g of tellurium dioxide. In the last stage a depurated chemical process parameters was made and the prototype was refined in regard to its mechanical design, giving us as result the final prototype. With this final prototype, the production reaches 2 Kg/week of tellurium dioxide with the best physicochemical properties which is to be employed as raw material in order to produce iodine 131. (Author)

  18. Electron collision cross section sets of TMS and TEOS vapours

    Science.gov (United States)

    Kawaguchi, S.; Takahashi, K.; Satoh, K.; Itoh, H.

    2017-05-01

    Reliable and detailed sets of electron collision cross sections for tetramethylsilane [TMS, Si(CH3)4] and tetraethoxysilane [TEOS, Si(OC2H5)4] vapours are proposed. The cross section sets of TMS and TEOS vapours include 16 and 20 kinds of partial ionization cross sections, respectively. Electron transport coefficients, such as electron drift velocity, ionization coefficient, and longitudinal diffusion coefficient, in those vapours are calculated by Monte Carlo simulations using the proposed cross section sets, and the validity of the sets is confirmed by comparing the calculated values of those transport coefficients with measured data. Furthermore, the calculated values of the ionization coefficient in TEOS/O2 mixtures are compared with measured data to confirm the validity of the proposed cross section set.

  19. Nanostructure and optical properties of CeO{sub 2} thin films obtained by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Barreca, D.; Bruno, G.; Gasparotto, A.; Losurdo, M.; Tondello, E

    2003-12-15

    In the present study, Spectroscopic Ellipsometry (SE) is used to investigate the interrelations between nanostructure and optical properties of CeO{sub 2} thin films deposited by Plasma-Enhanced Chemical Vapor Deposition (PE-CVD). The layers were synthesized in Ar and Ar-O{sub 2} plasmas on Si(100) substrates at temperatures lower than 300 deg. C. Both the real and imaginary parts of the complex dielectric functions and, subsequently, the optical constants of the films are derived up to 6.0 eV photon energy. Particular attention is devoted to the influence of synthesis conditions and sample properties on the optical response, taking into account the effects of surface roughness and SiO{sub 2} interface layer on Si.

  20. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  1. The role of (sub)-surface oxygen on the surface electronic structure of hydrogen terminated (100) CVD diamond

    NARCIS (Netherlands)

    Deferme, W.; Tanasa, G.; Amir, J.; Haenen, K.; Nesladek, M.; Flipse, C.F.J.

    2006-01-01

    In this work, scanning tunnelling microscopy (STM) and scanning tunnelling spectroscopy (STS) were applied to investigate the surface morphol. and the surface electronic structure of plasma-treated (100)-oriented CVD diamond films. These films were hydrogenated using a conventional MWPE-CVD

  2. Structure of TeO2 - LiNbO3 glasses

    Science.gov (United States)

    Shinde, A. B.; Krishna, P. S. R.; Rao, Rekha

    2017-05-01

    Tellurite based lithium niobate glasses with composition (100-x)TeO2-xLiNbO3 (x=0.1,0.2 & 0.3) were prepared by conventional melt quenching method. The microscopic structural investigation of these glasses is carried out by means of neutron diffraction and Raman scattering measurements. It is found that the basic structural units in these glasses are TeO4 trigonal bipyramids(TBP), TeO3 trigonal pyramids(TP) and NbO6 Octahedra depending on the composition. It is evident from Raman studies that TBPs decreases, TPs increases and NbO6 Octahedra increases with increasing x. From Neutron diffraction studies it is found that network is comprised of TBPs and TPs along with TeO3+1 structural units. Distorted NbO6 octahedral units are present and also increase with the increase in x.

  3. Microwave plasma CVD of oxide films relating to high Tc Bi-Sr-Ca-Cu-O superconductor

    International Nuclear Information System (INIS)

    Hashimoto, T.; Kosaka, T.; Yoshida, Y.; Yoshimoto, M.; Koinuma, H.

    1989-01-01

    Microwave plasma CVD was applied to the synthesis of Bi, Sr, Ca, and Cu oxide films at relatively low temperatures. Gas source materials used were Bi(C 6 H 5 ) 3 , Sr(PPM) 2 , Ca(PPM) 2 , and Cu(HFA) 2 , where PPM and HFA represent C 2 F 5 COCHCOC(CH 3 ) 3 and CF 3 COCHCOCF 3 , respectively. Films were deposited on MgO (100) substrate at temperatures between 200 C and 400 C under an atmosphere of 1000mTorr Ar-O 2 (50/100) mixture which was partially excited by plasma. From Bi(C 6 H 5 ) 3 , Bi 2 O 3 was formed at 200 C without containing carbon above the detection level by XPS analysis. From Cu(HFA) 2 , CuO was prepared at 400 C by increasing oxygen partial pressure to 0.1Torr. (At lower oxygen partial pressure, CuF 2 or amorphous films were deposited.) From Sr(PPM) 2 and Ca(PPM) 2 , SrF 2 and CaF 2 were obtained at 400 C. The attempt to fabricate superconducting films is also reported

  4. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  5. Low-temperature sintering and microwave dielectric properties of Al2TeO6–TeO2 ceramics

    International Nuclear Information System (INIS)

    Kagomiya, Isao; Kodama, Yuichiro; Shimizu, Yukihiro; Kakimoto, Ken-ichi; Ohsato, Hitoshi; Miyauchi, Yasuharu

    2015-01-01

    Highlights: • This is the first study of dielectric properties of Al 2 TeO 6 –TeO 2 sintered at 900 °C. • The sintering at 900 °C contributed to densification, but it causes TeO 2 evaporation. • The annealing at 750 °C was effective for the further densification. • The both ε r and Q · f in the Al 2 TeO 6 –TeO 2 were improved with the annealing. - Abstract: We propose Al 2 TeO 6 –TeO 2 ceramics as a candidate for use as low-temperature co-fired ceramics (LTCC). We investigated microwave dielectric properties and low-temperature sintering conditions for Al 2 TeO 6 –TeO 2 ceramics. The calcined Al 2 TeO 6 powders were sintered at 900 °C for 2–10 h with 30–50 wt% additive TeO 2 . X-ray powder diffraction patterns showed that the sintered samples were Al 2 TeO 6 –TeO 2 composite with no other phase. The apparent density was improved with the additive TeO 2 content of up to 45 wt%. The dielectric constant (ε r ) increased by adding TeO 2 content from 35 to 45 wt%, although the quality factor (Q · f) decreased. During sintering at 900 °C, the ε r of the Al 2 TeO 6 –TeO 2 decreased slightly, whereas the Q · f increased gradually. The observed microstructures showed that the longer sintering time makes fewer pores in Al 2 TeO 6 –TeO 2 ceramics. Sintering at 900 °C for a long time contributes to densification, but it simultaneously causes TeO 2 evaporation. To prevent TeO 2 evaporation, we investigated the effects of annealing at 750 °C after sintering at 900 °C. Apparent densities or ε r for the annealed samples were higher than those of the non-annealed samples. The Q · f improved with increasing annealing duration time, suggesting that sintering proceeded well during annealing with slower TeO 2 evaporation at 750 °C. The results show that annealing at 750 °C is effective to facilitate sintering and to control TeO 2 evaporation

  6. Enhanced intrinsic voltage gain in artificially stacked bilayer CVD graphene field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Pandey, Himadri; Kataria, Satender [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); Aguirre-Morales, Jorge-Daniel; Fregonese, Sebastien; Zimmer, Thomas [IMS Laboratory, Centre National de la Recherche Scientifique, University of Bordeaux, Talence (France); Passi, Vikram [University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany); Iannazzo, Mario; Alarcon, Eduard [Technical University of Catalonia, Department of Electronics Engineering, UPC, Barcelona (Spain); Lemme, Max C. [RWTH Aachen University, Chair for Electronic Devices, Aachen (Germany); University of Siegen, School of Science and Technology, Siegen (Germany); AMO GmbH, Advanced Microelectronics Center Aachen (Germany)

    2017-11-15

    We report on electronic transport in dual-gate, artificially stacked bilayer graphene field effect transistors (BiGFETs) fabricated from large-area chemical vapor deposited (CVD) graphene. The devices show enhanced tendency to current saturation, which leads to reduced minimum output conductance values. This results in improved intrinsic voltage gain of the devices when compared to monolayer graphene FETs. We employ a physics based compact model originally developed for Bernal stacked bilayer graphene FETs (BSBGFETs) to explore the observed phenomenon. The improvement in current saturation may be attributed to increased charge carrier density in the channel and thus reduced saturation velocity due to carrier-carrier scattering. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    International Nuclear Information System (INIS)

    Boncel, Slawomir; Koziol, Krzysztof K.K.

    2014-01-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I D /I G ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs

  8. Growth and Characterization of Magnetoelectric Fe2TeO6 Thin Films

    Science.gov (United States)

    Wang, Junlei; Colon Santana, Juan; Wu, Ning; Dowben, Peter; Binek, Christian

    2013-03-01

    Voltage-controlled spintronics is of vital importance in information technology where power consumption and Joule heating restrict progress through scaling. Motivated by spintronic concepts and specifically by device applications utilizing electrically controlled interface or boundary magnetization (BM) in magnetic thin film heterostructures, we report on growth, structural, magnetic and magnetoelectric (ME) characterization of the antiferromagnet Fe2TeO6. Magnetometry of synthesized Fe2TeO6 powder, in combination with ME susceptibility data reveals 3D Heisenberg criticality in striking similarity to the archetypical ME chromia. X-ray diffraction shows (110) texture of the PLD grown films. Measurements of the magnetic susceptibility of the latter confirm in-plane magnetic anisotropy. X-ray photoemission spectroscopy indicates a Te-O terminated (110) surface. We interpret it in terms of surface reconstruction. Measurements of X-ray magnetic circular dichroism combined with photoemission electron microscopy support the presence of electrically controllable BM in the PLD-grown Fe2TeO6 thin film. We acknowledge financial support by NSF-MRSEC & Nanoelectronics Research Initiative.

  9. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    Science.gov (United States)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  10. Kinetic study and application of analytical techniques in the obtention of TeO2 for the production of 131 I

    International Nuclear Information System (INIS)

    Plata D, G.

    2006-01-01

    The objectives of this investigation work were: A) To synthesize TeO 2 starting from the reaction among the Te and HNO 3 with a superior yield to 90% b) To determine the good temperature of obtaining of the TeO 2 at laboratory level, c) To determine those constant of reaction kinetics, for each one of the tests carried out to different temperatures, and the activation energy of the reaction, d) To characterize the produced TeO 2 , e) To check the viability of using the obtained TeO 2 as raw matter in the production of 131 I in order to contribute to the technological development of our country inside the radioisotope production area. The production process of TeO 2 consists primarily of seven phases: 1) Preparing and assembly of the production equipment of TeO 2 , 2) Oxidation reaction of tellurium, 3) Drying and elimination of sludges of TeO 2 , 4) Sintering of TeO 2 , 5) Characterization of the TeO 2 by means of analytical techniques, 6) Irradiation of the TeO 2 , 7) Quality control. The present document has five chapters, which allow the reader to follow the development of this work to achieve the objectives and to contrast with the hypothesis of the work. (Author)

  11. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    Energy Technology Data Exchange (ETDEWEB)

    Boncel, Slawomir, E-mail: slawomir.boncel@polsl.pl [Department of Organic Chemistry, Biochemistry and Biotechnology, Silesian University of Technology, Krzywoustego 4, 44-100 Gliwice (Poland); Koziol, Krzysztof K.K., E-mail: kk292@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, CB3 0FS Cambridge (United Kingdom)

    2014-05-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I{sub D}/I{sub G} ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs.

  12. Fabrication of Nd:YAG transparent ceramics with both TEOS and MgO additives

    International Nuclear Information System (INIS)

    Yang Hao; Qin Xianpeng; Zhang Jian; Wang Shiwei; Ma Jan; Wang Lixi; Zhang Qitu

    2011-01-01

    Research highlights: → It is well known that the use of TEOS as sintering aid is required to reach fully dense and transparent Nd:YAG ceramics. However, it is difficult to produce high quality transparent Nd:YAG ceramics only using TEOS as sintering aid. In this present work, high quality transparent Nd:YAG ceramic was fabricated using both TEOS and MgO as sintering aids. There have been few reports that both TEOS and MgO were co-added as sintering aids in YAG or Nd:YAG transparent ceramics to date. The transmittance of Nd:YAG ceramic is 83.8% at 1064 nm. The effect of MgO on the optical properties of transparent ceramics was also studied. - Abstract: Neodymium doped YAG transparent ceramics were fabricated by vacuum reactive sintering method using commercial α-Al 2 O 3 , Y 2 O 3 and Nd 2 O 3 powders as the starting materials with both tetraethyl orthosilicate (TEOS) and MgO as sintering aids. The morphologies and microstructure of the powders and Nd:YAG transparent ceramics were investigated. Fully dense Nd:YAG ceramics with average grain size of ∼10 μm were obtained by vacuum sintering at 1780 deg. C for 8 h. No pores and grain-boundary phases were observed. The in-line transmittance of the ceramic was 83.8% at 1064 nm.

  13. Thermodynamic characterization of Ni3TeO6, Ni2Te3O8 and NiTe2O5

    Science.gov (United States)

    Dawar, Rimpi; Babu, R.; Ananthasivan, K.; Anthonysamy, S.

    2017-09-01

    Measurement of vapour pressure of TeO2(g) over the biphasic mixture Ni3TeO6 (s) + NiO(s) in the temperature range 1143-1272 K was carried out using transpiration-thermogravimetric technique (TTG). Gibbs energy of formation of Ni3TeO6 was obtained from the temperature dependence of vapour pressure of TeO2 (g) generated by the incongruent vapourisation reaction, Ni3TeO6 (s) → NiO(s) + TeO2 (g) + 1/2 O2 in the temperature range 1143-1272 K. An isoperibol type drop calorimeter was used to measure the enthalpy increments of Ni3TeO6, Ni2Te3O8 and NiTe2O5. Thermodynamic functions viz., heat capacity, entropy and Gibbs energy functions of these compounds were derived from the experimentally measured enthalpy increment values. Third-law analysis was carried out to ascertain absence of temperature dependent systematic errors in the measurement of vapour pressure of TeO2 (g). A value of -1265.1 ± 1.5 kJ mol-1 was obtained for Δ Hf,298K o (Ni3TeO6) using third-law analysis.

  14. Enhanced photoresponse characteristics of transistors using CVD-grown MoS2/WS2 heterostructures

    Science.gov (United States)

    Shan, Junjie; Li, Jinhua; Chu, Xueying; Xu, Mingze; Jin, Fangjun; Fang, Xuan; Wei, Zhipeng; Wang, Xiaohua

    2018-06-01

    Semiconductor heterostructures based on transition metal dichalcogenides provide a broad platform to research two-dimensional nanomaterials and design atomically thin devices for fundamental and applied interests. The MoS2/WS2 heterostructure was prepared on SiO2/Si substrate by chemical vapor deposition (CVD) in our research. And the optical properties of the heterostructure was characterized by Raman and photoluminescence (PL) spectroscopy. The similar 2 orders of magnitude decrease of PL intensity in MoS2/WS2 heterostructures was tested, which is attribute to the electrical and optical modulation effects are connected with the interfacial charge transfer between MoS2 and WS2 films. Using MoS2/WS2 heterostructure as channel material of the phototransistor, we demonstrated over 50 folds enhanced photoresponsivity of multilayer MoS2 field-effect transistor. The results indicate that the MoS2/WS2 films can be a promising heterostructure material to enhance the photoresponse characteristics of MoS2-based phototransistors.

  15. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  16. Conservation of Monuments by a Three-Layered Compatible Treatment of TEOS-Nano-Calcium Oxalate Consolidant and TEOS-PDMS-TiO2 Hydrophobic/Photoactive Hybrid Nanomaterials

    Directory of Open Access Journals (Sweden)

    Chrysi Kapridaki

    2018-04-01

    Full Text Available In the conservation of monuments, research on innovative nanocomposites with strengthening, hydrophobic and self-cleaning properties have attracted the interest of the scientific community and promising results have been obtained as a result. In this study, stemming from the need for the compatibility of treatments in terms of nanocomposite/substrate, a three-layered compatible treatment providing strengthening, hydrophobic, and self-cleaning properties is proposed. This conservation approach was implemented treating lithotypes and mortars of different porosity and petrographic characteristics with a three-layered treatment comprising: (a a consolidant, tetraethoxysilane (TEOS-nano-Calcium Oxalate; (b a hydrophobic layer of TEOS-polydimethylsiloxane (PDMS; and (c a self-cleaning layer of TiO2 nanoparticles from titanium tetra-isopropoxide with oxalic acid as hole-scavenger. After the three-layered treatment, the surface hydrophobicity was improved due to PDMS and nano-TiO2 in the interface substrate/atmosphere, as proven by the homogeneity and the Si–O–Ti hetero-linkages of the blend protective/self-cleaning layers observed by Scanning Electron Microscope (SEM, Transmission Electron Microscope (TEM and Fourier-Transform Infrared Spectroscopy (FTIR. The aesthetic, microstructural, mechanical and permeabile compatibility of the majority of treated substrates ranged within acceptability limits. The improved photocatalytic activity, as proven by the total discoloration of methylene blue in the majority of cases, was attributed to the anchorage of TiO2, through the Si–O–Ti bonds to SiO2, in the interface with the atmosphere, thus enhancing photoactivation.

  17. Study of the triton-burnup process in different JET scenarios using neutron monitor based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Nemtsev, G., E-mail: g.nemtsev@iterrf.ru; Amosov, V.; Meshchaninov, S.; Rodionov, R. [Institution “Project center ITER,” Moscow (Russian Federation); Popovichev, S. [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Collaboration: EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom)

    2016-11-15

    We present the results of analysis of triton burn-up process using the data from diamond detector. Neutron monitor based on CVD diamond was installed in JET torus hall close to the plasma center. We measure the part of 14 MeV neutrons in scenarios where plasma current varies in a range of 1-3 MA. In this experiment diamond neutron monitor was also able to detect strong gamma bursts produced by runaway electrons arising during the disruptions. We can conclude that CVD diamond detector will contribute to the study of fast particles confinement and help predict the disruption events in future tokamaks.

  18. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  19. Teadus- ja erialaraamatukogudel oma päev ja aasta teo auhind / Kristina Rallmann

    Index Scriptorium Estoniae

    Rallmann, Kristina

    2008-01-01

    Esimene teadus- ja erialaraamatukogude päev toimus 22. oktoobril 2008 Tallinna majanduskoolis, teadusraamatukogu aasta teo auhind läks RR-i virtuaaalnäitusele "Meie parlament ja aeg: fakte, sündmusi, dokumente, inimesi" ning erialaraamatukogu aasta teo auhind Eesti Pimedate Raamatukogu töörühmale heliteavikute CD-plaatidele automatiseeritud paljundamise ja posti teel laenutamise süsteemi eest

  20. Jungi salajane teos toodi seifist lagedale / Kaarel Kressa

    Index Scriptorium Estoniae

    Kressa, Kaarel, 1983-

    2009-01-01

    Carl Gustav Jungi Esimese maailmasõja järel kirjutatud teose "Liber Novus" käsikiri pannakse välja New Yorgi Rubini muuseumis. Samal päeval ilmub teos ka inglise ja saksa keeles raamatupoodidesse (kirjastaja W. W. Norton&Company)

  1. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  2. Hydrophobic and low density silica aerogels dried at ambient pressure using TEOS precursor

    International Nuclear Information System (INIS)

    Gurav, Jyoti L.; Rao, A. Venkateswara; Bangi, Uzma K.H.

    2009-01-01

    In the conventional ambient pressure drying of silica aerogels, tedious repetitive gel washing and solvent exchange steps (∼6 days) are involved. Therefore, in the present studies, we intended to reduce the processing time of TEOS based ambient pressure dried silica aerogels. Solvents such as methanol, hexane and Hexamethyldisilazane (HMDZ) as surface chemical modification agents have been used. To get good quality aerogels in terms of low density, high porosity, high contact angle and low volume shrinkage in less processing time, we varied MeOH/TEOS, HMDZ/TEOS molar ratios, oxalic acid (A) and NH 4 OH (B) concentrations and stirring time from 1 to 27.7, 0.34 to 2.1, 0 to 0.1 M, 0 to 2 M and 15 to 90 min respectively. The transparent and low-density aerogels were obtained for TEOS:MeOH:acidic H 2 O:basic H 2 O:HMDZ molar ratio of 1:16.5:0.81:0.50:0.681 respectively. The thermal stability and hydrophobicity have been confirmed with Thermogravimetric and Differential Thermal (TG-DT) analyses and Fourier Transform Infrared Spectroscopy. Microstructural study was carried out by Scanning Electron Microscopy (SEM)

  3. Ca teos report in register N 13377

    International Nuclear Information System (INIS)

    Pena, S; Arrighetti, R.

    2011-01-01

    This work is about a report about ca teos carried out in register N 13377 in the department of Canelones to know the depth of the field. The drilling done allowed to identify granite and clay. This granite outcrops are developed in the east of the middle course of the stream Pando

  4. Synthesis, structural, thermal and optical properties of TeO2-Bi2O3-GeO2-Li2O glasses

    Science.gov (United States)

    Dimowa, Louiza; Piroeva, Iskra; Atanasova-Vladimirova, S.; Petrova, Nadia; Ganev, Valentin; Titorenkova, Rositsa; Yankov, Georgi; Petrov, Todor; Shivachev, Boris L.

    2016-10-01

    In this study, synthesis and characterization of novel quaternary tellurite glass system TeO2-Bi2O3-GeO2-Li2O is presented. The compositions include TeO2 and GeO2 as glass formers while different proportion of Bi2O3 and Li2O act as network modifiers. Differential thermal analysis, X-ray diffraction, scanning electron microscopy energy dispersive X-ray spectroscopy, laser ablation inductively coupled plasma mass spectrometry, UV-Vis and Raman spectroscopy are applied to study the structural, thermal and optical properties of the studied glasses. Obtained glasses possess a relatively low glass transition temperature (around 300 °C) if compared to other tellurite glasses, show good thermal transparency in the visible and near infra-red (from 2.4 to 0.4 μm) and can double the frequency of laser light from its original wavelength of 1064 nm to its second-harmonic at 532 nm (i.e. second harmonic generation).

  5. Infrared Spectroscopy and Raman Scattering Studies on the Structure of Ag2O. B2O3. TeO2 Glass

    International Nuclear Information System (INIS)

    Thazin Myint; Soe Soe Thin; Pho Kaung; Sein Htoon

    2006-06-01

    Infrared spectroscopy investigation of silver - borate - tellurite glasses in the system 0.4 Ag2 O. 0.6 (x B2 O2. (1-x) TeO2) for various of x (0 < x < 1) has been performed in order to understand the glass modifying properties of the TeO2. In pure crystalline TeO2 spectra observed absorption bands at 780 cm-1 and 660 cm-1 have been ascribed to the stretching vibration of TeO bonds in the TeO4 units. In the glass 0.4 Ag2 O. 0.6 (x B2 O3. (1-x) TeO2) the bands at 700 cm-1 and 694 cm-1 are assigned to the symmetric breathing vibration of the boroxol group and the pentaborate one. The glasses show bands at 630 cm-1 which corresponds to the vibrations due to TeO4 units

  6. Teo omistamine riigile rahvusvahelises õiguses : [bakalaureusetöö] / Kadri Paris ; Tartu Ülikool, õigusteaduskond ; juhendaja: Juhani Kortteinen

    Index Scriptorium Estoniae

    Paris, Kadri

    2003-01-01

    Riigivastutuse areng rahvusvahelises õiguses, riigivastutuse üldised põhimõtted, teo riigile omistamine õigusliku sideme olemasolul teo toimepanija ja riigi vahel, riigi vastutus eraisikute tegude eest

  7. Study of the optical properties of TeO2-PbO-TiO2 glass system

    Directory of Open Access Journals (Sweden)

    Raul F. Cuevas

    1998-06-01

    Full Text Available We describe the preparation and some optical properties of high refractive index TeO2-PbO-TiO2 glass system. Highly homogeneous glasses were obtained by agitating the mixture during the melting process in an alumina crucible. The characterization was done by X-ray diffraction, Raman scattering, light absorption and linear refractive index measurements. The results show a change in the glass structure as the PbO content increases: the TeO4 trigonal bipyramids characteristics of TeO2 glasses transform into TeO3 trigonal pyramids. However, the measured refractive indices are almost independent of the glass composition. We show that third-order nonlinear optical susceptibilities calculated from the measured refractive indices using Lines' theoretical model are also independent of the glass composition.

  8. Background suppression in TeO2 bolometers with Neganov-Luke amplified cryogenic light detectors

    International Nuclear Information System (INIS)

    Willers, Michael

    2015-01-01

    Cryogenic detectors based on non-scintillating TeO 2 crystals are used in the search for the neutrinoless double beta decay, presently one of the most important fields of research in neutrino and astroparticle physics. Within this work, the application of Neganov-Luke amplified cryogenic light detectors for the background suppression in TeO 2 crystals is investigated. Alpha-induced background events can be discriminated from signal-like electron/gamma events via the detection of Cherenkov radiation produced by highly energetic electrons within the TeO 2 crystal. Using Neganov-Luke light detectors, it could be shown for the first time that a highly efficient event-by-event discrimination between alpha and electron/gamma-induced events can be achieved.

  9. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  10. Controlled surface diffusion in plasma-enhanced chemical vapor deposition of GaN nanowires

    International Nuclear Information System (INIS)

    Hou, W C; Hong, Franklin Chau-Nan

    2009-01-01

    This study investigates the growth of GaN nanowires by controlling the surface diffusion of Ga species on sapphire in a plasma-enhanced chemical vapor deposition (CVD) system. Under nitrogen-rich growth conditions, Ga has a tendency to adsorb on the substrate surface diffusing to nanowires to contribute to their growth. The significance of surface diffusion on the growth of nanowires is dependent on the environment of the nanowire on the substrate surface as well as the gas phase species and compositions. Under nitrogen-rich growth conditions, the growth rate is strongly dependent on the surface diffusion of gallium, but the addition of 5% hydrogen in nitrogen plasma instantly diminishes the surface diffusion effect. Gallium desorbs easily from the surface by reaction with hydrogen. On the other hand, under gallium-rich growth conditions, nanowire growth is shown to be dominated by the gas phase deposition, with negligible contribution from surface diffusion. This is the first study reporting the inhibition of surface diffusion effects by hydrogen addition, which can be useful in tailoring the growth and characteristics of nanowires. Without any evidence of direct deposition on the nanowire surface, gallium and nitrogen are shown to dissolve into the catalyst for growing the nanowires at 900 deg. C.

  11. Plasma characterization using terahertz-wave-enhanced fluorescence

    International Nuclear Information System (INIS)

    Liu Jingle; Zhang, X.-C.

    2010-01-01

    We demonstrate that the terahertz-wave-enhanced fluorescence emission from excited atoms or molecules can be employed in the characterization of laser-induced gas plasmas. The electron relaxation time and plasma density were deduced through applying the electron impact excitation/ionization and electron-ion recombination processes to the measured time-dependent enhanced fluorescence. The electron collision dynamics of nitrogen plasma excited at different gas pressures and laser pulse energies have been systematically investigated. This plasma characterization method provides picosecond temporal resolution and enables omnidirectional optical signal collection.

  12. Dielectric properties of the ternary TeO2/Nb2O5/ZnO glasses

    International Nuclear Information System (INIS)

    Ahmad, Mohamad M.; Yousef, El Sayed; Moustafa, El Sayed

    2006-01-01

    Glasses of the system TeO 2 /Nb 2 O 5 /ZnO containing different concentration of ZnO (ranging from 5 to 20 mol%) were prepared. The dielectric properties over wide ranges of frequencies and temperatures were investigated as a function of ZnO content by impedance spectroscopy measurements. The impedance spectra of the present glasses were modeled by appropriate equivalent circuit. The dielectric constant has a value of 66 for the 85TeO 2 /10Nb 2 O 5 /5ZnO glass, which is three times larger than that of pure TeO 2 glass and other binary, e.g. TeO 2 /ZnCl 2 , tellurite glassy systems. The results have been analyzed in light of varying NbO 6 octahedra and NbO 4 tetrahedra of niobium oxide as zinc oxide varies from 5 to 20 mol%. The relaxation properties of the investigated glasses are presented in the electric modulus formalism, where the relaxation time and the respective activation energy are determined

  13. Accurate polynomial expressions for the density and specific volume of seawater using the TEOS-10 standard

    Science.gov (United States)

    Roquet, F.; Madec, G.; McDougall, Trevor J.; Barker, Paul M.

    2015-06-01

    A new set of approximations to the standard TEOS-10 equation of state are presented. These follow a polynomial form, making it computationally efficient for use in numerical ocean models. Two versions are provided, the first being a fit of density for Boussinesq ocean models, and the second fitting specific volume which is more suitable for compressible models. Both versions are given as the sum of a vertical reference profile (6th-order polynomial) and an anomaly (52-term polynomial, cubic in pressure), with relative errors of ∼0.1% on the thermal expansion coefficients. A 75-term polynomial expression is also presented for computing specific volume, with a better accuracy than the existing TEOS-10 48-term rational approximation, especially regarding the sound speed, and it is suggested that this expression represents a valuable approximation of the TEOS-10 equation of state for hydrographic data analysis. In the last section, practical aspects about the implementation of TEOS-10 in ocean models are discussed.

  14. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  15. Theoretical studies on a TeO2/ZnO/diamond-layered structure for zero TCD SAW devices

    Science.gov (United States)

    Dewan, Namrata; Sreenivas, K.; Gupta, Vinay

    2008-08-01

    High-frequency surface acoustic wave (SAW) devices based on diamond substrate are useful because of their very high SAW velocity. In the present work, SAW propagation characteristics, such as phase velocity, coupling coefficient and temperature coefficient of delay (TCD) of a TeO2/ZnO/diamond-layered structure, are examined using theoretical calculations. The ZnO/diamond bi-layer structure is found to exhibit a high positive TCD value. A zero TCD device structure is obtained after integration with a TeO2 over layer having a negative TCD value. Introduction of a non-piezoelectric TeO2 over layer on the bi-layer structure (ZnO/diamond) increases the coupling coefficient. A relatively low thickness of TeO2 thin film (~(1.6-3.1) × 10-3λ) is required to achieve temperature-stable SAW devices based on diamond.

  16. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  17. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  18. Electrical mobility of silver ion in Ag2O-B2O3-P2O5-TeO2 glasses.

    Science.gov (United States)

    Sklepić, Kristina; Vorokhta, Maryna; Mošner, Petr; Koudelka, Ladislav; Moguš-Milanković, Andrea

    2014-10-16

    The effect of adding TeO(2) into (100 - x)[0.5Ag(2)O - 0.1B(2)O(3) - 0.4P(2)O(5)] - xTeO(2), with 0-80 mol % TeO(2) glass, on the structural changes and electrical properties has been investigated. DSC and thermodilatomery were used to study their thermal behavior, structure was studied by Raman spectroscopy, and electrical properties have been studied by impedance spectroscopy over a wide temperature and frequency range. The introduction of TeO(2) as a third glass former to the glass network causes the structural transformation from TeO(3) (tp) to TeO(4) (tbp) which contributes to the changes in conductivity. The glasses with low TeO(2) content show only a slow decrease in dc conductivity with addition of TeO(2) due to the increase of the number of nonbridging oxygens, which increases the mobility of Ag(+) ions. The steep decrease in conductivity for glasses containing more than 40 mol % TeO(2) is a result of decrease of the Ag(2)O content and stronger cross-linkage in glass network through the formation of more Te-(eq)O(ax)-Te bonds in TeO(4) tbp units. The glasses obey ac conductivity scaling with respect to temperature, implying that the dynamic process is not temperature dependent. On the other hand, the scaling of the spectra for different glass compositions showed the deviations from the Summerfield scaling because of the local structural disorder which occurs as a result of the structural modifications in the tellurite glass network.

  19. Interlocked chiral/polar domain walls and large optical rotation in Ni3TeO6

    Directory of Open Access Journals (Sweden)

    Xueyun Wang

    2015-07-01

    Full Text Available Chirality, i.e., handedness, pervades much of modern science from elementary particles, DNA-based biology to molecular chemistry; however, most of the chirality-relevant materials have been based on complex molecules. Here, we report inorganic single-crystalline Ni3TeO6, forming in a corundum-related R3 structure with both chirality and polarity. These chiral Ni3TeO6 single crystals exhibit a large optical specific rotation (α—1355° dm−1 cm3 g−1. We demonstrate, for the first time, that in Ni3TeO6, chiral and polar domains form an intriguing domain pattern, resembling a radiation warning sign, which stems from interlocked chiral and polar domain walls through lowering of the wall energy.

  20. Theoretical studies on a TeO2/ZnO/diamond-layered structure for zero TCD SAW devices

    International Nuclear Information System (INIS)

    Dewan, Namrata; Sreenivas, K; Gupta, Vinay

    2008-01-01

    High-frequency surface acoustic wave (SAW) devices based on diamond substrate are useful because of their very high SAW velocity. In the present work, SAW propagation characteristics, such as phase velocity, coupling coefficient and temperature coefficient of delay (TCD) of a TeO 2 /ZnO/diamond-layered structure, are examined using theoretical calculations. The ZnO/diamond bi-layer structure is found to exhibit a high positive TCD value. A zero TCD device structure is obtained after integration with a TeO 2 over layer having a negative TCD value. Introduction of a non-piezoelectric TeO 2 over layer on the bi-layer structure (ZnO/diamond) increases the coupling coefficient. A relatively low thickness of TeO 2 thin film (∼(1.6–3.1) × 10 −3 λ) is required to achieve temperature-stable SAW devices based on diamond

  1. Plasma calprotectin and its association with cardiovascular disease manifestations, obesity and the metabolic syndrome in type 2 diabetes mellitus patients

    DEFF Research Database (Denmark)

    Pedersen, Lise; Nybo, M.; Poulsen, M. K.

    2014-01-01

    Background: Plasma calprotectin is a potential biomarker of cardiovascular disease (CVD), insulin resistance (IR), and obesity. We examined the relationship between plasma calprotectin concentrations, CVD manifestations and the metabolic syndrome (MetS) in patients with type 2 diabetes mellitus (T2......DM) in order to evaluate plasma calprotectin as a risk assessor of CVD in diabetic patients without known CVD. Methods: An automated immunoassay for determination of plasma calprotectin was developed based on a fecal Calprotectin ELIA, and a reference range was established from 120 healthy adults...... associated with obesity, MetS status, autonomic neuropathy, PAD, and MI. However, plasma calprotectin was not an independent predictor of CVD, MI, autonomic neuropathy or PAD....

  2. Growth and Characteristic of Amorphous Nano-Granular TeO2-V2O5-NiO Thin Films

    Science.gov (United States)

    Hosseinzadeh, Sh.; Rahmati, A.; Bidadi, H.

    2016-12-01

    TeO2-V2O5-NiO thin films were deposited using thermal evaporation from 40TeO2-(60-y)V2O5-yNiO (y=0-30mol%) target. Structural analysis of the films was identified by X-ray diffractometry (XRD) and scanning electron microscopy (SEM). The amorphous TeO2-V2O5-NiO films have nanosized clear grain structure and sharp grain boundaries. DC conductivity and current-voltage (I-V) characteristic of TeO2-V2O5-NiO thin films were measured in the temperature range of 300-423K. As nickel oxide (NiO) content increases, the DC conductivity decreases up to two orders in value (10-9-10-11Sṡcm-1). Temperature dependence of conductivity is described using the small polaron hopping (SPH) model as well. Poole-Frenkel effect is observed at high external electric field. The optical absorption spectra of the TeO2-V2O5-NiO thin films were recorded in the wavelength range of 380-1100nm. The absorption coefficient revealed bandgap shrinkage (3.01-2.3eV) and band tail widening, due to an increase in NiO content. Energy dispersive X-ray spectroscopy (EDX) was used to determine elemental composition. In TeO2-V2O5-NiO thin films, the NiO content is around fifth of the initial target.

  3. Design and construction of the equipment and obtention process of TeO2 for the 131 I production

    International Nuclear Information System (INIS)

    Alanis M, J.

    2000-09-01

    This project was carried out in the National Institute of Nuclear Research, Nuclear Center of Mexico (ININ), with the name 'A New Method to Obtain 131 I by Neutron Irradiation of TeO 2 and it Dry Distillation'. This work establishes the optimal parameters to produce 131 I by neutron irradiation and foundry of TeO 2 sinterized. The TeO 2 of high purity was produced adding HNO 3 to the metallic tellurium (Merck 8100) and heating several samples to different temperatures until dryness in presence of an air current. The optimal conditions of temperature and reaction velocity were obtained, as well as of drying and sintering, to obtain TeO 2 crystals with high purity able to retain the 131 I produced by the radioactive decay. After drying and purified by the heating, the TeO 2 was sinterized, applying a next temperature to it melting point by few minutes, enough to create cavities in the middle of it crystalline structure, where the 131 I in gas form produced by the decay of the 131 Te it is retained, one time that the TeO 2 is bombarded with thermal neutrons in the nuclear reactor. Then, the reactions 130 Te(n, γ) 131 Te m (t 1/2 = 30 h) and 130 Te(n, γ) 131 Te (t 1/2 = 24.8 m) with an optimal irradiation time of 2.5 h. (Author)

  4. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  5. Study of the tellurite-rich composition range in the Bi2O3-TeO2 system

    International Nuclear Information System (INIS)

    Ghazaryan, A.A.

    2015-01-01

    The TeO 2 and Bi 2 O 3 based glasses and glass ceramics are widely used for various technical needs. However, information about the phase diagram of the Bi 2 O 3 -TeO 2 system is limited, and the existing data are inconsistent. According to Demina L.A. with co-authors the Bi 2 Te 4 O 1 1 compound has a congruent melting at 662°C and forms two eutectics with neighbors. In another case, according to the Schmidt P. with co-authors, it melts incongruently at 645°C without indication of Liquidus temperature. It was the motivation for the Bi 2 Te 4 O 1 1 melting behavior investigation and the binary Bi 2 O 3 -TeO 2 system phase diagram correction in the TeO 2 rich area of compositions. As initial materials the glass and solid state sintered samples were used for these purposes. The differential thermal and X-ray analyses were used for glassy and crystallized products identification. The exothermic effect with maximum at 420°C and two endothermal effects with minimum at 635°C and 720 Degree C are clearly observed on the DTA curve of the 80 TeO 2 -20 Bi 2 O 3 (mol.percent) glass composition corresponding to the Bi 2 Te 4 O 1 1 compound. The product of Bi 2 Te 4 O 1 1 glass powder crystallization at 420°C is the Bi 2 Te 4 O 1 1 compound with melting point of 635 ± 5°C. The second endothermic effect on the DTA curve in the range of temperature 680-765°C with minimum at 720°C, is associated with dissolution of TeO 2 in the melt, formed as result of the Bi 2 Te 4 O 1 1 incongruent melting. The existence of eutectic E 1 (87 mol.percent TeO 2 ) between Bi 2 Te 4 O 1 1 and TeO 2 with a melting point of 580 ±5°C has been confirmed. Incongruent melting promotes the peritectic P 1 (81 mol.percent TeO 2 ) formation between Bi 2 Te 4 O 1 1 and eutectic E 1 (87 mol.percent TeO 2 ) with a melting point of 635±5°C. Three endothermic effects at 560 °C, 635 °C and 720°C have been observed on the DTA curve of Bi 2 Te 4 O 1 1 compound, obtained by solid state synthesis. Last

  6. A Method to Construct Plasma with Nonlinear Density Enhancement Effect in Multiple Internal Inductively Coupled Plasmas

    International Nuclear Information System (INIS)

    Chen Zhipeng; Li Hong; Liu Qiuyan; Luo Chen; Xie Jinlin; Liu Wandong

    2011-01-01

    A method is proposed to built up plasma based on a nonlinear enhancement phenomenon of plasma density with discharge by multiple internal antennas simultaneously. It turns out that the plasma density under multiple sources is higher than the linear summation of the density under each source. This effect is helpful to reduce the fast exponential decay of plasma density in single internal inductively coupled plasma source and generating a larger-area plasma with multiple internal inductively coupled plasma sources. After a careful study on the balance between the enhancement and the decay of plasma density in experiments, a plasma is built up by four sources, which proves the feasibility of this method. According to the method, more sources and more intensive enhancement effect can be employed to further build up a high-density, large-area plasma for different applications. (low temperature plasma)

  7. Raman spectra of TeO2-PbCl2 glasses

    Czech Academy of Sciences Publication Activity Database

    Ležal, Dimitrij; Bludská, Jana; Horák, J.; Sklenář, A.; Karamazov, S.; Vlček, M.

    2002-01-01

    Roč. 43, č. 6 (2002), s. 296-299 ISSN 0031-9090 Institutional research plan: CEZ:AV0Z4032918 Keywords : tellurite glasses * TeO2glass * model Subject RIV: CA - Inorganic Chemistry Impact factor: 0.691, year: 2002

  8. Optical study of defects in nanodiamond films grown in linear antenna microwave plasma CVD from H.sub.2./sub./CH.sub.4./sub./CO.sub.2./sub. gas mixture

    Czech Academy of Sciences Publication Activity Database

    Varga, Marián; Remeš, Zdeněk; Babchenko, Oleg; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2635-2639 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GAP108/11/0794; GA ČR GAP205/12/0908; GA MŠk LH12236 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanocrystalline diamond * optical spectroscopy * wide band gap semiconductors * pulsed linear plasma CVD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  9. Future prospect of remote Cat-CVD on the basis of the production, transportation and detection of H atoms

    International Nuclear Information System (INIS)

    Umemoto, Hironobu; Matsumura, Hideki

    2008-01-01

    The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO 2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed

  10. CVD tungsten metallization and electron beam lithography for fabricating submicron interconnects for advanced ULSI

    International Nuclear Information System (INIS)

    Wilson, S.R.; Mattox, R.J.

    1988-01-01

    CVD W (0.45μm thick) and CVD W (0.25μm thick) strapped by Al (0.5μm thick) have been used as metal 1 systems. Electrical and physical data are presented from experiments exploring the effects of processing issues with both e-beam and stepper lithography as well as dry etch chemistry on both metal systems. The special issues encountered with the thick tungsten processing were: (i) Significant e-beam proximity related problems as compared to the sandwich metal layers. The resultant e-beam proximity problem contributed to a high level of metal bridging and poor CD control. (ii) Multiple etch related problems due to mask failure and a lack of etch selectivity. The multilevel masks utilized, consisting of photoresist and plasma enhanced oxide (PEO), failed due to the poor etch selectivity. Poor etch selectivity with respect to the underlying oxide was also observed. These issues were addressed with thicker organic and PEO mask layers as well as changes in etch chemistry. These thick layers were successful in preventing the loss of the mask during etch., but caused problems in the e-beam CD control and did not prevent the degradation of the underlying glass. A higher selectivity etch was developed which greatly reduced the underlying dielectric damage and also allowed the use of the thinner organic and PEO hardmask layers without mask failure

  11. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  12. Effects of Sm3+/Yb3+ co-doping and temperature on the Raman, IR spectra and structure of [TeO2-GeO2-K2O-Sm2O3/Yb2O3] glasses

    International Nuclear Information System (INIS)

    Shaltout, I.; Badr, Y.

    2006-01-01

    Effects of Sm 3+ /Yb 3+ co-doping on Raman scattering, IR absorption, temperature dependence of the Raman spectra up to 210 o C and the structure of two glass systems of the composition (80TeO 2 -10GeO 2 -8K 2 O-2Sm 2 O 3 /Yb 2 O 3 ) is discussed. It was found that the addition of Yb 3+ to the glass very strongly enhances the intensities of the antistokes' Raman bands at 155, 375, 557 and 828 cm -1 and quenches both the intensities of the stokes' vibration modes of the TeO 4 units in the range of 120-770 cm -1 and the intensities of the OH - stretching vibration modes in the range of 2600-3300 cm -1 . Sm 2 O 3 /Yb 2 O 3 rare earth co-doping has a great influence on removing and/or changing the nature of the OH - groups. The appearance and splitting of the stretching vibration modes of the OH - groups at lower frequencies (2770, 2970 cm -1 ) for the Sm +3 singly doped glass sample, compared to the band at ∼3200 cm -1 for the Sm 3+ /Yb 3+ co-doped glass sample, suggested that the OH - groups are more strongly bonded and incorporated with the glass matrix for the singly doped glass. Heating the sample up continuously weakens the hydrogen bonding of the OH - groups to the glass matrix leading to creation of NBO and breakdown of the connectivity of the OH - groups to the TeO 4 , TeO 3+1 and TeO 3 structural units. Raman bands at 286, 477, 666 and 769 cm -1 were assigned to its respective vibrations of Te 2 O 7 , TeO 4 -4 species, the (Te-O-Te) bending vibrations of the TeO 4 triagonal bipyramids (tbps), the axial symmetric stretching vibration modes (Te ax -O) s with bridging oxygen BO atoms and to the (Te-O) nbo non-bridging stretching vibration modes of the TeO 3+1 and/or TeO 3 pyramids

  13. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  14. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  15. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  16. Cd3(MoO4)(TeO3)2: A Polar 3D Compound Containing d10-d0 SCALP-Effect Cations.

    Science.gov (United States)

    Feng, Yuquan; Fan, Huitao; Zhong, Zhiguo; Wang, Hongwei; Qiu, Dongfang

    2016-11-21

    The new polar 3D cadmium molybdotellurite Cd 3 (MoO 4 )(TeO 3 ) 2 was obtained by means of a high-temperature solid-state method. Cd 3 (MoO 4 )(TeO 3 ) 2 is a monoclinic crystal system, and it exhibits the polar space group P2 1 (No. 4). The structure of Cd 3 (MoO 4 )(TeO 3 ) 2 can be viewed as a complicated 3D architecture that is composed of distorted CdO n (n = 6, 7) polyhedra, TeO 3 trigonal pyramids, and MoO 4 polyhedra. The compound features the first 3D NCS cadmium molybdotellurite with 1D 4- and 6-MR channels and a polar structure originating from the TeO 3 groups, MoO 4 groups, and displacements of d 10 Cd 2+ cations. The results were further confirmed by calculations of the net polarization. The UV-vis spectrum and thermal properties indicate that Cd 3 (MoO 4 )(TeO 3 ) 2 exhibits a broad transparent region and excellent thermal stability. SHG tests of Cd 3 (MoO 4 )(TeO 3 ) 2 revealed that its response is approximately the same as that of KH 2 PO 4 at the same grain size between 105 and 150 μm and that it is phase-matchable.

  17. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  18. Turbostratic stacked CVD graphene for high-performance devices

    Science.gov (United States)

    Uemura, Kohei; Ikuta, Takashi; Maehashi, Kenzo

    2018-03-01

    We have fabricated turbostratic stacked graphene with high-transport properties by the repeated transfer of CVD monolayer graphene. The turbostratic stacked CVD graphene exhibited higher carrier mobility and conductivity than CVD monolayer graphene. The electron mobility for the three-layer turbostratic stacked CVD graphene surpassed 10,000 cm2 V-1 s-1 at room temperature, which is five times greater than that for CVD monolayer graphene. The results indicate that the high performance is derived from maintenance of the linear band dispersion, suppression of the carrier scattering, and parallel conduction. Therefore, turbostratic stacked CVD graphene is a superior material for high-performance devices.

  19. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  20. Cs7Sm11[TeO3]12Cl16 and Rb7Nd11[TeO3]12Br16, the new tellurite halides of the tetragonal Rb6LiNd11[SeO3]12Cl16 structure type

    Science.gov (United States)

    Charkin, Dmitri O.; Black, Cameron; Downie, Lewis J.; Sklovsky, Dmitry E.; Berdonosov, Peter S.; Olenev, Andrei V.; Zhou, Wuzong; Lightfoot, Philip; Dolgikh, Valery A.

    2015-12-01

    Two new rare-earth - alkali - tellurium oxide halides were synthesized by a salt flux technique and characterized by single-crystal X-ray diffraction. The structures of the new compounds Cs7Sm11[TeO3]12Cl16 (I) and Rb7Nd11[TeO3]12Br16 (II) (both tetragonal, space group I4/mcm) correspond to the sequence of [MLn11(TeO3)12] and [M6X16] layers and bear very strong similarities to those of known selenite analogs. We discuss the trends in similarities and differences in compositions and structural details between the Se and Te compounds; more members of the family are predicted.

  1. Scalable graphene production: perspectives and challenges of plasma applications

    Science.gov (United States)

    Levchenko, Igor; Ostrikov, Kostya (Ken); Zheng, Jie; Li, Xingguo; Keidar, Michael; B. K. Teo, Kenneth

    2016-05-01

    Graphene, a newly discovered and extensively investigated material, has many unique and extraordinary properties which promise major technological advances in fields ranging from electronics to mechanical engineering and food production. Unfortunately, complex techniques and high production costs hinder commonplace applications. Scaling of existing graphene production techniques to the industrial level without compromising its properties is a current challenge. This article focuses on the perspectives and challenges of scalability, equipment, and technological perspectives of the plasma-based techniques which offer many unique possibilities for the synthesis of graphene and graphene-containing products. The plasma-based processes are amenable for scaling and could also be useful to enhance the controllability of the conventional chemical vapour deposition method and some other techniques, and to ensure a good quality of the produced graphene. We examine the unique features of the plasma-enhanced graphene production approaches, including the techniques based on inductively-coupled and arc discharges, in the context of their potential scaling to mass production following the generic scaling approaches applicable to the existing processes and systems. This work analyses a large amount of the recent literature on graphene production by various techniques and summarizes the results in a tabular form to provide a simple and convenient comparison of several available techniques. Our analysis reveals a significant potential of scalability for plasma-based technologies, based on the scaling-related process characteristics. Among other processes, a greater yield of 1 g × h-1 m-2 was reached for the arc discharge technology, whereas the other plasma-based techniques show process yields comparable to the neutral-gas based methods. Selected plasma-based techniques show lower energy consumption than in thermal CVD processes, and the ability to produce graphene flakes of various

  2. Scalable graphene production: perspectives and challenges of plasma applications.

    Science.gov (United States)

    Levchenko, Igor; Ostrikov, Kostya Ken; Zheng, Jie; Li, Xingguo; Keidar, Michael; B K Teo, Kenneth

    2016-05-19

    Graphene, a newly discovered and extensively investigated material, has many unique and extraordinary properties which promise major technological advances in fields ranging from electronics to mechanical engineering and food production. Unfortunately, complex techniques and high production costs hinder commonplace applications. Scaling of existing graphene production techniques to the industrial level without compromising its properties is a current challenge. This article focuses on the perspectives and challenges of scalability, equipment, and technological perspectives of the plasma-based techniques which offer many unique possibilities for the synthesis of graphene and graphene-containing products. The plasma-based processes are amenable for scaling and could also be useful to enhance the controllability of the conventional chemical vapour deposition method and some other techniques, and to ensure a good quality of the produced graphene. We examine the unique features of the plasma-enhanced graphene production approaches, including the techniques based on inductively-coupled and arc discharges, in the context of their potential scaling to mass production following the generic scaling approaches applicable to the existing processes and systems. This work analyses a large amount of the recent literature on graphene production by various techniques and summarizes the results in a tabular form to provide a simple and convenient comparison of several available techniques. Our analysis reveals a significant potential of scalability for plasma-based technologies, based on the scaling-related process characteristics. Among other processes, a greater yield of 1 g × h(-1) m(-2) was reached for the arc discharge technology, whereas the other plasma-based techniques show process yields comparable to the neutral-gas based methods. Selected plasma-based techniques show lower energy consumption than in thermal CVD processes, and the ability to produce graphene flakes of

  3. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  4. Determination of the oxidation mechanism to Cd Te obtained by rf reactive magnetron sputtering in a plasma of Ar-N{sub 2}O; Determinacion del mecanismo de oxigenacion del CdTe obtenido por rf sputtering reactivo con magnetron en un plasma de Ar-N{sub 2}O

    Energy Technology Data Exchange (ETDEWEB)

    Caballero B, F.; Zapata N, A.; Bartolo P, P.; Castro R, R.; Zapata T, M.; Cauich, W.; Pena, J.L. [Departamento de Fisica Aplicada, Centro deInvestigacion y de Estudios Avanzados, Instituto Politecnico Nacional, Unidad Merida, Apartado postal 73, Cordemex, 97310 Merida, Yucatan (Mexico)

    1998-12-31

    In this work we did studies to determinate the oxidation site and incorporation mechanism of oxygen to Cd Te, when preparing Cd Te:O thin films by r f reactive magnetron sputtering, using a Cd Te target and a controlled plasma of Ar-N{sub 2}O. We study the influence in the oxygen content in films due to the variation of N{sub 2}O partial pressure, plasma power and substrate position. We monitored the process in situ by mass spectrometry to determinate the variation of present compounds when varying the N{sub 2}O partial pressure and plasma power. Thin films composition was determined by Auger electron spectroscopy and their structure by X-ray diffraction. We demonstrate that oxygen incorporation has place mainly in the substrate, forming an amorphous Cd Te:O film. We found that exists Cd Te oxidation without using nitrous oxide, may be due to residual atmosphere. We demonstrate that Cd Te oxidation depends on nitrous oxide partial pressure and plasma power. We found that deposition rate of Cd Te:O thin films depend on nitrous oxide interaction with Cd Te in the target and on the chamber walls. We propose a reaction mechanism to explain the oxygen incorporation to Cd Te. (Author)

  5. Examination of adhesion layer of TeO2 film with indented platinum substrate after radiation loading by 13 MeV deuterons

    International Nuclear Information System (INIS)

    Garapatskij, A.A.; Troyan, P.E.

    2011-01-01

    In this work the influence of a corrugated surface of a Pt substrate on the quality of thermal contact of an adhesion layer with a material of different compositions of TeO 2 and Al 2 O 3 when bombing a target by a 20 µA, 13.7 MeV deuteron beam has been studied. Before the irradiation a Raman spectrum of the TeO 2 +4%Al 2 O 3 material had a fuzzy contour, what corresponds to a polycrystalline composition or glass, but after the irradiation the spectrum has distinct Raman peaks which relate to the α-phase. In Raman spectrums for TeO 2 , containing α-phase peaks, essential changes were not observed after the irradiation. However, the glassy structure was formed in the target’s central part. Studies have shown good thermal contact of TeO 2 with the surface of a Pt substrate, processed by the offered technique. It allows to manage without addition of Al 2 O 3 and to carry out the target irradiation without loss of TeO 2 . (authors)

  6. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  7. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  8. Perspectives of linear antenna microwave system for growth of various carbon nano-forms and its plasma study

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Čada, Martin; Babchenko, Oleg; Ižák, Tibor; Davydova, Marina; Kromka, Alexander

    2013-01-01

    Roč. 250, č. 12 (2013), 2723–2726 ISSN 0370-1972 R&D Projects: GA TA ČR TA01011740; GA ČR GAP205/12/0908 Institutional support: RVO:68378271 Keywords : CNT * Langmuir probe * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.605, year: 2013

  9. Enhancement of plasma generation in catalyst pores with different shapes

    Science.gov (United States)

    Zhang, Yu-Ru; Neyts, Erik C.; Bogaerts, Annemie

    2018-05-01

    Plasma generation inside catalyst pores is of utmost importance for plasma catalysis, as the existence of plasma species inside the pores affects the active surface area of the catalyst available to the plasma species for catalytic reactions. In this paper, the electric field enhancement, and thus the plasma production inside catalyst pores with different pore shapes is studied with a two-dimensional fluid model. The results indicate that the electric field will be significantly enhanced near tip-like structures. In a conical pore with small opening, the strongest electric field appears at the opening and bottom corners of the pore, giving rise to a prominent ionization rate throughout the pore. For a cylindrical pore, the electric field is only enhanced at the bottom corners of the pore, with lower absolute value, and thus the ionization rate inside the pore is only slightly enhanced. Finally, in a conical pore with large opening, the electric field is characterized by a maximum at the bottom of the pore, yielding a similar behavior for the ionization rate. These results demonstrate that the shape of the pore has a significantly influence on the electric field enhancement, and thus modifies the plasma properties.

  10. Enhanced B doping in CVD-grown GeSn:B using B δ-doping layers

    Science.gov (United States)

    Kohen, David; Vohra, Anurag; Loo, Roger; Vandervorst, Wilfried; Bhargava, Nupur; Margetis, Joe; Tolle, John

    2018-02-01

    Highly doped GeSn material is interesting for both electronic and optical applications. GeSn:B is a candidate for source-drain material in future Ge pMOS device because Sn adds compressive strain with respect to pure Ge, and therefore can boost the Ge channel performances. A high B concentration is required to obtain low contact resistivity between the source-drain material and the metal contact. To achieve high performance, it is therefore highly desirable to maximize both the Sn content and the B concentration. However, it has been shown than CVD-grown GeSn:B shows a trade-off between the Sn incorporation and the B concentration (increasing B doping reduces Sn incorporation). Furthermore, the highest B concentration of CVD-grown GeSn:B process reported in the literature has been limited to below 1 × 1020 cm-3. Here, we demonstrate a CVD process where B δ-doping layers are inserted in the GeSn layer. We studied the influence of the thickness between each δ-doping layers and the δ-doping layers process conditions on the crystalline quality and the doping density of the GeSn:B layers. For the same Sn content, the δ-doping process results in a 4-times higher B doping than the co-flow process. In addition, a B doping concentration of 2 × 1021 cm-3 with an active concentration of 5 × 1020 cm-3 is achieved.

  11. Effect of TeO2 on the elastic moduli of sodium borate glasses

    International Nuclear Information System (INIS)

    Saddeek, Y.B.; Abd El Latif, Lamia

    2004-01-01

    Sodium borate glass containing tellurite as Te x Na 2-2x B 4-4x O 7-5x with x=0, 0.05, 0.15, 0.25 and 0.35 have been prepared by rapid quenching. Ultrasonic velocity (both longitudinal and shear) measurements have been made using a transducer operated at the fundamental frequency of 4 MHz at room temperature. The density was measured by the conventional Archimedes method. The elastic moduli, the Debye temperature, Poisson's ratio, and the parameters derived from the Makishima-Mackenzie model and the bond compression model have been obtained as a function of TeO 2 content. The monotonic decrease in the velocities and the elastic moduli, and the increase in the ring diameter and the ratio K bc /K e as a function of TeO 2 modifier content reveals the loose packing structure, which is attributed to the increase in the molar volume and the reduction in the vibrations of the borate lattice. The observed results confirm that the addition of TeO 2 changes the rigid character of Na 2 B 4 O 7 to a matrix of ionic behaviour bonds (NBOs). This is due to the creation of more and more discontinuities and defects in the glasses, thus breaking down the borax structure

  12. Ge/Si (100) heterojunction photodiodes fabricated from material grown by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Osmond, Johann; Isella, Giovanni; Chrastina, Daniel; Kaufmann, Rolf; Kaenel, Hans von

    2008-01-01

    We have fabricated a series of p-i-n Ge/Si heterojunction photodetectors with different thicknesses of the intrinsic Ge layer, different doping levels of the p and n layers and different diode diameters. Epitaxial Ge was deposited on Si(100) using low-energy plasma-enhanced CVD (LEPECVD) followed by cyclic annealing. Dark current values as low as 0.04 mA/cm 2 were achieved for 1 μm thick p-i-n photodiodes on lightly doped substrates at - 1 V bias, and external quantum efficiencies of 56% at 1.30 μm and 44% at 1.55 μm for 3 μm thick p + -i-n + photodiodes on highly doped substrates under 0.5 V reverse bias. For a 30 μm diameter diode a RC frequency of 21 GHz is obtained at a reverse bias of 1 V. With such characteristics, these diodes are attractive for telecommunication and optoelectronic applications

  13. Electrical conduction of glasses in the system Fe2O3-Sb2O3-TeO2; Fe2O3-Sb2O3-TeO2 kei garasu no denki dendo

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Honghua; Mori, H; Sakata, H; Hirayama, T [Tokai Univ., Tokyo (Japan). Faculty of Engineering

    1995-01-01

    In this study, taking into consideration that TeO2 is a component of the glass network and Sb2O3 shows the redox effect in the glasses reducing its possibility of transformation of Sb{sup 3+} to Sb{sup 5+} as well as glass basicity, highly conductive tellurite based glasses have been prepared by the press-quenching method selecting the Fe2O3-Sb2O3-TeO2 system, and the electroconductive mechanism of the glasses has been examined by measuring its D.C. conductivity {sigma}. Part of the obtained information is as follows; the glass formation range of the Fe2O3-Sb2O3-TeO2 system has been 0 {le} Fe2O3 {le} 15mol%, 0 {le} Sb2O3 {le} 18mol% and 78 {le} TeO2 {le} 100mol% and about 15mol% of the additional amount of Fe2O3 has been the limit of glass formation. As the amount of Fe2O3 has increased, C{sub Fe} has also increased and with this, the linear electroconductivity of the glasses has increased from 1.86 {times} 10{sup -7}S{center_dot}cm{sup -1} to 1.62 {times} 10{sup -6}S{center_dot}cm{sup -1} and the glasses have been confirmed as the n-type semiconductor. The factor determining {sigma} of the glasses has been C{sub Fe} which has increased as the amount of Fe2O3 has increased. 34 refs., 8 figs., 2 tabs.

  14. The optical properties of TeO2-Based Glasses

    International Nuclear Information System (INIS)

    Sahar, M.R.; Isahak, R.

    1994-01-01

    A series of binary glasses based on TeO2-PbCl2 has been prepared and their spectroscopy in the UV-Visible and IR regions has been investigated. It was found that the IR cut-off edge can go beyond 7μm and are largely influenced by the absorption due to Te-O-Te stretching vibrations around 638-644 cmsup -1 peaks

  15. The optical properties of TeO2-Based Glasses

    Energy Technology Data Exchange (ETDEWEB)

    Sahar, M R; Isahak, R [University Technology of Malaysia, Skudai Johor (Malaysia)

    1994-03-01

    A series of binary glasses based on TeO2-PbCl2 has been prepared and their spectroscopy in the UV-Visible and IR regions has been investigated. It was found that the IR cut-off edge can go beyond 7{mu}m and are largely influenced by the absorption due to Te-O-Te stretching vibrations around 638-644 cmsup -1 peaks.

  16. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  17. Structural studies of WO3-TeO2 glasses by high-Q-neutron diffraction and Raman spectroscopy

    International Nuclear Information System (INIS)

    Khanna, A.; Kaur, A.; Krishna, P.S.R.; Shinde, A.B.

    2013-01-01

    Glasses from the system: xWO 3 -(100-x)TeO 2 (x=15, 20 and 25 mol %) were prepared by melt quenching technique and characterized by density, UV-visible absorption spectroscopy, Differential Scanning Calorimetry (DSC), Raman spectroscopy and high-Q neutron diffraction measurements. Glass density and glass transition temperature increased with increase in WO 3 concentration, Raman spectroscopy indicated the conversion of TeO 4 units into TeO 3 units with increase in WO 3 content. The increase in glass transition temperature with the incorporation of WO 3 was attributed to the increase in average bond strength of the glass network since the bond dissociation energy of W-O bonds (672 kJ/mol) is significantly higher than that of Te-O bonds (376 kJ/mol). UV-visible studies found a very strong optical absorption band due to W 6+ ions, just below the absorption edge. High-Q neutron diffraction measurements were performed on glasses and radial distribution function analyses revealed changes in W-O and Te-O correlations in the glass network. The findings about changes in glass structure from neutron diffraction studies were consistent with structural information obtained from Raman spectroscopy and structure-property correlations were made. (author)

  18. Diets high in resistant starch increase plasma levels of trimethylamine-N-oxide, a gut microbiome metabolite associated with CVD risk

    Energy Technology Data Exchange (ETDEWEB)

    Bergeron, Nathalie; Williams, Paul T.; Lamendella, Regina; Faghihnia, Nastaran; Grube, Alyssa; Li, Xinmin; Wang, Zeneng; Knight, Rob; Jansson, Janet K.; Hazen, Stanley L.; Krauss, Ronald M.

    2016-12-20

    Production of trimethylamine-N-oxide (TMAO), a biomarker of CVD risk, is dependent on intestinal microbiota, but little is known of dietary conditions promoting changes in gut microbial communities. Resistant starches (RS) alter the human microbiota. We sought to determine whether diets varying in RS and carbohydrate (CHO) content affect plasma TMAO levels. We also assessed postprandial glucose and insulin responses and plasma lipid changes to diets high and low in RS. In a cross-over trial, fifty-two men and women consumed a 2-week baseline diet (41 percentage of energy (%E) CHO, 40 % fat, 19 % protein), followed by 2-week high- and low-RS diets separated by 2-week washouts. RS diets were assigned at random within the context of higher (51–53 %E)v. lower CHO (39–40 %E) intake. Measurements were obtained in the fasting state and, for glucose and insulin, during a meal test matching the composition of the assigned diet. With lower CHO intake, plasma TMAO, carnitine, betaine andγ-butyrobetaine concentrations were higher after the high-v. low-RS diet (P<0·01 each). These metabolites were not differentially affected by highv. low RS when CHO intake was high. Although the high-RS meal reduced postprandial insulin and glucose responses when CHO intake was low (P<0·01 each), RS did not affect fasting lipids, lipoproteins, glucose or insulin irrespective of dietary CHO content. In conclusion, a lower-CHO diet high in RS was associated with higher plasma TMAO levels. These findings, together with the absence of change in fasting lipids, suggest that short-term high-RS diets do not improve markers of cardiometabolic health.

  19. Hybrid NOTES: TEO for transanal total mesorectal excision: intracorporeal resection and anastomosis.

    Science.gov (United States)

    Serra-Aracil, Xavier; Mora-López, Laura; Casalots, Alex; Pericay, Carles; Guerrero, Raul; Navarro-Soto, Salvador

    2016-01-01

    Laparoscopic surgery for rectal TME achieves better patient recovery, lower morbidity, and shorter hospital stay than open surgery. However, in laparoscopic rectal surgery, the overall conversion rate is nearly 20%. Transanal TME combined with laparoscopy, known as Hybrid NOTES, is a less invasive procedure that provides adequate solutions to some of the limitations of rectal laparoscopy. Transanal TME via TEO with technical variants (intracorporeal resection and anastomosis, TEO review of the anastomosis) attempts to standardize and simplify the procedure. Prospective observational study was used describe and assess the technique in terms of conversion to open surgery, overall morbidity, surgical site infection and hospital stay. The sample comprised consecutive patients diagnosed with rectal tumor less than 10 cm from the anal verge who were candidates for low anterior resection using TME (except T4). Demographic, surgical, postoperative, and pathological variables were analyzed, as well as morbidity rates. From September 2012 to August 2014, 32 patients were included. The conversion rate was 0%. Overall morbidity was 31.3%, SSI rate was 9.4%, and mean hospital stay was 8 days. Oncological radical criteria were achieved with pathological parameters of 94% of complete TME and a median circumferential margin of 13 mm. The introduction of technical variants of TEO for transanal resection can facilitate a procedure that requires extensive experience in transanal and laparoscopic surgery. Studies of sphincter function, quality of life, and long-term oncological outcome are now necessary.

  20. Heat Treatment Effect on Eu3+ Doped TeO2-BaO-Bi2O3 Glass Systems with Ag Nanoparticles

    Directory of Open Access Journals (Sweden)

    Tomasz Lewandowski

    2017-01-01

    Full Text Available Glass systems of 73TeO2-4BaO-3Bi2O3-2Eu2O3-xAg (in molar ratio where x = 0, 1, 2, and 3 compositions have been successfully synthesized. Silver nanoparticles were obtained with the employment of heat treatment (HT procedure executed at 350°C. Glass transition temperatures of different compositions have been determined through DSC measurements. XRD results presented characteristic amorphous halo indicating lack of long range order in the samples. FTIR structural studies revealed that glass matrix is mainly composed of TeO3 and TeO4 species and is stable after different applied heat treatment times. X-ray photoelectron spectroscopy (XPS measurements confirmed that in selected samples part of Ag ions changed oxidation state to form Ag0 species. TEM measurements revealed nanoparticles of size in the range of 20–40 nm. UV-vis absorption results demonstrated characteristic transitions of Eu3+ ions. Additionally, UV-vis spectra of samples heat-treated for 6, 12, 24, and 48 hours presented bands related to silver nanoparticles. Photoluminescence (PL studies have been performed with excitation wavelength of λexc=395 nm. Obtained spectra exhibited peaks due to 5D0-7FJ (where J=2,3,4 and 5D1-7FJ (where J=1,2,3 transitions of Eu3+. Moreover, luminescence measurement indicated enhancement of rare earth ions emissions in several of the annealed samples. Increase of emission intensity of about 35% has been observed.

  1. Fermented dairy food and CVD risk.

    Science.gov (United States)

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  2. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  3. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  4. Plasma surface interactions in Q-enhanced mirror systems

    International Nuclear Information System (INIS)

    Post, R.F.

    1978-01-01

    Two approaches to enhancement of the Q (energy gain) factor of mirror systems are under study at Livermore. These include the Tandem Mirror and the Field Reversed Mirror. Both of these new ideas preserve features of conventional mirror systems as far as plasma-wall interactions are concerned. Specifically in both approaches field lines exit from the ends of the system and impinge on walls located at a distance from the confinement chamber. It is possible to predict some aspects of the plasma/surface interactions of TM and FRM systems from experience obtained in the Livermore 2XIIB experiment. In particular, as observed in 2XIIB, effective isolation of the plasma from thermal contact with the ends owing to the development of sheath-like regions is to be expected. Studies presently underway directed toward still further enhancing the decoupling of the plasma from the effects of plasma surface interactions at the walls will be discussed, with particular reference to the problem of minimizing the effects of refluxing secondary electrons produced by plasma impact on the end walls

  5. Organosilicon thin films deposited by plasma enhanced CVD:Thermal changes of chemical structure and mechanical properties

    Czech Academy of Sciences Publication Activity Database

    Zajíčková, L.; Buršíková, V.; Kučerová, Z.; Franclová, J.; Siahel, P.; Peřina, Vratislav; Macková, Anna

    2007-01-01

    Roč. 68, 5-6 (2007), s. 1255-1259 ISSN 0022-3697 R&D Projects: GA ČR GA202/07/1669 Institutional research plan: CEZ:AV0Z10480505 Keywords : hin films * organometallic compounds * plasma deposition Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 0.899, year: 2007

  6. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  7. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  8. Phase Equilibria Study in the TeO2-Na2O-SiO2 System in Air Between 723 K (500 °C) and 1473 K (1200 °C)

    Science.gov (United States)

    Santoso, Imam; Taskinen, Pekka

    2016-08-01

    Knowledge of phase equilibria in the TeO2-Na2O-SiO2 system at elevated temperatures is important for ceramic and glass industries and for improving the operation of the smelting process of tellurium-containing materials. A review of previous investigations has indicated, however, that there are omissions in the available datasets on the liquidus temperatures of the molten TeO2-Na2O-SiO2 mixtures. The employed experimental method included equilibration of mixtures made from high purity oxides, rapid quenching of the equilibrated samples in water and followed by compositional analysis of the phases using an electron probe X-ray microanalyzer. The liquidus and phase equilibria in the TeO2-SiO2, TeO2-Na2O, and SiO2-TeO2-Na2O systems have been studied for a wide range of compositions between 723 K (500 °C) and 1473 K (1200 °C) at TeO2, SiO2, and Na2SiO3 saturations. New data have been generated in the SiO2-TeO2-Na2O system at SiO2 saturation. The liquidus compositions in the TeO2-Na2O system at TeO2 saturation have been compared with the previous data and an assessed phase diagram.

  9. Treffneri hoone pälvis Tartu aasta teo tiitli / Priit Rajalo

    Index Scriptorium Estoniae

    Rajalo, Priit, 1975-

    2002-01-01

    Viiendat korda välja antud Tartu aasta teo konkursi aunimetuse pälvis tänavu Huga Treffneri gümnaasiumi renoveeritud hoone, sümboliseerides vajadust anda rohkem raha haridusele. Tartu linnapea Andrus Ansipi sõnul kuulub see hoone praegu Euroopa kümne parima gümnaasiumihoone hulka

  10. Obtention, sintering and operational tests of the obtention prototype TeO2 for the production of 131 I

    International Nuclear Information System (INIS)

    Alanis M, J.

    1997-12-01

    The demand that exists in Mexico of developing production techniques of applicable radiopharmaceuticals in nuclear medicine, it forces to the National Institute of Nuclear Research to develop the obtaining process of 131 I by dry via starting from TeO 2 . The obtaining process of 131 I, it begins with the synthesis of the TeO 2 like matter prevails, starting from the oxidation of Te-elementary one, inside HNO 3 . Later on the TeO 2 , passes to the sintering process in ingots form, in that way it is encapsulated in aluminum, to be irradiated under optimal parameters of irradiation in the nuclear reactor. The irradiated TeO 2 , it passes to the stage of distillation of 131 I, in a distillation equipment of 131 I by dry via starting from TeO 2 . The process equipment consists mainly of three parts: a) the system of distillation control, built of steel, aluminum, bronze and brass, among other, b) distillation system, built of glass pyrex and of quartz, in this system is where the chemical and nuclear reactions take place for the obtaining of 131 I and c) electric system, is the one in charge of the electric energy supply for the process oven, ventilation system and vacuum system. The results of experimental tests, check the effectiveness of the production process of 131 I in the ININ in routine form (industrial), however it is indispensable to optimize the physical, chemical and nuclear parameters that intervene in each stage of the process with the purpose to obtaining the maximum yield, purity, quality and radiological control and economic production costs. (Author)

  11. Evaluation of TeO2 content on the optical and spectroscopic properties of Yb3 +-doped calcium borotellurite glasses

    Science.gov (United States)

    Lima, A. M. O.; Gomes, J. F.; Hegeto, F. L.; Medina, A. N.; Steimacher, A.; Barboza, M. J.

    2018-03-01

    This paper reports the synthesis and the characterization of Yb3 +-doped calcium borotellurite (CaBTeX) glasses with composition 10CaF2-(29.5 - 0.4x)CaO-(60 - 0.6x)B2O3-xTeO2-0.5Yb2O3 (x = 10, 16, 22, 31 and 54 mol%). The results of XRD confirm the amorphous character of all the samples. The density, molar volume, refractive index and electronic polarizability values show an increase with TeO2 content. Otherwise, the optical band gap energy shows a decrease with the increase of TeO2 content. The replacement of CaO and B2O3 by TeO2 changes the glass structure, which decreases the excited Yb3 +/cm3 and, consequently, the luminescence intensity. The temperature dependence of luminescence was studied for all the samples up to 420 K. The fluorescence lifetime does not change significantly due to TeO2 addition. In addition, absorption and emission cross section were calculated and present high values as compared to other tellurite and phosphate glasses.

  12. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  13. Enhanced nuclear level decay in hot dense plasmas

    International Nuclear Information System (INIS)

    Gosselin, G.; Morel, P.

    2004-01-01

    A model of nuclear level decay in a plasma environment is described. Nuclear excitation and decay by photon processes, nuclear excitation by electron capture, and decay by internal conversion are taken into account. The electrons in the plasma are described by a relativistic average atom model for the bound electrons and by a relativistic Thomas-Fermi-Dirac model for the free electrons. Nuclear decay of isomeric level may be enhanced through an intermediate level lying above the isomer. An enhanced nuclear decay rate may occur for temperatures far below the excitation energy of the transition to the intermediate level. In most cases, the enhancement factor may reach several decades

  14. Võitlus kaevandustega tõi Enno Vinnile aasta rohelise teo tegija tiitli / Külli Kriis

    Index Scriptorium Estoniae

    Kriis, Külli, 1961-

    2006-01-01

    Vt. ka Severnoje Poberezhje 12. dets. Eesti keskkonnaühendused kuulutasid aasta positiivseima keskkonnateo tegijaks Maidla vallavolikogu esimehe Enno Vinni. Haridusminister Mailis Repsile omistati keskkonnavaenulikuma teo ehk karuteene tiitel

  15. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  16. SAW propagation characteristics of TeO3/3C-SiC/LiNbO3 layered structure

    Science.gov (United States)

    Soni, Namrata D.

    2018-04-01

    Surface acoustic wave (SAW) devices based on Lithium Niobate (LiNbO3) single crystal are advantageous because of its high SAW phase velocity, electromechanical coupling coefficient and cost effectiveness. In the present work a new multi-layered TeO3/3C-SiC/128° Y-X LiNbO3 SAW device has been proposed. SAW propagation properties such as phase velocity, coupling coefficient and temperature coefficient of delay (TCD) of the TeO3/SiC/128° Y-X LiNbO3 multi layered structure is examined using theoretical calculations. It is found that the integration of 0.09λ thick 3C-SiC over layer on 128° Y-X LiNbO3 increases its electromechanical coupling coefficient from 5.3% to 9.77% and SAW velocity from 3800 ms‑1 to 4394 ms‑1. The SiC/128° Y-X LiNbO3 bilayer SAW structure exhibits a high positive TCD value. A temperature stable layered SAW device could be obtained with introduction of 0.007λ TeO3 over layer on SiC/128° Y-X LiNbO3 bilayer structure without sacrificing the efficiency of the device. The proposed TeO3/3C-SiC/128° Y-X LiNbO3 multi-layered SAW structure is found to be cost effective, efficient, temperature stable and suitable for high frequency application in harsh environment.

  17. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  18. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  19. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  20. The effect of the H2O/TEOS ratio on the structure of gels derived by the acid catalysed hydrolysis of tetraethoxysilane

    International Nuclear Information System (INIS)

    Strawbridge, I.; James, P.F.; Craievich, A.F.

    1985-01-01

    Silica gels were produced by the acid catalysed hydrolysis of tetraethoxysilane (TEOS) using H 2 O/TEOS ratios from 2 to 50. After heat treatment the structure of the gels was studied using nitrogen adsorption, small angle X-ray scattering (SAXS), transmission electron microscopy (TEM) and bulk density measurements. All the gels possessed microporosity in the region of 30 A or less. For H 2 O/TEOS = 25 and 50 the matrix density was found to be uniform, but for gels from solutions with H 2 O/TEOS = 2, 4 and 10, density fluctuations in the matrix were detected from a Porod analysis of the SAXS data. These results indicate that in high water content solutions, rearrangement of the polymeric chains leads to small densified particles, but for lower water content solutions, gelation results from the entanglement of linear chains leaving free volume on a molecular scale between the chains. (Author) [pt

  1. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  2. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  3. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  4. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  5. Investigation of RF-enhanced plasma potentials on Alcator C-Mod

    International Nuclear Information System (INIS)

    Ochoukov, R.; Whyte, D.G.; Brunner, D.; Cziegler, I.; LaBombard, B.; Lipschultz, B.; Myra, J.; Terry, J.; Wukitch, S.

    2013-01-01

    Radio frequency (RF) sheath rectification is a leading mechanism suspected of causing anomalously high erosion of plasma facing materials in RF-heated plasmas on Alcator C-Mod. An extensive experimental survey of the plasma potential (Φ P ) in RF-heated discharges on C-Mod reveals that significant Φ P enhancement (>100 V) is found on outboard limiter surfaces, both mapped and not mapped to active RF antennas. Surfaces that magnetically map to active RF antennas show Φ P enhancement that is, in part, consistent with the recently proposed slow wave rectification mechanism. Surfaces that do not map to active RF antennas also experience significant Φ P enhancement, which strongly correlates with the local fast wave intensity. In this case, fast wave rectification is a leading candidate mechanism responsible for the observed enhancement

  6. Control of Reaction Surface in Low Temperature CVD to Enhance Nucleation and Conformal Coverage

    Science.gov (United States)

    Kumar, Navneet

    2009-01-01

    The Holy Grail in CVD community is to find precursors that can afford the following: good nucleation on a desired substrate and conformal deposition in high AR features. Good nucleation is not only necessary for getting ultra-thin films at low thicknesses; it also offers films that are smooth at higher thickness values. On the other hand,…

  7. A measure of the interfacial shear strength between SiC(CVD)/B(CVD) filament--aluminum matrix by fragmentation method

    International Nuclear Information System (INIS)

    Jiang, Y.Q.; Chen, X.J.; Yang, D.M.; Fei, X.; Pan, J.

    1993-01-01

    The tensile specimens used are of dog-bone shape and consist of single axial SiC (CVD) /B (CVD) filament processed by CVD and embedded in a LD-2 aluminum alloy. Model composite specimens have been fabricated by a high pressure squeeze casting technique. This paper describes the application of an Acoustic Emission Technique for locating the position of fiber breaks and thus determining the length distribution of fiber fragments resulting when a composite specimen containing a single fiber is loaded to failure. The critical lengths (minimal lengths) are checked by Corrosion Method

  8. A diet rich in high-glucoraphanin broccoli interacts with genotype to reduce discordance in plasma metabolite profiles by modulating mitochondrial function123

    Science.gov (United States)

    Armah, Charlotte N; Traka, Maria H; Dainty, Jack R; Defernez, Marianne; Janssens, Astrid; Leung, Wing; Doleman, Joanne F; Potter, John F

    2013-01-01

    Background: Observational and experimental studies suggest that diets rich in cruciferous vegetables and glucosinolates may reduce the risk of cancer and cardiovascular disease (CVD). Objective: We tested the hypothesis that a 12-wk dietary intervention with high-glucoraphanin (HG) broccoli would modify biomarkers of CVD risk and plasma metabolite profiles to a greater extent than interventions with standard broccoli or peas. Design: Subjects were randomly assigned to consume 400 g standard broccoli, 400 g HG broccoli, or 400 g peas each week for 12 wk, with no other dietary restrictions. Biomarkers of CVD risk and 347 plasma metabolites were quantified before and after the intervention. Results: No significant differences in the effects of the diets on biomarkers of CVD risk were found. Multivariate analyses of plasma metabolites identified 2 discrete phenotypic responses to diet in individuals within the HG broccoli arm, differentiated by single nucleotide polymorphisms associated with the PAPOLG gene. Univariate analysis showed effects of sex (P broccoli arm, the consequence of the intervention was to reduce variation in lipid and amino acid metabolites, tricarboxylic acid (TCA) cycle intermediates, and acylcarnitines between the 2 PAPOLG genotypes. Conclusions: The metabolic changes observed with the HG broccoli diet are consistent with a rebalancing of anaplerotic and cataplerotic reactions and enhanced integration of fatty acid β-oxidation with TCA cycle activity. These modifications may contribute to the reduction in cancer risk associated with diets that are rich in cruciferous vegetables. This trial was registered at clinicaltrials.gov as NCT01114399. PMID:23964055

  9. Effect of pulse biasing on the morphology of diamond films grown by hot filament CVD

    International Nuclear Information System (INIS)

    Beake, B.D.; Hussain, I.U.; Rego, C.; Ahmed, W.

    1999-01-01

    There has been considerable interest in the chemical vapour deposition (CVD) of diamond due to its unique mechanical, optical and electronic properties, which make it useful for many applications. For use in optical and electronic applications further developments in the CVD process are required to control the surface morphology and crystal size of the diamond films. These will require a detailed understanding of both the nucleation and growth processes that effect the properties. The technique of bias enhanced nucleation (BEN) of diamond offers better reproducibility than conventional pre-treatment methods such as mechanical abrasion. Atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used study the surface modification of diamond films on silicon substrates during pulse biased growth in a hot filament CVD reactor. Pre-abraded silicon substrates were subjected to a three-step sequential growth process: (i) diamond deposition under standard CVD conditions, (ii) bias pre-treatment and (iii) deposition under standard conditions. The results show that the bias pre-treatment time is a critical parameter controlling the surface morphology and roughness of the diamond films deposited. Biasing reduces the surface roughness from 152 nm for standard CVD diamond to 68 nm for the 2.5 minutes pulse biased film. Further increase in the bias time results in an increase in surface roughness and crystallite size. (author)

  10. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  11. Microwave plasma CVD of NANO structured tin/carbon composites

    Science.gov (United States)

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  12. Enhanced resonant second harmonic generation in plasma based on density transition

    Directory of Open Access Journals (Sweden)

    Kant Niti

    2015-06-01

    Full Text Available Resonant second harmonic generation of a relativistic self-focusing laser in plasma with density ramp profile has been investigated. A high intense Gaussian laser beam generates resonant second harmonic beam in plasma with density ramp profile. The second harmonic undergoes periodic focusing in the plasma channel created by the fundamental wave. The normalized second harmonic amplitude varies periodically with distance and attains maximum value in the focal region. Enhancement in the second harmonic amplitude on account of relativistic self-focusing of laser based on plasma density transition is seen. Plasma density ramp plays an important role to make self-focusing stronger which leads to enhance the second harmonic generation in plasma.

  13. Investigation of RF-enhanced plasma potentials on Alcator C-Mod

    Energy Technology Data Exchange (ETDEWEB)

    Ochoukov, R., E-mail: ochoukov@psfc.mit.edu [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Whyte, D.G.; Brunner, D. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Cziegler, I. [Center for Energy Research, UCSD, 9500 Gilman Drive, La Jolla, CA 92093 (United States); LaBombard, B.; Lipschultz, B. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); Myra, J. [Lodestar Research Corporation, 2400 Central Avenue P-5, Boulder, CO 80301 (United States); Terry, J.; Wukitch, S. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States)

    2013-07-15

    Radio frequency (RF) sheath rectification is a leading mechanism suspected of causing anomalously high erosion of plasma facing materials in RF-heated plasmas on Alcator C-Mod. An extensive experimental survey of the plasma potential (Φ{sub P}) in RF-heated discharges on C-Mod reveals that significant Φ{sub P} enhancement (>100 V) is found on outboard limiter surfaces, both mapped and not mapped to active RF antennas. Surfaces that magnetically map to active RF antennas show Φ{sub P} enhancement that is, in part, consistent with the recently proposed slow wave rectification mechanism. Surfaces that do not map to active RF antennas also experience significant Φ{sub P} enhancement, which strongly correlates with the local fast wave intensity. In this case, fast wave rectification is a leading candidate mechanism responsible for the observed enhancement.

  14. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial

    Science.gov (United States)

    Wang, Dong D.; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A.; Willett, Walter C.; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B.; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A.; Hu, Frank B.

    2017-01-01

    Background Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between over-nutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. Methods The study population consisted of 980 participants from the PREDIMED trial, including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases), followed for up to 7.4 years. Participants were randomized to a Mediterranean diet (MedDiet) supplemented with extra-virgin olive oil, a MedDiet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of non-fatal acute myocardial infarction, non-fatal stroke, or cardiovascular death. Hazard Ratios (HRs) were estimated with weighted Cox regression models, using Barlow weights to account for the case-cohort design. Results The multivariable HRs [95% confidence interval (CI)] comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0 and C24:1 ceramides were 2.39 (1.49–3.83, P trend <0.001), 1.91 (1.21–3.01, P trend =0.003), 1.97 (1.21–3.01, P trend =0.004), and 1.73 (1.09–2.74, P trend =0.011), respectively. The ceramide score, calculated as a weighted sum of concentrations of four ceramides, was associated with a 2.18-fold higher risk of CVD across extreme quartiles (HR =2.18, 95% CI, 1.36–3.49, P trend <0.001). The association between baseline ceramide score and incident CVD varied significantly by treatment groups (P interaction =0.010). Participants with a higher ceramide score and assigned to either of the two active intervention arms of the trial showed similar CVD risk to those with a lower ceramide score, whereas participants

  15. Large optical second-order nonlinearity of poled WO3-TeO2 glass.

    Science.gov (United States)

    Tanaka, K; Narazaki, A; Hirao, K

    2000-02-15

    Second-harmonic generation, one of the second-order nonlinear optical properties of thermally and electrically poled WO>(3)-TeO>(2) glasses, has been examined. We poled glass samples with two thicknesses (0.60 and 0.86 mm) at various temperatures to explore the effects of external electric field strength and poling temperature on second-order nonlinearity. The dependence of second-harmonic intensity on the poling temperature is maximum at a specific poling temperature. A second-order nonlinear susceptibility of 2.1 pm/V was attained for the 0.60-mm-thick glass poled at 250 degrees C. This value is fairly large compared with those for poled silica and tellurite glasses reported thus far. We speculate that the large third-order nonlinear susceptibility of WO>(3)- TeO>(2) glasses gives rise to the large second-order nonlinearity by means of a X((2)) = 3X((3)) E(dc) process.

  16. 2.3 µm laser potential of TeO2 based glasses

    Science.gov (United States)

    Denker, B. I.; Dorofeev, V. V.; Galagan, B. I.; Motorin, S. E.; Sverchkov, S. E.

    2017-09-01

    Tm3+ doped TeO2-based well-dehydrated glasses were synthesized and investigated. The analysis of their spectral and relaxation properties have showed that these glasses can be a suitable host for bulk and fiber lasers emitting at ~2.3 µm wavelength (3H4-3H5 Tm3+ transition). Laser action in the bulk glass sample was demonstrated.

  17. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  18. Interaction of heavy ion beams with a hydrogen plasma: plasma lens effect and stopping power enhancement

    International Nuclear Information System (INIS)

    Gardes, D.; Bimbot, R.; Della-Negra, S.; Dumail, M.; Kubica, B.; Richard, A.; Rivet, M.F.; Servajean, A.; Deutsch, C.; Maynard, G.

    1988-01-01

    By coupling a hydrogen plasma to a Tandem accelerator, transmission and energy losses of 2 MeV/u carbon and sulfur beams passing through a plasma target have been investigated. Fluctuations in beam transmission have been observed and attributed to a plasma lens effect. Moreover, energy loss measurements indicate an enhanced stopping power of the plasma relative to its cold matter equivalent

  19. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  20. Studies on omnidirectional enhancement of giga-hertz radiation by sub-wavelength plasma modulation

    Science.gov (United States)

    Fanrong, KONG; Qiuyue, NIE; Shu, LIN; Zhibin, WANG; Bowen, LI; Shulei, ZHENG; Binhao, JIANG

    2018-01-01

    The technology of radio frequency (RF) radiation intensification for radio compact antennas based on modulation and enhancement effects of sub-wavelength plasma structures represents an innovative developing strategy. It exhibits important scientific significance and promising potential of broad applications in various areas of national strategic demands, such as electrical information network and microwave communication, detection and control technology. In this paper, laboratory experiments and corresponding analyses have been carried out to investigate the modulation and enhancement technology of sub-wavelength plasma structure on the RF electromagnetic radiation. An application focused sub-wavelength plasma-added intensification up to ∼7 dB higher than the free-space radiation is observed experimentally in giga-hertz (GHz) RF band. The effective radiation enhancement bandwidth covers from 0.85 to 1.17 GHz, while the enhanced electromagnetic signals transmitted by sub-wavelength plasma structures maintain good communication quality. Particularly, differing from the traditional RF electromagnetic radiation enhancement method characterized by focusing the radiation field of antenna in a specific direction, the sub-wavelength plasma-added intensification of the antenna radiation presents an omnidirectional enhancement, which is reported experimentally for the first time. Corresponding performance characteristics and enhancement mechanism analyses are also conducted in this paper. The results have demonstrated the feasibility and promising potential of sub-wavelength plasma modulation in application focused RF communication, and provided the scientific basis for further research and development of sub-wavelength plasma enhanced compact antennas with wide-range requests and good quality for communication.

  1. Ho3+-doped AlF3-TeO2-based glass fibers for 2.1 µm laser applications

    Science.gov (United States)

    Wang, S. B.; Jia, Z. X.; Yao, C. F.; Ohishi, Y.; Qin, G. S.; Qin, W. P.

    2017-05-01

    Ho3+-doped AlF3-TeO2-based glass fibers based on AlF3-BaF2-CaF2-YF3-SrF2-MgF2-TeO2 glasses are fabricated by using a rod-in-tube method. The glass rod including a core and a thick cladding layer is prepared by using a suction method, where the thick cladding layer is used to protect the core from the effect of surface crystallization during the fiber drawing. By inserting the glass rod into a glass tube, the glass fibers with relatively low loss (~2.3 dB m-1 @ 1560 nm) are prepared. By using a 38 cm long Ho3+-doped AlF3-TeO2-based glass fiber as the gain medium and a 1965 nm fiber laser as the pump source, 2065 nm lasing is obtained for a threshold pump power of ~220 mW. With further increasing the pump power to ~325 mW, the unsaturated output power of the 2065 nm laser is about 82 mW and the corresponding slope efficiency is up to 68.8%. The effects of the gain fiber length on the lasing threshold, the slope efficiency, and the operating wavelength are also investigated. Our experimental results show that Ho3+-doped AlF3-TeO2-based glass fibers are promising gain media for 2.1 µm laser applications.

  2. Synthesis and physical properties of TEOS-based silica aerogels prepared by two step (acid-base) sol-gel process

    International Nuclear Information System (INIS)

    Venkateswara Rao, A.; Bhagat, S.D.

    2004-01-01

    The experimental results on the synthesis and physical properties of tetra-ethoxy-silane- (TEOS) based silica aerogels produced by two step (acid-base) sol-gel process, are reported. The oxalic acid (A) and NH 4 OH (B) concentrations were varied from 0 to 0.1 M and from 0.4 to 3 M, respectively. Monolithic and transparent aerogels have been obtained for the values of A=0.001 M and B=1 M. The effect of time interval (t) before the base catalyst (NH 4 OH) addition to the acidic sol was studied from 0 to 72 h. The time interval at t=24 h of NH 4 OH addition was found to be the best, in terms of low volume shrinkage, high optical transmission and monolithicity. The molar ratio of EtOH/TEOS (S) was varied from 3 to 7.5. Monolithic and transparent aerogels were obtained for an S value of 6.9. Also, the effects of molar ratio of acidic water, i.e., H 2 O/TEOS (W1) and basic water, i.e., H 2 O/TEOS (W2) on the physical properties of the aerogels have been studied. Highly transparent (about 90%) and monolithic aerogels with lower volume shrinkage ( 2 O):basic (H 2 O). The results are discussed by taking into consideration the hydrolysis and poly-condensation reactions. The aerogels were characterized by scanning electron microscopy (SEM), optical transmission, bulk density, volume shrinkage and porosity measurements. (authors)

  3. Plasma copeptin as marker of cardiovascular disease in asymptomatic type 2 diabetes patients

    DEFF Research Database (Denmark)

    Bar-Shalom, Dana; Poulsen, Mikael K; Rasmussen, Lars M

    2014-01-01

    Recently, copeptin was found associated with cardiovascular disease (CVD) and all-cause mortality in type 2 diabetes mellitus (T2DM) patients treated in primary care. This study aimed to evaluate whether plasma copeptin correlated to CVD in asymptomatic T2DM patients intensively investigated....... A variety of clinical investigations were performed, including blood pressure measurements, carotid intima media thickness evaluation and myocardial perfusion scintigraphy. Blood sample analyses included copeptin measurements. Median plasma copeptin concentrations were similar in the T2DM group...... for sub-clinical CVD. A total of 302 T2DM patients referred to the Diabetes Clinic at Odense University Hospital, Denmark, entered the study. None of the patients had known or suspected CVD. As a control group, 30 healthy adults were recruited from the DanRisk study - a random sample of middle-aged Danes...

  4. CVD carbon powders modified by ball milling

    Directory of Open Access Journals (Sweden)

    Kazmierczak Tomasz

    2015-09-01

    Full Text Available Carbon powders produced using a plasma assisted chemical vapor deposition (CVD methods are an interesting subject of research. One of the most interesting methods of synthesizing these powders is using radio frequency plasma. This method, originally used in deposition of carbon films containing different sp2/sp3 ratios, also makes possible to produce carbon structures in the form of powder. Results of research related to the mechanical modification of these powders have been presented. The powders were modified using a planetary ball mill with varying parameters, such as milling speed, time, ball/powder mass ratio and additional liquids. Changes in morphology and particle sizes were measured using scanning electron microscopy and dynamic light scattering. Phase composition was analyzed using Raman spectroscopy. The influence of individual parameters on the modification outcome was estimated using statistical method. The research proved that the size of obtained powders is mostly influenced by the milling speed and the amount of balls. Powders tend to form conglomerates sized up to hundreds of micrometers. Additionally, it is possible to obtain nanopowders with the size around 100 nm. Furthermore, application of additional liquid, i.e. water in the process reduces the graphitization of the powder, which takes place during dry milling.

  5. Plasma boriding of a cobalt–chromium alloy as an interlayer for nanostructured diamond growth

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Jubinsky, Matthew; Catledge, Shane A., E-mail: catledge@uab.edu

    2015-02-15

    Highlights: • Metal-boride layer creates a compatible surface for NSD deposition. • PECVD boriding on CoCrMo produces robust metal-boride layer. • Deposition temperature comparison shows 750 °C boriding masks surface cobalt. • EDS shows boron diffusion as well as deposition. • Nanoindentation hardness of CoCrMo substantially increases after boriding. - Abstract: Chemical vapor deposited (CVD) diamond coatings can potentially improve the wear resistance of cobalt–chromium medical implant surfaces, but the high cobalt content in these alloys acts as a catalyst to form graphitic carbon. Boriding by high temperature liquid baths and powder packing has been shown to improve CVD diamond compatibility with cobalt alloys. We use the microwave plasma-enhanced (PE) CVD process to deposit interlayers composed primarily of the borides of cobalt and chromium. The use of diborane (B{sub 2}H{sub 6}) in the plasma feedgas allows for the formation of a robust boride interlayer for suppressing graphitic carbon during subsequent CVD of nano-structured diamond (NSD). This metal–boride interlayer is shown to be an effective diffusion barrier against elemental cobalt for improving nucleation and adhesion of NSD coatings on a CoCrMo alloy. Migration of elemental cobalt to the surface of the interlayer is significantly reduced and undetectable on the surface of the subsequently-grown NSD coating. The effects of PECVD boriding are compared for a range of substrate temperatures and deposition times and are evaluated using glancing-angle X-ray diffraction (XRD), cross-sectional scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS), and micro-Raman spectroscopy. Boriding of CoCrMo results in adhered nanostructured diamond coatings with low surface roughness.

  6. The electronic spectra of FeH and TeO2

    International Nuclear Information System (INIS)

    Hullah, D.F.

    1999-01-01

    A thesis submitted for the degree of Doctor of Philosophy at the University of Oxford. Daniel Fearnley Hullah, Jesus College, Trinity Term 1999. This thesis is presented in two parts. The subject of Part One is the recording and analysis of part of the electronic spectrum of the iron monohydride, FeH, at visible wavelengths with Doppler-limited resolution. The subject of Part Two is the recording and analysis of the electronic spectrum of tellurium dioxide, TeO 2 , at near ultra-violet wavelengths under free jet expansion conditions. PART ONE: The fourth sub-bands of the e 6 Π-c 6 Σ + and e 6 Π-a 6 Δ transitions of FeH were recorded using both direct laser induced fluorescence and dispersed laser induced fluorescence. A total of sixteen lines were assigned to the e 6 Π 1/2 -c 6 Σ + -1/2 transition and fourteen lines were assigned to the e 6 Π 1/2 -a 6 Δ 3/2 transition. This allowed the e 6 Π 1/2 spin-orbit component to be characterised for J levels from 1/2 to 7/2 and the a 6 Δ 3/2 spin-orbit component to be characterised for J levels from 3/2 to 9/2. The first sub-band of the e 6 Π-a 6 Δ transition was extended following analysis of the high temperature spectrum of McCormack and O'Connor (recorded in 1976). Dispersed fluorescence spectra of the first four sub-bands of the e 6 Π-a 6 Δ transition were recorded. Following analysis, transitions at ∼ 600 nm were recorded using direct laser induced fluorescence. These were assigned to transitions from the first three spin-orbit components of a new sextet electronic state, b 6 Π (e 6 Π-b 6 Π), one spin orbit component of a new quartet state, C 4 Φ 7/2 , (e 6 Π 5/2 -C 4 Φ 7/2 ), and the previously observed X 4 Δ 7/2 υ = 2 state (e 6 Π 7/2 -X 4 Δ 7/2 υ = 2). PART TWO: The electronic spectrum of TeO 2 was recorded between 345 and 406 nm using a pulsed dye laser. TeO 2 (g) was produced by heating TeO 2(s) to 850 deg. C and entraining the vapour in argon and expanding the gases in a continuous free

  7. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  8. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  9. Propriedades estruturais e térmicas de vidros teluretos 20Li2O-80TeO2 Structural and thermal properties of tellurite 20Li2O-80TeO2 glasses

    Directory of Open Access Journals (Sweden)

    E. Idalgo

    2007-09-01

    Full Text Available O presente trabalho reporta estudos sobre a cristalização em vidros teluretos 20Li2O-80TeO2 induzida a partir de tratamentos térmicos realizados sobre vidros com tamanho de partículas entre 38 µm e 75 µm. Estes estudos foram conduzidos em duas matrizes vítreas tratadas e não tratadas termicamente para aliviar as tensões após o quenching, utilizando-se de forma combinada às técnicas de difração de raios X, calorimetria diferencial de varredura e espectroscopia no infravermelho. Os resultados revelaram a presença de três fases cristalinas distintas durante o processo de cristalização e apontaram para uma hierarquia tal que as fases alfa-TeO2 e gama-TeO2 cristalizam-se antecipadamente à fase Li2Te2O5 no vidro 20Li2O-80TeO2 sujeito a tensões mecânicas induzidas durante a síntese. No vidro 20Li2O-80TeO2, livre de tensões mecânicas, não foi possível discriminar esta hierarquia de cristalização.This work report crystallization studies on tellurite 20Li2O-80TeO2 glasses induced from heat thermal annealing on glasses with particle size between 38 µm and 75 µm. These studies were conducted on two glass matrix heat thermal annealed and non-annealed to remove the stress after the quenching, by using the X-Ray diffraction analysis, Fourier transform infrared spectroscopy and differential scanning calorimetry techniques. The results shown the presence of three distinct crystalline alpha-TeO2, gamma-TeO2 and Li2Te2O5 phases during the crystallization process, suggesting a crystallization hierarchy on the glass matrix under stress, since the gamma-TeO2 and alpha-TeO2 phases crystallization occurs before the Li2Te2O5 phase. On the glass stress free, this crystallization hierarchy was not clearly determined.

  10. ICRF-enhanced plasma potentials in the SOL of Alcator C-Mod

    Energy Technology Data Exchange (ETDEWEB)

    Ochoukov, R.; Whyte, D. G.; Brunner, D.; LaBombard, B.; Lipschultz, B.; Terry, J. L.; Wukitch, S. J. [PSFC MIT, NW17, 175 Albany Street, Cambridge, MA 02139 (United States); D' Ippolito, D. A.; Myra, J. R. [Lodestar Research Corporation, 2400 Central Avenue, Boulder, Colorado 80301 (United States)

    2014-02-12

    We performed an extensive survey of the plasma potential in the scrape-off layer (SOL) of Ion Cyclotron Range-of Frequencies (ICRF)-heated discharges on Alcator C-Mod. Our results show that plasma potentials are enhanced in the presence of ICRF power and plasma potential values of >100 V are often observed. Such potentials are high enough to induce sputtering of high-Z molybdenum (Mo) plasma facing components by deuterium ions on C-Mod. For comparison, the plasma potential in Ohmic discharges is typically less than 10 V, well below the threshold needed to induce Mo sputtering by deuterium ions. ICRF-enhanced plasma potentials are observed in the SOL regions that both magnetically map and do not map to active ICRF antennas. Regions that magnetically map to active ICRF antennas are accessible to slow waves directly launched by the antennas and these regions experience plasma potential enhancement that is partially consistent with the slow wave rectification mechanism. One of the most defining features of the slow wave rectification is a threshold appearance of significant plasma potentials (>100 V) when the dimensionless rectification parameter Λ{sub −o} is above unity and this trend is observed experimentally. We also observe ICRF-enhanced plasma potentials >100 V in regions that do not magnetically map to the active antennas and, hence, are not accessible for slow waves launched directly by the active antennas. However, unabsorbed fast waves can reach these regions. The general trend that we observe in these 'un-mapped' regions is that the plasma potential scales with the strength of the local RF wave fields with the fast wave polarization and the highest plasma potentials are observed in discharges with the highest levels of unabsorbed ICRF power. Similarly, we find that core Mo levels scale with the level of unabsorbed ICRF power suggesting a link between plasma potentials in the SOL and the strength of the impurity source.

  11. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  12. Investigation of plasma potential and pulsed discharge characteristics in enhanced glow discharge plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Li Liuhe; Lu Qiuyuan; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PII and D) does not require external plasma sources. In this technique, the plasma is produced by self-glow discharge when a high negative voltage is applied to the sample. The small-area, pointed-shape hollow anode and large area tabular cathode form an electron-focused electric field. Using a special electric field design, the electrons from either the plasma or target (secondary electrons) are focused to a special hollow anode. As a result of the special electron-focusing field, the self-glow discharge process can be enhanced to achieve effective ion implantation into the substrate. In this work, the plasma potential distribution is investigated in details and the possible pulse discharge mechanism is discussed. The unique characteristics of the pulsed plasma and plasma extinction are studied.

  13. Síntesis de materiales cerámicos mediante técnicas químicas en fase vapor (CVD

    Directory of Open Access Journals (Sweden)

    Gómez-Aleixandre, C.

    2003-02-01

    Full Text Available Chemical vapour deposition (CVD has been successfully used for the synthesis of a large variety of compounds. Initially the technique was developed for microelectronic applications and then was widespread used for the preparation of hard coatings, optoelectronic and superconductor materials. Among the characteristics inherent to the CVD technique it is worth mentioning the preparation of homogeneous deposits at relatively low temperatures mostly when the reaction is electrically or laser plasma or photon activated. New materials with given characteristics can be produced by properly choosing the reactant gas mixture as well as its relative composition. The presentation will be also focussed onto the deposition of different materials, such as carbon films (both crystalline, and amorphous with diamond-like properties, deposited by plasma assisted CVD techniques using methane and hydrogen gas mixtures. Also, the deposition of binary compounds, as boron nitride will be reviewed. Finally, the experimental requirements for obtaining new ternary compounds from the system Si-B-N-C (i.e.: CBN, SiBN will be discussed. The properties of these materials strongly depend on their composition and structure. Therefore, by adequate selection of the experimental parameters, it is possible to obtain ternary compounds with tailored characteristics.

    Actualmente, la técnica de CVD está siendo utilizada en la síntesis de una gran variedad de compuestos cerámicos, generalmente en forma de capa delgada. La técnica, desarrollada inicialmente para su aplicación en microelectrónica, ha sido después utilizada con éxito en otras áreas de gran actividad científica y tecnológica (recubrimientos duros, dispositivos optoelectrónicos, materiales superconductores, etc.. Entre las características más positivas de las técnicas de CVD, cabe destacar la obtención de depósitos homogéneos a temperaturas relativamente bajas, sobre todo cuando la activación de

  14. Lattice anomalies and magnetic excitations of the spin web compound Cu3TeO6

    International Nuclear Information System (INIS)

    Choi, K Y; Lemmens, P; Choi, E S; Berger, H

    2008-01-01

    We report on the magnetic susceptibility and Raman scattering measurements of the S = 1/2 three-dimensional (3D) spin web compound Cu 3 TeO 6 . The magnetic susceptibility shows an antiferromagnetic ordering at T N ∼61 K and a deviation from the Curie-Weiss law around 150 K. Raman spectra show the emergence of a new mode at 132 cm -1 for temperatures below T*∼50 K (∼0.8 T N ). At the same temperature, phonon anomalies in intensity and frequency show up. This gives evidence of pronounced magneto-elastic effects. In addition, we observe a two-magnon Raman spectrum around 214 cm -1 . Compared to typical 3D spin systems, its robust temperature dependence suggests that a frustrated spin topology with a reduced spin coordination number also enhances spin-phonon couplings.

  15. The capability of plasma osteoprotegerin as a predictor of cardiovascular disease: a systematic literature review

    DEFF Research Database (Denmark)

    Nybo, Mads; Rasmussen, Lars M

    2008-01-01

    OBJECTIVE: Osteoprotegerin (OPG) strongly inhibits bone resorption and may also serve as a vascular calcification inhibitor. However, recent studies have indicated that high plasma OPG is a strong predictor of cardiovascular disease (CVD) and mortality. To evaluate this capability, the data...... to the existing markers of CVD and mortality in high-risk populations. Hazard ratios emphasized the significant correlation between plasma OPG concentration and mortality. Due to methodological problems (e.g., population investigated, measurement principle, and statistics performed), meta-analysis could...... not be performed. As only one study was conducted in a healthy cohort, the results cannot per se be extrapolated to the general population. CONCLUSION: The combined results support plasma OPG as an independent predictor of CVD and mortality in high-risk populations. However, more longitudinal studies in general...

  16. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  17. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Min [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); Jang, Sung Kyu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Song, Young Jae [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Department of Physics, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of); Lee, Sungjoo, E-mail: leesj@skku.edu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); College of Information and Communication Engineering, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of)

    2015-01-15

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO{sub 2}, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems.

  18. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    International Nuclear Information System (INIS)

    Wang, Min; Jang, Sung Kyu; Song, Young Jae; Lee, Sungjoo

    2015-01-01

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO 2 , show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems

  19. High plasma homocyst(e)ine levels in elderly Japanese patients are associated with increased cardiovascular disease risk independently from markers of coagulation activation and endothelial cell damage.

    Science.gov (United States)

    Kario, K; Duell, P B; Matsuo, T; Sakata, T; Kato, H; Shimada, K; Miyata, T

    2001-08-01

    Elevated plasma homocyst(e)ine is a risk factor for cardiovascular disease (CVD) in many populations, but the relationship between homocyst(e)ine and CVD in Japanese subjects has been unclear. It has been hypothesized that the link between homocyst(e)ine and CVD may be mediated in part by activation of coagulation and endothelial cell injury in the elderly Japanese subjects. To further evaluate this hypothesis, the present cross-sectional study was designed to assess the relationships among plasma homocyst(e)ine concentrations, risk of CVD, and markers of coagulation (fibrinogen, FVII, F1+2, FVIIa and FXIIa) and endothelial cell damage (vWF and thrombomodulin) in 146 elderly Japanese subjects (79 healthy controls and 67 patients with CVD). The geometric mean (range) of plasma homocyst(e)ine concentrations was 10.2 (3.2--33) micromol/l in 79 Japanese healthy elderly subjects. As expected, healthy female and male elderly subjects had homocyst(e)ine levels that were 2.5 and 5.3 micromol/; higher, respectively, compared to healthy young control subjects (n=62). Healthy young and elderly men had homocyst(e)ine levels that were 1.7 and 4.5 micromol/l higher, respectively, compared to values in women. This higher plasma homocyst(e)ine levels in the elderly subjects were negatively correlated with levels of folic acid, albumin and total cholesterol, but were not significantly related to markers of coagulation or endothelial cell-damage. The results of multiple logistic regression analyses suggested that high homocyst(e)ine levels were independently related to CVD risk. In addition, levels of FVIIa, and F1+2 were significantly higher in elderly Japanese patients with CVD compared to elderly subjects without CVD, but were unrelated to plasma homocyst(e)ine concentrations. In summary, elevated plasma concentrations of homocyst(e)ine, FVIIa, and F1+2 were associated with increased risk of CVD in elderly male and female Japanese subjects, but the association between homocyst

  20. Enhancement of EAST plasma control capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Bingjia, E-mail: bjxiao@ipp.ac.cn [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); School of Nuclear Science and Technology, University of Science and Technology of China, Hefei (China); Yuan, Qiping; Luo, Zhengping; Huang, Yao; Liu, Lei; Guo, Yong; Pei, Xiaofang; Chen, Shuliang [Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Humphreys, D.A.; Hyatt, A.W. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Mueller, Dennis [Princeton Plasma Physics Laboratory, Princeton, NJ (United States); Calabró, G.; Crisanti, F. [ENEA UnitàTecnicaFusione, C.R. Frascati, Via E. Fermi 45, 00044 Frascati, Roma (Italy); Albanese, R.; Ambrosino, R. [CREATE, Università di Napoli Federicao II, Università di Cassino and Università di Napoli Parthenope, Via Claudio 19, 80125 Napoli (Italy)

    2016-11-15

    Highlights: • Parallel plasma equilibrium reconstruction using GPU for real-time control on EAST. • Vertical control using Bang-bang + PID method to improve the response and minimize the oscillation caused by the latency. • Quasi-snow flake divertor plasma configuration has been demonstrated on EAST. - Abstract: In order to improve the plasma control performance and enhance the capability for advanced plasma control, new algorithms such as PEFIT/ISOFLUX plasma shape feedback control, quasi-snowflake plasma shape development and vertical control under new vertical control power supply, have been implemented and experimentally tested and verified in EAST 2014 campaign. P-EFIT is a rewritten version of EFIT aiming at fast real-time equilibrium reconstruction by using GPU for parallelized computation. Successful control using PEFIT/ISOFLUX was established in dedicated experiment. Snowfldivertor plasma shape has the advantage of spreading heat over the divertor target and a quasi-snowflake (QSF) configuration was achieved in discharges with I{sub p} = 0.25 MA and B{sub t} = 1.8T, κ∼1.9, by plasma position feedback control. The shape feedback control to achieve QSF shape has been preliminary implemented by using PEFIT and the initial experimental test has been done. For more robust vertical instability control, the inner coil (IC) and its power supply have been upgraded. A new control algorithm with the combination of Bang-bang and PID controllers has been developed. It is shown that new vertical control power supply together with the new control algorithms results in higher vertical controllability.

  1. [Study of enhancement effect of laser-induced crater on plasma radiation].

    Science.gov (United States)

    Chen, Jin-Zhong; Zhang, Xiao-Ping; Guo, Qing-Lin; Su, Hong-Xin; Li, Guang

    2009-02-01

    Single pulses exported from high-energy neodymium glass laser were used to act on the same position of soil sample surface repeatedly, and the plasma emission spectra generated from sequential laser pulse action were collected by spectral recording system. The experimental results show that the laser-induced soil plasma radiation was enhanced continuously under the confinement effect of the crater walls, and the line intensities and signal-to-background ratios both had different improvements along with increasing the number of acting pulses. The photographs of the plasma image and crater appearance were taken to study the plasma shape, laser-induced crater appearance, and the mass of the ablated sample. The internal mechanism behind that laser-induced crater enhanced plasma radiation was researched. Under the sequential laser pulse action, the forming plasma as a result enlarges gradually first, leading to distortion at the trail of plasma plume, and then, its volume diminishes slowly. And also, the color of the plasma changes from buff to white gradually, which implies that the temperature increases constantly. The laser-induced crater had a regular shape, that is, the diameter increased from its bottom to top gradually, thus forming a taper. The mass of the laser-ablated substance descends along with increasing the amount of action pulse. Atomization degree of vaporized substance was improved in virtue of the crater confinement effect, Fresnel absorption produced from the crater walls reflection, and the inverse bremsstrahlung, and the plasma radiation intensity was enhanced as a result.

  2. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    2012-01-01

    Efficiency of atmospheric pressure plasma treatment can be highly enhanced by simultaneous high power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above ∼140 dB can reduce the thickness of a boundary gas layer between the plasma...... arc at atmospheric pressure to study adhesion improvement. The effect of ultrasonic irradiation with the frequency diapason between 20 and 40 kHz at the SPL of ∼150 dB was investigated. After the plasma treatment without ultrasonic irradiation, the wettability was significantly improved...

  3. Enhanced stimulated Raman scattering by femtosecond ultraviolet plasma grating in water

    Science.gov (United States)

    Liu, Fengjiang; Yuan, Shuai; He, Boqu; Nan, Junyi; Khan, Abdul Qayyum; Ding, Liang'en; Zeng, Heping

    2018-02-01

    Efficient forward stimulated Raman scattering (SRS) was observed along 400-nm femtosecond (fs) laser filaments in water. SRS conversion dominated over self-phase modulation induced continuum generation as the input pulse energy was above 4 μJ (˜30 Pcr), implying that plasma in the aqueous filamentation channel played an important role in compensating for the group velocity walk-off between the pump and Stokes pulses. By overlapping two synchronous fs 400-nm filaments to form plasma grating in water, significant enhancement of SRS conversion was observed. Such a SRS enhancement originated from the ultrahigh plasma density in the intersection region of the preformed plasma grating.

  4. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB.

    Science.gov (United States)

    Engelbrechtsen, L; Lundgren, J; Wewer Albrechtsen, N J; Mahendran, Y; Iepsen, E W; Finocchietto, P; Jonsson, A E; Madsbad, S; Holst, J J; Vestergaard, H; Hansen, T; Torekov, S S

    2017-12-01

    Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Fifty-eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m 2 [mean ± SD]) were included in this study. After 8 weeks on a very low-calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance-based lipidomics analysis. After an 8-week low-calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low-density lipoprotein and very low-density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre-weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). An 8-week low-calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower CVD risk. Including apoB measurements in clinical practice when

  5. JPRS Report, Science & Technology, China

    Science.gov (United States)

    1988-11-10

    Plasma-Enhanced CVD Silicon Nitride as Antirefleetion Coating for Silicon Solar Cells 40090008f Beijing TAIYANGNENG XUEBAO [ACTA ENERGIAE SOLARIS SINICA...al.; TAIYANGNENG XUEBAO, No 3, Jul 88] 52 Plasma-Enhanced CVD Silicon Nitride as Antireflection Coating for Silicon Solar Cells [Mao Ganru, et al...130 First Fiber-Optic Line in Jiangxi 130 Solar Power Supply for Fiber-Optic Lines 130 Birefringent Single-Mode Optical Fiber 131 Nanchang

  6. Ultrasound enhanced plasma surface modification at atmospheric pressure

    DEFF Research Database (Denmark)

    Kusano, Yukihiro; Singh, Shailendra Vikram; Norrman, Kion

    and the material surface, and thus many reactive species generated in the plasma can reach the surface before inactivated, and be efficiently utilized for surface modification. In the present work polyester plates are treated using a dielectric barrier discharge (DBD) and a gliding arc at atmospheric pressure......Atmospheric pressure plasma treatment can be highly enhanced by simultaneous high-power ultrasonic irradiation onto the treating surface. It is because ultrasonic waves with a sound pressure level (SPL) above approximately 140 dB can reduce the thickness of a boundary gas layer between the plasma...... irradiation, the water contact angle dropped markedly, and tended to decrease furthermore at higher power. The ultrasonic irradiation during the plasma treatment consistently improved the wettability. Oxygen containing polar functional groups were introduced at the surface by the plasma treatment...

  7. Oxide Dispersion Strengthened Iron Aluminide by CVD Coated Powders

    Energy Technology Data Exchange (ETDEWEB)

    Asit Biswas Andrew J. Sherman

    2006-09-25

    This I &I Category2 program developed chemical vapor deposition (CVD) of iron, aluminum and aluminum oxide coated iron powders and the availability of high temperature oxidation, corrosion and erosion resistant coating for future power generation equipment and can be used for retrofitting existing fossil-fired power plant equipment. This coating will provide enhanced life and performance of Coal-Fired Boilers components such as fire side corrosion on the outer diameter (OD) of the water wall and superheater tubing as well as on the inner diameter (ID) and OD of larger diameter headers. The program also developed a manufacturing route for readily available thermal spray powders for iron aluminide coating and fabrication of net shape component by powder metallurgy route using this CVD coated powders. This coating can also be applid on jet engine compressor blade and housing, industrial heat treating furnace fixtures, magnetic electronic parts, heating element, piping and tubing for fossil energy application and automotive application, chemical processing equipment , heat exchanger, and structural member of aircraft. The program also resulted in developing a new fabrication route of thermal spray coating and oxide dispersion strengthened (ODS) iron aluminide composites enabling more precise control over material microstructures.

  8. Protection of active implant electronics with organosilicon open air plasma coating for plastic overmolding

    Directory of Open Access Journals (Sweden)

    Zeppenfeld Matthias

    2016-09-01

    Full Text Available To overcome challenges for manufacturing of modern smart medical plastic parts by injection molding, e.g. for active implants, the optimization of the interface between electronics and the polymer component concerning adhesion and diffusion behavior is crucial. Our results indicate that a nano-sized SiOxCyHz layer formed by plasma-enhanced chemical vapour deposition (PE-CVD via open air atmospheric pressure plasma jet (APPJ and by use of a hexamthyldisiloxane (HMDSO precursor can form a non-corrosive, anti-permeable and biocompatible coating. Due to the open air character of the APPJ process an inline coating before overmolding could be an easy applicable method and a promising advancement.

  9. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  10. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  11. Plasma Clot Lysis Time and Its Association with Cardiovascular Risk Factors in Black Africans

    NARCIS (Netherlands)

    Z. de Lange (Zelda); M. Pieters (Marlien); J.C. Jerling (Johann); A. Kruger (Annamarie); D.C. Rijken (Dingeman)

    2012-01-01

    textabstractStudies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid

  12. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  13. Upconversion in rare earth ions doped TeO2-ZnO glass

    International Nuclear Information System (INIS)

    Mohanty, Deepak Kumar; Rai, Vineet Kumar

    2012-01-01

    The Er 3+ /Yb 3+ doped/codoped TeO 2 -ZnO glasses have been fabricated by conventional melt and quenching technique. The absorption spectra of the doped/codoped glasses have been performed. The visible upconversion emissions of both doped and codoped glasses have been observed using 808 nm diode laser excitation. The process involved in upconversion emissions has been discussed in detail. (author)

  14. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  15. Molecular dynamics investigation of Na+ in Na2Ni2TeO6

    International Nuclear Information System (INIS)

    Sau, Kartik; Kumar, P. Padma

    2014-01-01

    An inter-atomic potential for Na 2 Ni 2 TeO 6 in the Parrinello- Rahman-Vashishta (PRV) model is parameterized empirically. The potential reproduces variety of structural and transport properties of that material in good agreement with recent experimental results. The study provides fresh insights on the migration channels and mechanism of Na + in the system

  16. Mapping of 79 loci for 83 plasma protein biomarkers in cardiovascular disease

    DEFF Research Database (Denmark)

    Folkersen, Lasse Westergaard; Fauman, Eric; Sabater-Lleal, Maria

    2017-01-01

    Recent advances in highly multiplexed immunoassays have allowed systematic large-scale measurement of hundreds of plasma proteins in large cohort studies. In combination with genotyping, such studies offer the prospect to 1) identify mechanisms involved with regulation of protein expression...... in plasma, and 2) determine whether the plasma proteins are likely to be causally implicated in disease. We report here the results of genome-wide association (GWA) studies of 83 proteins considered relevant to cardiovascular disease (CVD), measured in 3,394 individuals with multiple CVD risk factors. We...... on coronary artery disease, and highlight several potentially causal associations. Overall, a majority of the plasma proteins studied showed evidence of regulation at the genetic level. Our results enable future studies of the causal architecture of human disease, which in turn should aid discovery of new...

  17. Anticorrosive coating of SixOyCz on metallic substrates applied with the plasma CVD technique

    International Nuclear Information System (INIS)

    Perillo, P; Lasorsa, C; Versaci, R

    2006-01-01

    This work deals with the production of anticorrosive coatings of Si x O y C z on metallic substrates by PECVD (Plasma Enhanced Chemical Vapor Deposition) in a two layer coating, with a gaseous mixture using methyltrimethoxysilane (Z6070) with the contribution of O 2 and methane as reactive gases. The process involves two steps, the first with the substrate thermalized to 500 o C and the second step with the substrate at room temperature. In the first step the process is carried out with the mixture of O 2 and Z6070, in the second step methane is added to the mixture of the plasma forming gases. The coatings were carried out on AISI 410 stainless steel, AISI M2 steel, titanium and AA6061 aluminum substrates. This work presents the preliminary results of the electrochemical evaluation and the mechanical properties of the coating. Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS/ESCA ), and scanning electron microscopy were used for this study. Electrochemical techniques were used to study the reaction to the corrosion of the coatings. Potentiodynamic polarization curves were prepared in a solution of 5% H 2 SO 4 and in NaCl 0,1M. The tests were undertaken at room temperature. This process is presented as an alternative to the conventional immersion processes by the sol-gel method, which produces the polymerization of the reagent as a result of the effect of the oxygen from the environment, while the plasma process produces very different chemical reactions in the center of the plasma itself with coatings also different (CW)

  18. X-ray diffraction study on microstructure of Li20-TeO2 glasses; Xsen kaisetsuho ni yoru Li{sub 2}O-TeO{sub 2} kei garasu no mikuro kozo kaiseki

    Energy Technology Data Exchange (ETDEWEB)

    Iwadate, Y; Hattori, T; Nishiyama, S; Fukushima, K; Sugawara, Y [Chiba Univ. (Japan); Noda, K. [Japan Atomic Energy Research Inst., Tokyo (Japan)] Tatsumisuna, M. [Osaka Prefectural Univ., Sakai (Japan)] Umesaki, M. [Osaka Industrial Research Inst., Osaka (Japan)

    1998-07-10

    Li2O-TeO2 glasses attract attention because of unique properties such as high density, high refractive index, large dielectric constants and high infrared transmittance. The short range structures of two samples of Li2O-TeO2 glasses (15:85 mol% Li2O-TeO2 and 25:75 mol% Li2O-TeO2) were investigated by X-ray diffraction technique. Interpretation of the obtained radial distribution functions was performed on the basis of the strength comparison method. The following conclusions were obtained: Two types of Te-O bond lengths of 0.18nm and 0.20nm are found in TeO4 tetragonal bipyramid. As network forming units, the TeO4 tetragonal bipyramids and TeO3 trigonal bipyramids are observed. The TeO4 tetragonal bipyramid units are transformed into the TeO3 trigonal bipyramids through the transient species of polyhedral with non-bridging oxygen atoms with increasing content of Li2O. The lone pair of Te atom does not contribute to the formation of the glass network. 17 refs., 6 figs., 2 tabs.

  19. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  20. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  1. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A. [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P.J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  2. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Paterson, P.J.K.

    1993-01-01

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs

  3. The effectiveness of Ti implants as barriers to carbon diffusion in Ti implanted steel under CVD diamond deposition conditions

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Hoffman, A [Technion-Israel Inst. of Tech., Haifa (Israel). Dept. of Chemistry; Evan, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Paterson, P J.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    The growth of chemical vapour deposited (CVD) diamond onto iron based substrates complicated by preferential soot formation and carbon diffusion into the substrate [1], leading to poor quality films and poor adhesion. In the initial stages of exposure to a microwave plasma, a layer of graphite is rapidly formed on an untreated Fe based substrate. Once this graphite layer reaches a certain thickness, reasonable quality diamond nucleates and grows upon it. However, the diamond film easily delaminates from the substrate, the weak link being the graphitic layer. Following an initial success in using a TiN barrier layer to inhibit the formation of such a graphitic layer the authors report on attempts to use an implanted Ti layer for the same purpose. This work was prompted by observation that, although the TiN proved to be an extremely effective diffusion barrier, adhesion may be further enhanced by the formation of a TiC interface layer between the diamond film and the Fe substrate. 3 refs., 6 figs.

  4. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  5. Attenuation process of the longitudinal phonon mode in a TeO2 crystal in the 20-GHz range

    Science.gov (United States)

    Ohno, S.; Sonehara, T.; Tatsu, E.; Koreeda, A.; Saikan, S.

    2017-06-01

    We experimentally investigated the hypersonic attenuation process of a longitudinal mode (L-mode) sound wave in TeO2 from room temperature to a lower temperature using Brillouin scattering and impulsive stimulated thermal scattering (ISTS) measurements. For precise measurement of the Brillouin linewidth at low temperatures, whereby the mean free path of the phonon becomes longer than the sample length, it is indispensable that the phonon should propagate along the phonon-resonance direction. To figure out the suitable direction, we defined two indices characterizing a degree of phonon divergence and a purity of propagation direction. The best direction that we found from these indices is [110] direction in TeO2, and it was used to discuss the temperature and frequency dependences of Brillouin spectra. We extracted the temperature dependence of the attenuation rate of T4 from the modulated Brillouin spectra due to the phonon resonance below Debye temperature. The frequency dependence ω1 of the hypersonic attenuation was also estimated from the polarization dependence of the Brillouin linewidth. Theoretically, it predicted that the L-mode phonon attenuation at low temperatures in TeO2 is a result of Herring's process, which shows the attenuation behavior of ω2T3 . The ω1T4 dependence is not allowed in Herring's process but is allowed by the L +L →L process, which has been considered to be forbidden so far. We evaluated the thermal phonon lifetime using ISTS and established that it was finite even at 20 K, thereby allowing the L +L →L process. Therefore, we conclude that the L +L →L process dominates the attenuation of an L-mode phonon in TeO2 in the low-temperature region.

  6. Cardiovascular disease (CVD and chronic kidney disease (CKD event rates in HIV-positive persons at high predicted CVD and CKD risk: A prospective analysis of the D:A:D observational study.

    Directory of Open Access Journals (Sweden)

    Mark A Boyd

    2017-11-01

    Full Text Available The Data Collection on Adverse Events of Anti-HIV Drugs (D:A:D study has developed predictive risk scores for cardiovascular disease (CVD and chronic kidney disease (CKD, defined as confirmed estimated glomerular filtration rate [eGFR] ≤ 60 ml/min/1.73 m2 events in HIV-positive people. We hypothesized that participants in D:A:D at high (>5% predicted risk for both CVD and CKD would be at even greater risk for CVD and CKD events.We included all participants with complete risk factor (covariate data, baseline eGFR > 60 ml/min/1.73 m2, and a confirmed (>3 months apart eGFR 1%-5%, >5% and fitted Poisson models to assess whether CVD and CKD risk group effects were multiplicative. A total of 27,215 participants contributed 202,034 person-years of follow-up: 74% male, median (IQR age 42 (36, 49 years, median (IQR baseline year of follow-up 2005 (2004, 2008. D:A:D risk equations predicted 3,560 (13.1% participants at high CVD risk, 4,996 (18.4% participants at high CKD risk, and 1,585 (5.8% participants at both high CKD and high CVD risk. CVD and CKD event rates by predicted risk group were multiplicative. Participants at high CVD risk had a 5.63-fold (95% CI 4.47, 7.09, p < 0.001 increase in CKD events compared to those at low risk; participants at high CKD risk had a 1.31-fold (95% CI 1.09, 1.56, p = 0.005 increase in CVD events compared to those at low risk. Participants' CVD and CKD risk groups had multiplicative predictive effects, with no evidence of an interaction (p = 0.329 and p = 0.291 for CKD and CVD, respectively. The main study limitation is the difference in the ascertainment of the clinically defined CVD endpoints and the laboratory-defined CKD endpoints.We found that people at high predicted risk for both CVD and CKD have substantially greater risks for both CVD and CKD events compared with those at low predicted risk for both outcomes, and compared to those at high predicted risk for only CVD or CKD events. This suggests that CVD and

  7. Lower Plasma Fetuin-A Levels Are Associated With a Higher Mortality Risk in Patients With Coronary Artery Disease.

    Science.gov (United States)

    Chen, Xuechen; Zhang, Yuan; Chen, Qian; Li, Qing; Li, Yanping; Ling, Wenhua

    2017-11-01

    The present study was designed to evaluate the association of circulating fetuin-A with cardiovascular disease (CVD) and all-cause mortality. We measured plasma fetuin-A in 1620 patients using an enzyme-linked immunosorbent assay kit. The patients were members of the Guangdong coronary artery disease cohort and were recruited between October 2008 and December 2011. Cox regression models were used to estimate the association between plasma fetuin-A and the risk of mortality. A total of 206 deaths were recorded during a median follow-up of 5.9 years, 146 of whom died from CVD. The hazard ratios for the second and third tertiles of the fetuin-A levels (using the first tertile as a reference) were 0.65 (95% confidence interval, 0.44-0.96) and 0.51 (95% confidence interval, 0.33-0.78) for CVD mortality ( P =0.005) and 0.65 (95% confidence interval, 0.47-0.91) and 0.48 (95% confidence interval, 0.33-0.70) for all-cause mortality ( P <0.001), respectively. Lower plasma fetuin-A levels were associated with an increased risk of all-cause and CVD mortality in patients with coronary artery disease independently of traditional CVD risk factors. © 2017 American Heart Association, Inc.

  8. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  9. Temperature stable LiNbO3 surface acoustic wave device with diode sputtered amorphous TeO2 over-layer

    International Nuclear Information System (INIS)

    Dewan, Namrata; Tomar, Monika; Gupta, Vinay; Sreenivas, K.

    2005-01-01

    Amorphous TeO 2 thin film, sputtered in the O 2 +Ar(25%+75%) gas environment using a metallic tellurium target, has been identified as an attractive negative temperature coefficient of delay (TCD) material that can yield a temperature stable device when combined with a surface acoustic wave (SAW) device based on positive TCD material such as LiNbO 3 . The influence of amorphous TeO 2 over-layer on the SAW propagation characteristics (velocity and temperature coefficient of delay) of the SAW filters (36 and 70 MHz) based on 128 deg. rotated Y-cut X-propagating lithium niobate (128 deg. Y-X LiNbO 3 ) single crystal has been studied. It is found that 0.042 λ thick TeO 2 over-layer on a prefabricated SAW device operating at 36 MHz centre frequency, reduces the TCD of the device from 76 ppm deg. C -1 to almost zero (∼1.4 ppm deg. C -1 ) without deteriorating its efficiency and could be considered as a suitable alternative for temperature stable devices in comparison to conventional SiO 2 over-layer

  10. Transanal endoscopic microsurgery with 3-D (TEM) or high-definition 2-D transanal endoscopic operation (TEO) for rectal tumors. A prospective, randomized clinical trial.

    Science.gov (United States)

    Serra-Aracil, Xavier; Mora-Lopez, Laura; Alcantara-Moral, Manel; Caro-Tarrago, Aleidis; Navarro-Soto, Salvador

    2014-05-01

    Transanal endoscopic microsurgery (TEM) is a three-dimensional viewing endoscopic system procedure which provides access to rectal tumors through a rectoscope. Two-dimensional transanal endoscopic operation (TEO), with the introduction of high-definition vision, achieves results that are comparable to those of the classical TEM. The main aim of the study was to compare the effectiveness of TEO and TEM systems in a prospective, randomized clinical trial. patients meeting inclusion criteria for diagnosis of rectal tumors with curative intent. Sample size, 36 patients. Patients were randomized to receive one of the two procedures. Study variables recorded were the following: preoperative data (time taken to assemble equipment, surgical time, quality of pneumorectum), postoperative morbidity and mortality, pathology study of the tumors, and economic analysis. Thirty-six patients were analyzed according to intention to treat. Two patients were excluded. The final per-protocol analysis was 34 patients. There were no significant differences in the preoperative or operative variables, quality of pneumorectum, postoperative variables, or pathology results. A trend toward benefit was observed in favor of TEO in time required for assembly, surgical suture time, and total surgical time though the differences were not statistically significant. Statistically significant differences were found in terms of the total cost of the procedure, with mean costs of 2,031  ± 440 for TEO and 2,603  ± 507 for TEM (95% CI 218.15-926.486 , p = 0.003). No technical or clinical differences were observed between the results obtained with the two systems except lower cost with TEO.

  11. Structural, thermal and optical properties of TeO2-ZnO-CdO-BaO glasses doped with VO(2+).

    Science.gov (United States)

    Sreenivasulu, V; Upender, G; Chandra Mouli, V; Prasad, M

    2015-09-05

    The glasses with composition 64TeO2-15ZnO-(20-x)CdO-xBaO-1V2O5 (0⩽x⩽20 mol%) were prepared by conventional melt quenching technique. X-ray diffraction analysis was used to confirm the amorphous nature of the glasses. The optical absorption studies revealed that the cut-off wavelength (λα) decreases while optical band gap energy (Eopt) and Urbach energy (ΔE) values increase with an increase of BaO content. Refractive index (n) evaluated from Eopt was found to decrease with an increase of BaO content. The physical parameters such as density (ρ), molar volume (Vm), oxygen packing density (OPD), optical basicity (Λ), molar refraction (Rm), and metallization criterion (M) evaluated and discussed. FTIR and Raman spectroscopic studies showed that the glass network consists of TeO4, TeO3+1/TeO3 and ZnO4 units as basic structural units. The glass transition temperature (Tg) of glass sample, onset crystallization temperature (To) and thermal stability ΔT were determined from Differential Scanning Calorimetry (DSC). Using electron paramagnetic resonance (EPR) spectra of vanadium glasses the spin Hamiltonian parameters and dipolar hyperfine coupling parameters of VO(2+) ions were calculated. It was found that V(4+) ions in these glasses exist as VO(2+) in octahedral coordination with a tetragonal distortion and have C4V symmetry with ground state dxy. Tetragonality (Δg∥/Δg⊥) of vanadium ion sites exhibited non-linear variation with BaO content. Copyright © 2015 Elsevier B.V. All rights reserved.

  12. Enhanced surface functionality via plasma modification and plasma deposition techniques to create more biologically relevant materials

    Science.gov (United States)

    Shearer, Jeffrey C.

    Functionalizing nanoparticles and other unusually shaped substrates to create more biologically relevant materials has become central to a wide range of research programs. One of the primary challenges in this field is creating highly functionalized surfaces without modifying the underlying bulk material. Traditional wet chemistry techniques utilize thin film depositions to functionalize nanomaterials with oxygen and nitrogen containing functional groups, such as --OH and --NHx. These functional groups can serve to create surfaces that are amenable to cell adhesion or can act as reactive groups for further attachment of larger structures, such as macromolecules or antiviral agents. Additional layers, such as SiO2, are often added between the nanomaterial and the functionalized coating to act as a barrier films, adhesion layers, and to increase overall hydrophilicity. However, some wet chemistry techniques can damage the bulk material during processing. This dissertation examines the use of plasma processing as an alternative method for producing these highly functionalized surfaces on nanoparticles and polymeric scaffolds through the use of plasma modification and plasma enhanced chemical vapor deposition techniques. Specifically, this dissertation will focus on (1) plasma deposition of SiO2 barrier films on nanoparticle substrates; (2) surface functionalization of amine and alcohol groups through (a) plasma co-polymerization and (b) plasma modification; and (3) the design and construction of plasma hardware to facilitate plasma processing of nanoparticles and polymeric scaffolds. The body of work presented herein first examines the fabrication of composite nanoparticles by plasma processing. SiOxC y and hexylamine films were coated onto TiO2 nanoparticles to demonstrate enhanced water dispersion properties. Continuous wave and pulsed allyl alcohol plasmas were used to produce highly functionalized Fe2 O3 supported nanoparticles. Specifically, film composition was

  13. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB

    Science.gov (United States)

    Engelbrechtsen, L.; Lundgren, J.; Wewer Albrechtsen, N. J.; Mahendran, Y.; Iepsen, E. W.; Finocchietto, P.; Jonsson, A. E.; Madsbad, S.; Holst, J. J.; Vestergaard, H.; Hansen, T.

    2017-01-01

    Summary Background Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Methods Fifty‐eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m2 [mean ± SD]) were included in this study. After 8 weeks on a very low‐calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance‐based lipidomics analysis. Results After an 8‐week low‐calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low‐density lipoprotein and very low‐density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre‐weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). Conclusion An 8‐week low‐calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower

  14. Application of Cat-CVD for ULSI technology

    International Nuclear Information System (INIS)

    Akasaka, Yoichi

    2008-01-01

    The ULSI technology has been following Moore's law into the sub-100 nm era, although several challenging technical issues must be resolved. This paper describes possible application of Cat-CVD for ULSI technology beyond the 45 nm node. Especially, Cat-CVD SiN film for a transistor gate sidewall and/or a pre-metallic liner layer, and removal of photo resist (ash) by Cat-induced hydrogen atoms in the interconnect structure with an extreme low-k material are mainly discussed

  15. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial (Prevención con Dieta Mediterránea).

    Science.gov (United States)

    Wang, Dong D; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A; Willett, Walter C; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A; Hu, Frank B

    2017-05-23

    Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between overnutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. The study population consisted of 980 participants from the PREDIMED trial (Prevención con Dieta Mediterránea), including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases) followed for ≤7.4 years. Participants were randomized to a Mediterranean diet supplemented with extra virgin olive oil, a Mediterranean diet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of nonfatal acute myocardial infarction, nonfatal stroke, or cardiovascular death. Hazard ratios were estimated with weighted Cox regression models using Barlow weights to account for the case-cohort design. The multivariable hazard ratios (HR) and 95% confidence intervals (CIs) comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0, and C24:1 ceramides were 2.39 (1.49-3.83, P trend Mediterranean diet and control groups during the first year of follow-up. Our study documented a novel positive association between baseline plasma ceramide concentrations and incident CVD. In addition, a Mediterranean dietary intervention may mitigate potential deleterious effects of elevated plasma ceramide concentrations on CVD. URL: http://www.isrctn.com. Unique identifier: ISRCTN35739639. © 2017 American Heart Association, Inc.

  16. Preparation and characterization of new glasses from the TeO2-CdO-Al2O3-SiO2 system

    OpenAIRE

    Zayas, Mª. E.; Espinoza-Beltrán, F. J.; Romero, Maximina; Rincón López, Jesús María

    1998-01-01

    A new family of glasses from the TeO2-CdO-Al2O3-SiO2 system obtained from CdS-TeO2 mixtures melted in fireclay crucibles have been prepared and characterized. The density values of these glasses are in the 3.30-3.46 gcm-3 range. The viscosity-temperature variation shows that glasses with high TeO2 content depict the typical variation of `short glasses' for a molding operation. Microstructural observations by TEM (replica method) and SEM microscopies have shown that these glasses contain very ...

  17. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  18. Ballistic Josephson junctions based on CVD graphene

    Science.gov (United States)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  19. New fabrication technique using side-wall-type plasma-enhanced chemical-vapor deposition for a floating gate memory with a Si nanodot

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Kazunori; Punchaipetch, Prakaipetch; Yano, Hiroshi; Hatayama, Tomoaki; Uraoka, Yukiharu; Fuyuki, Takashi [Nara Institute of Science and Techonology, Ikoma, Nara (Japan); Tomyo, Atsushi; Takahashi, Eiji; Hayashi, Tsukasa; Ogata, Kiyoshi [Nissin Electric Co., Ltd., Kyoto (Japan)

    2006-08-15

    We have used side-wall-type plasma-enhanced chemical-vapor deposition (PECVD)to fabricate a floating gate memory using a Si nano-crystal dot on thermal SiO{sub 2} at a low temperature of 430 .deg. C. Atomic and radical hydrogen plays an important role in the low-temperature formation of the dot. Transmission electron microscopy (TEM) and atomic force microscopy (AFM) analyses revealed that the average dot size and density were approximately 5 nm and 8.5 X 10{sup 11} cm{sup -2}, respectively. The electronic properties were investigated with metal-oxide-semiconductor-field-effect transistors (MOSFETs) by embedding the nanocrystal dots into SiO{sub 2} fabricated using CVD. Electron charging and discharging were clearly confirmed at room temperature by the transient behavior of the capacitance and the transfer curve. The number of electrons confined in a single dot was approximately one. Furthermore, we evaluated the electronic behavior by varying the bias condition or the operating temperature. The critical charge density could be confirmed to be independent of the injection condition.

  20. Appraisal of the correspondence of the safety improvement measures proposed for the Mochovce NPP with respect to the ones outlined in the TEO for the Juragua NPP

    International Nuclear Information System (INIS)

    Pereira Hernandez, Guillermo

    1996-01-01

    The work accomplished consisted in determining the correspondence or relationship between the safety improvement measures of the outlined for both plants as well as a preliminary analysis from which were determined. The measures which a deeper analysis is recommended to evaluate theirs possible addition to the group it is recommended to deepen with a view to take into considerations aspects of interest that in them are outlined and which could serve to enhance the approach or the linkage of the measures outlined in the TEO

  1. CVD and obesity in transitional Syria: a perspective from the Middle East.

    Science.gov (United States)

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria's cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian's health, as the country is slowly becoming a leader in CVD mortality globally. PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries.

  2. Equipment and obtention process of 131I by dry distillation starting from TeO2

    International Nuclear Information System (INIS)

    Alanis M, J.

    2000-08-01

    The present invention refers to an equipment and process for the obtaining of 131 I by dry distillation starting from TeO 2 that has three interconnected systems, the manipulation system, the electric system and the distillation system, the combination of these systems, allows to improve the yield and the separation of the 131 I during the distillation process, since inside the electric system it is an oven that has a special design based on a temperature gradient. The more relevant aspects of the equipment its are the design of each one of its components that give as result the effectiveness of the production of 131 I in routinary form (industrial) whose final product can end up reaching a radiochemical purity up to 99% and a radionuclide purity of approximately 100%. The object of this invention is to provide a distillation equipment different to those that at the moment exist, thanks to its novel internal construction whose main characteristics already gather advantages on those existent. The reaction of obtaining of the TeO 2 , the development of the technique and studies of TeO 2 sintering and the irradiation experiments, its contributed to characterize with more precision the 'new process of obtaining of 131 I by dry via starting from the Te' developed in the ININ, and in this way it was achieved a more pure product, more economic, with less risks, from a point of view of Radiological Safety and mainly that it avoids the import to the country and it makes to self-sufficient Mexico in the production of 131 I. (Author)

  3. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber.

    Science.gov (United States)

    Dechana, A; Thamboon, P; Boonyawan, D

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films-analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques-will be discussed.

  4. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dechana, A. [Program of Physics and General Science, Faculty of Science and Technology, Songkhla Rajabhat University, Songkhla 90000 (Thailand); Thamboon, P. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Boonyawan, D., E-mail: dheerawan.b@cmu.ac.th [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2014-10-15

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al{sub 2}O{sub 3} layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al{sub 2}O{sub 3} films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  5. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    Science.gov (United States)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-10-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al2O3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al2O3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed.

  6. Microwave remote plasma enhanced-atomic layer deposition system with multicusp confinement chamber

    International Nuclear Information System (INIS)

    Dechana, A.; Thamboon, P.; Boonyawan, D.

    2014-01-01

    A microwave remote Plasma Enhanced-Atomic Layer Deposition system with multicusp confinement chamber is established at the Plasma and Beam Physics research facilities, Chiang Mai, Thailand. The system produces highly-reactive plasma species in order to enhance the deposition process of thin films. The addition of the multicusp magnetic fields further improves the plasma density and uniformity in the reaction chamber. Thus, the system is more favorable to temperature-sensitive substrates when heating becomes unwanted. Furthermore, the remote-plasma feature, which is generated via microwave power source, offers tunability of the plasma properties separately from the process. As a result, the system provides high flexibility in choice of materials and design experiments, particularly for low-temperature applications. Performance evaluations of the system were carried on coating experiments of Al 2 O 3 layers onto a silicon wafer. The plasma characteristics in the chamber will be described. The resulted Al 2 O 3 films—analyzed by Rutherford Backscattering Spectrometry in channeling mode and by X-ray Photoelectron Spectroscopy techniques—will be discussed

  7. CVD refractory metals and alloys for space nuclear power application

    International Nuclear Information System (INIS)

    Yang, L.; Gulden, T.D.; Watson, J.F.

    1984-01-01

    CVD technology has made significant contributions to the development of space nuclear power systems during the period 1962 to 1972. For the in-core thermionic concept, CVD technology is essential to the fabrication of the tungsten electron emitter. For the liquid metal cooled fuel pin using uranium nitride as fuel and T-111 and Nb-1 Zr as cladding, a tungsten barrier possibly produced by CVD methods is essential to the fuel-cladding compatibility at the designed operating temperature. Space power reactors may use heat pipes to transfer heat from the reactor core to the conversion system. CVD technology has been used for fabricating the heat pipe used as cross-flow heat exchanger, including the built-in channels on the condenser wall for liquid lithium return. 28 references, 17 figures

  8. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  9. The formation of tritium permeation barriers by CVD

    International Nuclear Information System (INIS)

    Forcey, K.S.; Perujo, A.; Reiter, F.; Lolli-Ceroni, P.L.

    1993-01-01

    The effectiveness as permeation barriers of the following CVD coatings have been investigated: TiC (1 to 2 μm in thickness); a bi-layer of TiN on TiC (3 μm total thickness) and CVD Al 2 O 3 on a TiN/TiC bi-layer. The substrate materials were TZM (a Mo alloy) and 316L stainless steel in the form of discs of diameter 48 mm and thickness 0.1 or 1 mm. Permeation measurements were performed in the temperature range 515-742 K using deuterium at pressures in the range 1-50 kPa. CVD layers were shown to form reasonably effective permeation barriers. At a temperature of 673 K TiC is around 6000 times less permeable to deuterium than 316L stainless steel. (orig.)

  10. Absolute Salinity, ''Density Salinity'' and the Reference-Composition Salinity Scale: present and future use in the seawater standard TEOS-10

    Directory of Open Access Journals (Sweden)

    D. G. Wright

    2011-01-01

    Full Text Available Salinity plays a key role in the determination of the thermodynamic properties of seawater and the new TEOS-101 standard provides a consistent and effective approach to dealing with relationships between salinity and these thermodynamic properties. However, there are a number of practical issues that arise in the application of TEOS-10, both in terms of accuracy and scope, including its use in the reduction of field data and in numerical models.

    First, in the TEOS-10 formulation for IAPSO Standard Seawater, the Gibbs function takes the Reference Salinity as its salinity argument, denoted SR, which provides a measure of the mass fraction of dissolved material in solution based on the Reference Composition approximation for Standard Seawater. We discuss uncertainties in both the Reference Composition and the Reference-Composition Salinity Scale on which Reference Salinity is reported. The Reference Composition provides a much-needed fixed benchmark but modified reference states will inevitably be required to improve the representation of Standard Seawater for some studies. However, the Reference-Composition Salinity Scale should remain unaltered to provide a stable representation of salinity for use with the TEOS-10 Gibbs function and in climate change detection studies.

    Second, when composition anomalies are present in seawater, no single salinity variable can fully represent the influence of dissolved material on the thermodynamic properties of seawater. We consider three distinct representations of salinity that have been used in previous studies and discuss the connections and distinctions between them. One of these variables provides the most accurate representation of density possible as well as improvements over Reference Salinity for the determination of other thermodynamic properties. It is referred to as "Density Salinity" and is represented by the symbol

  11. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  12. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  13. Thermal, structural and optical properties of new TeO2sbnd Sb2O3sbnd GeO2 ternary glasses

    Science.gov (United States)

    Pereira, C.; Barbosa, J.; Cassanjes, F. C.; Gonçalves, R. R.; Ribeiro, S. J. L.; Poirier, G.

    2016-12-01

    In this work the novel glass system TeO2sbnd Sb2O3sbnd GeO2 was investigated and promising glass compositions were selected for further specific studies. Glass samples in the (80-0.8x)TeO2-(20-0.2x)Sb2O3-xGeO2 molar composition were prepared by the melt-quenching method with a glass-forming domain from x = 10 to x = 90. Samples were investigated by XRD, DSC, FTIR, Raman spectroscopy and UV-visible absorption. The XRD and DSC results bring informations about the non-crystalline state and thermal properties of these materials. It has been observed that higher GeO2 contents lead to higher glass transition temperatures and thermal stabilities against crystallization. FTIR and Raman spectroscopies suggest a progressive incorporation of GeO2 in the covalent network of TeO2 with conversion of structural units TeO4 to TeO3. Absorption spectra revealed the high visible transparency of these samples and an increase of the optical band gap with GeO2 addition, in agreement with a decreasing polarizability of the glass network. Er3+ doped and Er3+/Yb3+ codoped samples were also studied with respect to their infrared emission properties and higher GeO2 contents lead to an increase in IR emission intensity at 1,5 μm as well as longer radiative lifetimes. Finally, upconversion emission in the visible were also recorded and were shown to be strongly dependent of the composition.

  14. Raman spectroscopy, thermal and optical properties of TeO2-ZnO-Nb2O5-Nd2O3 glasses.

    Science.gov (United States)

    Kamalaker, V; Upender, G; Ramesh, Ch; Mouli, V Chandra

    2012-04-01

    The glasses with composition 75TeO2-10ZnO-(15-x)Nb2O5-xNd2O3 (0≤x≤9 mol%) were prepared using melt quenching method and their physical properties such as density (ρ), molar volume (VM), average crosslink density (nc¯), oxygen packing density (OPD) and number of bonds per unit volume (nb) were determined. Raman spectroscopic studies showed that the glass network consists of TeO4, TeO3+1, TeO3 and NbO6 units as basic structural units. The glass transition temperature (Tg), crystallization onset (To) and thermal stability (ΔT) were determined from DSC thermograms. The Raman and DSC results were found to be correlated with the physical properties. In the optical absorption spectra six absorption bands were observed with different relative intensities at around 464, 522, 576, 742, 801 and 871 nm which are assigned to the transition of electrons from (ground state) 4I9/2→G11/2; 4I9/2→2K3/2, 2G7/2; 4I9/2→4G5/2, 4G7/2; 4I9/2→4S3/2; 4F7/2→2H9/2, 4F5/2 and 4I9/2→2F3/2 respectively. From optical absorption data the energy band gap (Eopt) and Urbach energy (ΔE) were calculated. Copyright © 2012 Elsevier B.V. All rights reserved.

  15. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  16. High plasma cholesteryl ester transfer protein levels may favour reduced incidence of cardiovascular events in men with low triglycerides

    NARCIS (Netherlands)

    Borggreve, Susanna E.; Hillege, Hans L.; Dallinga-Thie, Geesje M.; de Jong, Paul E.; Wolffenbuttel, Bruce H. R.; Grobbee, Diederik E.; van Tol, Arie; Dullaart, Robin P. F.

    Aims High cholesteryl ester transfer protein (CETP) concentrations are associated with increased risk of cardiovascular disease (CVD) in subjects with high triglycerides. We determined the relationship of plasma CETP with incident CVD in a population with relatively low triglycerides. Methods and

  17. High plasma cholesteryl ester transfer protein levels may favour reduced incidence of cardiovascular events in men with low triglycerides

    NARCIS (Netherlands)

    Borggreve, Susanna E.; Hillege, Hans L.; Dallinga-Thie, Geesje M.; de Jong, Paul E.; Wolffenbuttel, Bruce H. R.; Grobbee, Diederik E.; van Tol, Arie; Dullaart, Robin P. F.

    2007-01-01

    High cholesteryl ester transfer protein (CETP) concentrations are associated with increased risk of cardiovascular disease (CVD) in subjects with high triglycerides. We determined the relationship of plasma CETP with incident CVD in a population with relatively low triglycerides. A nested

  18. Synthesis and electrochemical study of a hybrid structure based on PDMS-TEOS and titania nanotubes for biomedical applications

    International Nuclear Information System (INIS)

    Castro, António G B; Bastos, Alexandre C; Miranda Salvado, Isabel M; Galstyan, Vardan; Faglia, Guido; Sberveglieri, Giorgio

    2014-01-01

    Metallic implants and devices are widely used in the orthopedic and orthodontic clinical areas. However, several problems regarding their adhesion with the living tissues and inflammatory responses due to the release of metallic ions to the medium have been reported. The modification of the metallic surfaces and the use of biocompatible protective coatings are two approaches to solve such issues. In this study, in order to improve the adhesion properties and to increase the corrosion resistance of metallic Ti substrates we have obtained a hybrid structure based on TiO 2 nanotubular arrays and PDMS-TEOS films. TiO 2 nanotubes have been prepared with two different diameters by means of electrochemical anodization. PDMS-TEOS films have been prepared by the sol–gel method. The morphological and the elemental analysis of the structures have been investigated by scanning electron microscopy and energy dispersive spectroscopy (EDS). Electrochemical impedance spectroscopy (EIS) and polarization curves have been performed during immersion of the samples in Kokubo’s simulated body fluid (SBF) at 37 °C to study the effect of structure layers and tube diameter on the protective properties. The obtained results show that the modification of the surface structure of TiO 2 and the application of PDMS-TEOS film is a promising strategy for the development of implant materials. (paper)

  19. On the physical parametrization and magnetic analogs of the Emparan-Teo dihole solution

    International Nuclear Information System (INIS)

    Cazares, J.A.; Garcia-Compean, H.; Manko, V.S.

    2008-01-01

    The Emparan-Teo non-extremal black dihole solution is reparametrized using Komar quantities and the separation distance as arbitrary parameters. We show how the potential A 3 can be calculated for the magnetic analogs of this solution in the Einstein-Maxwell and Einstein-Maxwell-dilaton theories. We also demonstrate that, similar to the extreme case, the external magnetic field can remove the supporting strut in the non-extremal black dihole too

  20. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  1. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model.

    Science.gov (United States)

    van Kempen, Bob J H; Ferket, Bart S; Hofman, Albert; Steyerberg, Ewout W; Colkesen, Ersen B; Boekholdt, S Matthijs; Wareham, Nicholas J; Khaw, Kay-Tee; Hunink, M G Myriam

    2012-12-06

    We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC) model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1) internal and 2) predictive validity, the incidences of coronary heart disease (CHD), stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3) External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC)-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. At year 5, the observed incidences (with simulated incidences in brackets) of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%), 3.60% (3.23%), 4.70% (4.80%), and 7.50% (7.96%), respectively. At year 13, these percentages were 10.60% (10.91%), 9.90% (9.13%), 14.20% (15.12%), and 24.30% (23.42%). After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated) incidences of CVD and non-CVD mortality were 3.70% (4.95%) and 6.50% (6.29%). All observed incidences fell well within the 95% credibility intervals of the simulated incidences. We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  2. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC model

    Directory of Open Access Journals (Sweden)

    van Kempen Bob JH

    2012-12-01

    Full Text Available Abstract Background We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1 internal and 2 predictive validity, the incidences of coronary heart disease (CHD, stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3 External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. Results At year 5, the observed incidences (with simulated incidences in brackets of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%, 3.60% (3.23%, 4.70% (4.80%, and 7.50% (7.96%, respectively. At year 13, these percentages were 10.60% (10.91%, 9.90% (9.13%, 14.20% (15.12%, and 24.30% (23.42%. After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated incidences of CVD and non-CVD mortality were 3.70% (4.95% and 6.50% (6.29%. All observed incidences fell well within the 95% credibility intervals of the simulated incidences. Conclusions We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  3. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  4. Thermal analyses, spectral characterization and structural interpretation of Nd3+/Er3+ ions co-doped TeO2-ZnCl2 glasses system

    Science.gov (United States)

    Ahmed, Kasim F.; Ibrahim, Saeed O.; Sahar, Md. R.; Mawlud, Saman Q.; Khizir, Hersh A.

    2017-09-01

    The Nd3+/Er3+ ions co-doped in the system of zinc-tellurite with the composition of (70-2x)TeO2-30ZnCl2-xNd2O3-xEr2O3 concentration from 1.0 to 3.0 mol% (x=1, 2 and 3) glasses were prepared by using conventional melt-quenching technique. The amorphous nature of the glass been confirmed by using X-RAY Diffraction Spectroscopy. Thermal characteristic were determined using a DTA. The obtained results discussed in terms of the glass structure. The glass structure studied by means of FTIR. Seven significant vibrational peaks around 471, 687, 742, 768, 1632, 2833 and 3378 cm-1 which correspond to the structural bonding of the glass are observed in a range of 400-4000cm-1. The peaks observed are consistent with the stretching and bending vibrations of the Te-O, TeO4 trigonal bipyramids, TeO3 trigonal pyramids, Te-O-Te and OH linkages respectively.

  5. Compression enhancement by current stepping in a multicascade liner gas-puff Z-pinch plasma

    Energy Technology Data Exchange (ETDEWEB)

    Khattak, N A D [Department of Physics, Gomal Unversity, D I Khan (Pakistan); Ahmad, Zahoor; Murtaza, G [National Tokamak Fusion Program, PAEC, Islamabad (Pakistan); Zakaullah, M [Department of Physics, Quaid-i-Azam University, Islamabad 45320 (Pakistan)], E-mail: ktk_nad@yahoo.com

    2008-04-15

    Plasma dynamics of a liner consisting of two or three annular cascade gas-puffs with entrained axial magnetic field is studied using the modified snow-plow model. The current stepping technique (Les 1984 J. Phys. D: Appl. Phys. 17 733) is employed to enhance compression of the imploding plasma. A small-diameter low-voltage-driven system of imploding plasma is considered in order to work out the possibility of the highest gain, in terms of plasma parameters and radiation yield with a relatively simple and compact system. Our numerical results demonstrate that current stepping enhances the plasma compression, yielding high values of the plasma parameters and compressed magnetic field B{sub z} (in magnitudes), if the switching time for the additional current is properly synchronized.

  6. Compression enhancement by current stepping in a multicascade liner gas-puff Z-pinch plasma

    International Nuclear Information System (INIS)

    Khattak, N A D; Ahmad, Zahoor; Murtaza, G; Zakaullah, M

    2008-01-01

    Plasma dynamics of a liner consisting of two or three annular cascade gas-puffs with entrained axial magnetic field is studied using the modified snow-plow model. The current stepping technique (Les 1984 J. Phys. D: Appl. Phys. 17 733) is employed to enhance compression of the imploding plasma. A small-diameter low-voltage-driven system of imploding plasma is considered in order to work out the possibility of the highest gain, in terms of plasma parameters and radiation yield with a relatively simple and compact system. Our numerical results demonstrate that current stepping enhances the plasma compression, yielding high values of the plasma parameters and compressed magnetic field B z (in magnitudes), if the switching time for the additional current is properly synchronized

  7. Absolute Salinity, ''Density Salinity'' and the Reference-Composition Salinity Scale: present and future use in the seawater standard TEOS-10

    Science.gov (United States)

    Wright, D. G.; Pawlowicz, R.; McDougall, T. J.; Feistel, R.; Marion, G. M.

    2011-01-01

    Salinity plays a key role in the determination of the thermodynamic properties of seawater and the new TEOS-101 standard provides a consistent and effective approach to dealing with relationships between salinity and these thermodynamic properties. However, there are a number of practical issues that arise in the application of TEOS-10, both in terms of accuracy and scope, including its use in the reduction of field data and in numerical models. First, in the TEOS-10 formulation for IAPSO Standard Seawater, the Gibbs function takes the Reference Salinity as its salinity argument, denoted SR, which provides a measure of the mass fraction of dissolved material in solution based on the Reference Composition approximation for Standard Seawater. We discuss uncertainties in both the Reference Composition and the Reference-Composition Salinity Scale on which Reference Salinity is reported. The Reference Composition provides a much-needed fixed benchmark but modified reference states will inevitably be required to improve the representation of Standard Seawater for some studies. However, the Reference-Composition Salinity Scale should remain unaltered to provide a stable representation of salinity for use with the TEOS-10 Gibbs function and in climate change detection studies. Second, when composition anomalies are present in seawater, no single salinity variable can fully represent the influence of dissolved material on the thermodynamic properties of seawater. We consider three distinct representations of salinity that have been used in previous studies and discuss the connections and distinctions between them. One of these variables provides the most accurate representation of density possible as well as improvements over Reference Salinity for the determination of other thermodynamic properties. It is referred to as "Density Salinity" and is represented by the symbol SAdens; it stands out as the most appropriate representation of salinity for use in dynamical physical

  8. Absolute Salinity, "Density Salinity" and the Reference-Composition Salinity Scale: present and future use in the seawater standard TEOS-10

    Science.gov (United States)

    Wright, D. G.; Pawlowicz, R.; McDougall, T. J.; Feistel, R.; Marion, G. M.

    2010-08-01

    Salinity plays a key role in the determination of the thermodynamic properties of seawater and the new TEOS-101 standard provides a consistent and effective approach to dealing with relationships between salinity and these thermodynamic properties. However, there are a number of practical issues that arise in the application of TEOS-10, both in terms of accuracy and scope, including its use in the reduction of field data and in numerical models. First, in the TEOS-10 formulation for IAPSO Standard Seawater, the Gibbs function takes the Reference Salinity as its salinity argument, denoted SR, which provides a measure of the mass fraction of dissolved material in solution based on the Reference Composition approximation for Standard Seawater. We discuss uncertainties in both the Reference Composition and the Reference-Composition Salinity Scale on which Reference Salinity is reported. The Reference Composition provides a much-needed fixed benchmark but modified reference states will inevitably be required to improve the representation of Standard Seawater for some studies. The Reference-Composition Salinity Scale should remain unaltered to provide a stable representation of salinity for use with the TEOS-10 Gibbs function and in climate change detection studies. Second, when composition anomalies are present in seawater, no single salinity variable can fully represent the influence of dissolved material on the thermodynamic properties of seawater. We consider three distinct representations of salinity that have been used in previous studies and discuss the connections and distinctions between them. One of these variables provides the most accurate representation of density possible as well as improvements over Reference Salinity for the determination of other thermodynamic properties. It is referred to as "Density Salinity" and is represented by the symbol SAdens; it stands out as the most appropriate representation of salinity for use in dynamical physical

  9. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  10. Enhancement of the incoherent scattering plasma lines due to precipitating protons and secondary electrons

    International Nuclear Information System (INIS)

    Bjoernaa, N.; Havnes, O.; Jensen, J.O.; Trulsen, J.

    1982-01-01

    Precipitating protons in the energy range 1-100 keV are regularly present in the auroral ionosphere. These protons will produce enhancements in the intensity of the upshifted plasma line of the incoherently scattered spectrum. Similarly, secondary electrons produced by the precipitating protons give rise to enhanced plasma line intensities. For a quantitative discussion of these effects an experimentally measured proton flux is adapted and the corresponding secondary electron flux calculated. These particle fluxes are then applied in connection with the EISCAT radar facility. Both fluxes give rise to enhancements of the order of 20. It is possible to separate between proton and electron contributions to the enhanced plasma lines for scattering heights above the source region of secondary electrons. (Auth.)

  11. Study on the low leakage current of an MIS structure fabricated by ICP-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, S-Y; Hon, M-H [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan, 701 Taiwan (China); Lu, Y-M, E-mail: ymlumit@yahoo.com.tw

    2008-03-15

    As the dimensions of electric devices continue to shrink, it is becoming increasingly important to understand how to obtain good quality gate oxide film materials wilth higher carrier mobility, lower leakage current and greater reliability. All of them have become major concerns in the fabrication of thin film oxide transistors. A novel film deposition method called Inductively Coupled Plasma-Chemical Vapor Deposition (ICP-CVD) has received attraction in the semiconductor industry, because it can be capable of generating high density plasmas at extremely low temperature, resulting in less ion bombardment of the material surface. In this work, we present the results of crystallized silicon dioxide films deposited by inductively coupled plasma chemical vapor deposition technique at an extremely low temperature of 90 deg. C. The value of the refractive index of the crystallized ICP-CVD SiO{sub 2} film depends on the r.f. power of the ICP system, and approximates to be 1.46. This value is comparable to that of SiO{sub 2} films prepared by thermal oxidation. As the r.f. power of ICP applied more than 1250 Watts, still only the (111) diffraction peak is observed by XRD, which implies a very strong preferred orientation or single crystal structure. Too low or too high r.f. power both produces amorphous SiO{sub 2} films. From the I-V curve, the MIS device with a SiO{sub 2} dielectric film has a lower leakage current density of 6.8x10{sup -8}A/cm{sup 2} at 1V as the film prepared at 1750 watts. The highest breakdown field in this study is 15.8 MV/cm. From the FTIR analysis, it was found that more hydrogen atoms incorporate into films and form Si-OH bonds as the r.f. power increases. The existence of Si-OH bonds leads to a poor reliability of the MIS device.

  12. Plasma enhanced atomic layer batch processing of aluminum doped titanium dioxide

    International Nuclear Information System (INIS)

    Lehnert, Wolfgang; Ruhl, Guenther; Gschwandtner, Alexander

    2012-01-01

    Among many promising high-k dielectrics, TiO 2 is an interesting candidate because of its relatively high k value of over 40 and its easy integration into existing semiconductor manufacturing schemes. The most critical issues of TiO 2 are its low electrical stability and its high leakage current density. However, doping TiO 2 with Al has shown to yield significant improvement of layer quality on Ru electrodes [S. K. Kim et al., Adv. Mater. 20, 1429 (2008)]. In this work we investigated if atomic layer deposition (ALD) of Al doped TiO 2 is feasible in a batch system. Electrical characterizations were done using common electrode materials like TiN, TaN, or W. Additionally, the effect of plasma enhanced processing in this reactor was studied. For this investigation a production batch ALD furnace has been retrofitted with a plasma source which can be used for post deposition anneals with oxygen radicals as well as for directly plasma enhanced ALD. After evaluation of several Ti precursors a deposition process for AlTiO x with excellent film thickness and composition uniformity was developed. The effects of post deposition anneals, Al 2 O 3 interlayers between electrode and TiO 2 , Al doping concentration, plasma enhanced deposition and electrode material type on leakage current density are shown. An optimized AlTiO x deposition process on TaN electrodes yields to leakage current density of 5 x 10 -7 A/cm 2 at 2 V and k values of about 35. Thus, it could be demonstrated that a plasma enhanced batch ALD process for Al doped TiO 2 is feasible with acceptable leakage current density on a standard electrode material.

  13. Recent results on CVD diamond radiation sensors

    Science.gov (United States)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  14. The influence of TeO2 on thermal stability and 1.53 μm spectroscopic properties in Er(3+) doped oxyfluorite glasses.

    Science.gov (United States)

    Wang, Fengchao; Cai, Muzhi; Chen, Rong; Jing, Xufeng; Li, Bingpeng; Tian, Ying; Zhang, Junjie; Xu, Shiqing

    2015-11-05

    In this work, the thermal and spectroscopic properties of Er(3+)-doped oxyfluorite glass based on AMCSBYT (AlF3-MgF2-CaF2-SrF2-BaF2-YF3-TeO2) system for different TeO2 concentrations from 6 to 21 mol% is reported. After adding a suitable content of TeO2, the thermal ability of glass improves significantly whose ΔT and S can reach to 118 °C and 4.47, respectively. The stimulated emission cross-section reaches to 7.80×10(-21) cm(2) and the fluorescence lifetime is 12.18 ms. At the same time, the bandwidth characteristics reach to 46.41×10(-21) cm(2) nm and the gain performance is 63.73×10(-21) cm(2) ms. These results show that the optical performances of this oxyfluorite glass are very well. Hence, AMCSBYT glass with superior performances might be a useful material for applications in optical amplifier around 1.53 μm. Copyright © 2015 Elsevier B.V. All rights reserved.

  15. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  16. Towards Enhanced Performance Thin-film Composite Membranes via Surface Plasma Modification

    Science.gov (United States)

    Reis, Rackel; Dumée, Ludovic F.; Tardy, Blaise L.; Dagastine, Raymond; Orbell, John D.; Schutz, Jürg A.; Duke, Mikel C.

    2016-01-01

    Advancing the design of thin-film composite membrane surfaces is one of the most promising pathways to deal with treating varying water qualities and increase their long-term stability and permeability. Although plasma technologies have been explored for surface modification of bulk micro and ultrafiltration membrane materials, the modification of thin film composite membranes is yet to be systematically investigated. Here, the performance of commercial thin-film composite desalination membranes has been significantly enhanced by rapid and facile, low pressure, argon plasma activation. Pressure driven water desalination tests showed that at low power density, flux was improved by 22% without compromising salt rejection. Various plasma durations and excitation powers have been systematically evaluated to assess the impact of plasma glow reactions on the physico-chemical properties of these materials associated with permeability. With increasing power density, plasma treatment enhanced the hydrophilicity of the surfaces, where water contact angles decreasing by 70% were strongly correlated with increased negative charge and smooth uniform surface morphology. These results highlight a versatile chemical modification technique for post-treatment of commercial membrane products that provides uniform morphology and chemically altered surface properties. PMID:27363670

  17. Lp(a-cholesterol is associated with HDL-cholesterol in overweight and obese African American children and is not an independent risk factor for CVD

    Directory of Open Access Journals (Sweden)

    Sharma Sushma

    2012-01-01

    Full Text Available Abstract Background The role of Lipoprotein (a cholesterol {Lp(a-C}as an additional and/or independent risk factor for cardiovascular disease (CVD is not clear. We evaluated the associations between Lp(a-C and other CVD risk factors including plasma lipoprotein concentrations and body fatness in overweight and obese African American children. Methods A cross-sectional analysis was carried out using data from a sample of 121 African American children aged 9-11 years with Body Mass Index (BMI's greater than the 85th percentile. Body height, weight and waist circumference (WC were measured. Fasting plasma concentrations of Lp(a-C, Total cholesterol (TC, High density lipoprotein cholesterol (HDL-C, Very low density lipoprotein cholesterol (VLDL-C, Intermediate density lipoprotein cholesterol (IDL-C, Low density lipoprotein cholesterol (LDL-C, and Triacylglycerides (TAG were analyzed using the vertical auto profile (VAP cholesterol method. Results After adjusting for child age, gender, and pubertal status, Lp(a-C was positively associated with both HDL-C and TC, and negatively associated with VLDL-C and TAG. Including BMIz and WC as additional covariates did not alter the direction of the relationships between Lp(a-C and the other lipoproteins. Finally, after adjusting for the other plasma lipoproteins, Lp(a-C remained strongly associated with HDL-C, whereas the associations of Lp(a-C with the other lipoproteins were not significant when HDL-C was simultaneously included in the regression models. Conclusions Lp(a-C was positively associated with HDL-C and this association is not influenced by other lipoprotein subclasses or by the degree of obesity. We conclude that Lp(a cholesterol is not an independent risk factor for CVD in African American children.

  18. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  19. Enhancing gas-phase reaction in a plasma using high intensity and high power ultrasonic acoustic waves

    DEFF Research Database (Denmark)

    2010-01-01

    is absorbed into said plasma (104), and where a sound pressure level of said generated ultrasonic high intensity and high power acoustic waves (102) is at least substantially 140 dB and where an acoustic power of said generated ultrasonic high intensity and high power acoustic waves (102); is at least...... substantially 100 W. In this way, a high sound intensity and power are obtained that efficiently enhances a gas-phase reaction in the plasma, which enhances the plasma process, e.g. enabling more efficient ozone or hydrogen generation using plasma in relation to reaction speed and/or obtained concentration......This invention relates to enhancing a gas-phase reaction in a plasma comprising: creating plasma (104) by at least one plasma source (106), and wherein that the method further comprises: generating ultrasonic high intensity and high power acoustic waves (102) having a predetermined amount...

  20. Effects of Light Intensity Activity on CVD Risk Factors: A Systematic Review of Intervention Studies

    Directory of Open Access Journals (Sweden)

    Romeo B. Batacan

    2015-01-01

    Full Text Available The effects of light intensity physical activity (LIPA on cardiovascular disease (CVD risk factors remain to be established. This review summarizes the effects of LIPA on CVD risk factors and CVD-related markers in adults. A systematic search of four electronic databases (PubMed, Academic Search Complete, SPORTDiscus, and CINAHL examining LIPA and CVD risk factors (body composition, blood pressure, glucose, insulin, glycosylated hemoglobin, and lipid profile and CVD-related markers (maximal oxygen uptake, heart rate, C-reactive protein, interleukin-6, tumor necrosis factor-alpha, and tumor necrosis factor receptors 1 and 2 published between 1970 and 2015 was performed on 15 March 2015. A total of 33 intervention studies examining the effect of LIPA on CVD risk factors and markers were included in this review. Results indicated that LIPA did not improve CVD risk factors and CVD-related markers in healthy individuals. LIPA was found to improve systolic and diastolic blood pressure in physically inactive populations with a medical condition. Reviewed studies show little support for the role of LIPA to reduce CVD risk factors. Many of the included studies were of low to fair study quality and used low doses of LIPA. Further studies are needed to establish the value of LIPA in reducing CVD risk.

  1. Sand flies in Timóteo, Minas Gerais, Brazil (Diptera: Psychodidae)

    OpenAIRE

    Andrade Filho, José Dilermando; Carneiro, Ana Paula Salgado; Lima, Mauro Lucio Nascimento; Santiago, Rodrigo Martins; Gama, Marco Antônio; Santos, Carlos Alberto; Falcão, Alda Lima; Brazil, Reginaldo Peçanha

    1997-01-01

    Casos esporádicos de leishmaniose tegumentar têm ocorrido no Município de Timóteo, Minas Gerais, basicamente na população rural. Para conhecer a fauna de flebotomíneos da região, foram instaladas sete armadilhas luminosas de New Jersey na cidade, em sete diferentes bairros. As coletas foram realizadas no período de junho a outubro de 1994, dezembro de 1994 e janeiro a março de 1995, com um total de 3.240 horas por armadilha. Foram capturados 4.396 flebotomíneos, distribuídos em dois gêneros e...

  2. The effects of Nd2O3 concentration in the laser emission of TeO2-ZnO glasses

    Science.gov (United States)

    Moreira, L. M.; Anjos, V.; Bell, M. J. V.; Ramos, C. A. R.; Kassab, L. R. P.; Doualan, D. J. L.; Camy, P.; Moncorgé, R.

    2016-08-01

    The present work reports the modification introduced by different Nd2O3 concentration on optical properties and the laser operation of Nd3+ doped (TeO2-ZnO) bulk tellurite glass. The spectroscopic data are analyzed within the Judd Ofelt formalism framework and the results are compared to the fluorescence lifetime and emission measurements to derive values for the quantum efficiency and the stimulated emission cross section of the considered 4F3/2 → 4I11/2 infrared laser transition around 1062.5 nm. Continuous-wave laser action is achieved with this bulk tellurite glass by pumping the sample inside a standard plan-concave mirror laser cavity with different output couplers. It is possible to observe coherent emission only for the lower concentration (0.5%(wt.) of Nd2 O3). Also laser action could only be observed for this sample with threshold pump power of 73 mW associated with a laser slope efficiency of 8% for an output coupler transmission of 4% indicating that TeO2-ZnO are potential materials for laser action. The results presented in this work together with those previously reported with higher concentration (1.0% (wt) of Nd2O3) determine the adequate Nd2O3 concentration for laser action and guide the correct experimental procedure for TeO2-ZnO glasses preparation.

  3. Control of ordered mesoporous titanium dioxide nanostructures formed using plasma enhanced glancing angle deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gibson, Des [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Child, David, E-mail: david.child@uws.ac.uk [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Song, Shigeng; Zhao, Chao [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Alajiani, Yahya [Institute of Thin Films, Sensors & Imaging, Scottish Universities Physics Alliance, University of West of Scotland, Paisley, PA1 2BE (United Kingdom); Department of Physics, Faculty of Science, Jazan University, Jazan (Saudi Arabia); Waddell, Ewan [Thin Film Solutions Ltd, West of Scotland Science Park, Glasgow, G20 0TH (United Kingdom)

    2015-10-01

    Three dimensional nanostructures of mesoporous (pore diameter between 2-50 nm) nanocrystalline titania (TiO{sub 2}) were produced using glancing angle deposition combined with plasma ion assisted deposition, providing plasma enhanced glancing angle deposition eliminating the need for post-annealing to achieve film crystallinity. Electron beam evaporation was chosen to deposit nanostructures at various azimuthal angles, achieving designed variation in three dimensional nanostructure. A thermionic broad beam hollow cathode plasma source was used to enhance electron beam deposition, with ability to vary in real time ion fluxes and energies providing a means to modify and control TiO{sub 2} nanostructure real time with controlled density and porosity along and lateral to film growth direction. Plasma ion assisted deposition was carried out at room temperature using a hollow cathode plasma source, ensuring low heat loading to the substrate during deposition. Plasma enhanced glancing angle TiO{sub 2} structures were deposited onto borosilicate microscope slides and used to characterise the effects of glancing angle and plasma ion energy distribution function on the optical and nanostructural properties. Variation in TiO{sub 2} refractive index from 1.40 to 2.45 (@ 550 nm) using PEGLAD is demonstrated. Results and analysis of the influence of plasma enhanced glancing angle deposition on evaporant path and resultant glancing angle deviation from standard GLAD are described. Control of mesoporous morphology is described, providing a means of optimising light trapping features and film porosity, relevant to applications such as fabrication of dye sensitised solar cells. - Highlights: • Plasma assistance during glancing angle deposition enables control of morphology. • Ion energy variation during glancing angle deposition varies columnar angle • Column thickness of glancing angle deposition dependant on ion current density • Ion current density variation during

  4. Enhanced oxidation of naphthalene using plasma activation of TiO2/diatomite catalyst.

    Science.gov (United States)

    Wu, Zuliang; Zhu, Zhoubin; Hao, Xiaodong; Zhou, Weili; Han, Jingyi; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming

    2018-04-05

    Non-thermal plasma technology has great potential in reducing polycyclic aromatic hydrocarbons (PAHs) emission. But in plasma-alone process, various undesired by-products are produced, which causes secondary pollutions. Here, a dielectric barrier discharge (DBD) reactor has been developed for the oxidation of naphthalene over a TiO 2 /diatomite catalyst at low temperature. In comparison to plasma-alone process, the combination of plasma and TiO 2 /diatomite catalyst significantly enhanced naphthalene conversion (up to 40%) and CO x selectivity (up to 92%), and substantially reduced the formation of aerosol (up to 90%) and secondary volatile organic compounds (up to near 100%). The mechanistic study suggested that the presence of the TiO 2 /diatomite catalyst intensified the electron energy in the DBD. Meantime, the energized electrons generated in the discharge activated TiO 2 , while the presence of ozone enhanced the activity of the TiO 2 /diatomite catalyst. This plasma-catalyst interaction led to the synergetic effect resulting from the combination of plasma and TiO 2 /diatomite catalyst, consequently enhanced the oxidation of naphthalene. Importantly, we have demonstrated the effectiveness of plasma to activate the photocatalyst for the deep oxidation of PAH without external heating, which is potentially valuable in the development of cost-effective gas cleaning process for the removal of PAHs in vehicle applications during cold start conditions. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Enhancing Electrochemical Performance of Graphene Fiber-Based Supercapacitors by Plasma Treatment.

    Science.gov (United States)

    Meng, Jie; Nie, Wenqi; Zhang, Kun; Xu, Fujun; Ding, Xin; Wang, Shiren; Qiu, Yiping

    2018-04-25

    Graphene fiber-based supercapacitors (GFSCs) hold high power density, fast charge-discharge rate, ultralong cycling life, exceptional mechanical/electrical properties, and safe operation conditions, making them very promising to power small wearable electronics. However, the electrochemical performance is still limited by the severe stacking of graphene sheets, hydrophobicity of graphene fibers, and complex preparation process. In this work, we develop a facile but robust strategy to easily enhance electrochemical properties of all-solid-state GFSCs by simple plasma treatment. We find that 1 min plasma treatment under an ambient condition results in 33.1% enhancement of areal specific capacitance (36.25 mF/cm 2 ) in comparison to the as-prepared GFSC. The energy density reaches 0.80 μW h/cm 2 in polyvinyl alcohol/H 2 SO 4 gel electrolyte and 18.12 μW h/cm 2 in poly(vinylidene difluoride)/ethyl-3-methylimidazolium tetrafluoroborate electrolyte, which are 22 times of that of as-prepared ones. The plasma-treated GFSCs also exhibit ultrahigh rate capability (69.13% for 40 s plasma-treated ones) and superior cycle stability (96.14% capacitance retention after 20 000 cycles for 1 min plasma-treated ones). This plasma strategy can be extended to mass-manufacture high-performance carbonaceous fiber-based supercapacitors, such as graphene and carbon nanotube-based ones.

  6. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  7. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  8. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  9. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  10. Enhanced Field Emission from Argon Plasma-Treated Ultra-sharp α-Fe2O3Nanoflakes

    Directory of Open Access Journals (Sweden)

    Zhang JX

    2009-01-01

    Full Text Available Abstract Hematite nanoflakes have been synthesized by a simple heat oxide method and further treated by Argon plasmas. The effects of Argon plasma on the morphology and crystal structures of nanoflakes were investigated. Significant enhancement of field-induced electron emission from the plasma-treated nanoflakes was observed. The transmission electron microscopy investigation shows that the plasma treatment effectively removes amorphous coating and creates plenty of sub-tips at the surface of the nanoflakes, which are believed to contribute the enhancement of emission. This work suggests that plasma treatment technique could be a direct means to improve field-emission properties of nanostructures.

  11. Changes in CVD risk factors in the activity counseling trial

    Directory of Open Access Journals (Sweden)

    Meghan Baruth

    2011-01-01

    Full Text Available Meghan Baruth1, Sara Wilcox1, James F Sallis3, Abby C King4,5, Bess H Marcus6, Steven N Blair1,21Department of Exercise Science, 2Department of Epidemiology and Biostatistics, Arnold School of Public Health, University of South Carolina, Public Health Research Center, Columbia, SC, USA; 3Department of Psychology, San Diego State University, San Diego, CA, USA; 4Department of Health Research and Policy, 5Stanford Prevention Research Center, Department of Medicine, Stanford University School of Medicine, Stanford, CA, USA; 6Behavioral and Social Sciences Section, Brown University Program in Public Health, Providence, RI, USAAbstract: Primary care facilities may be a natural setting for delivering interventions that focus on behaviors that improve cardiovascular disease (CVD risk factors. The purpose of this study was to examine the 24-month effects of the Activity Counseling Trial (ACT on CVD risk factors, to examine whether changes in CVD risk factors differed according to baseline risk factor status, and to examine whether changes in fitness were associated with changes in CVD risk factors. ACT was a 24-month multicenter randomized controlled trial to increase physical activity. Participants were 874 inactive men and women aged 35–74 years. Participants were randomly assigned to one of three arms that varied by level of counseling, intensity, and resource requirements. Because there were no significant differences in change over time between arms on any of the CVD risk factors examined, all arms were combined, and the effects of time, independent of arm, were examined separately for men and women. Time × Baseline risk factor status interactions examined whether changes in CVD risk factors differed according to baseline risk factor status. Significant improvements in total cholesterol, high-density lipoprotein cholesterol (HDL-C and low-density lipoprotein cholesterol, the ratio of total cholesterol to HDL-C, and triglycerides were seen in

  12. Índices de confiabilidade da análise do ângulo poplíteo através da biofotogrametria

    Directory of Open Access Journals (Sweden)

    Ricardo B. Duarte

    2014-12-01

    Full Text Available Introdução: A avaliação e a mensuração objetiva da amplitude articular são de fundamental importância para o diagnóstico, planejamento e acompanhamento de um tratamento. Com o avanço da tecnologia, a biofotogrametria começa a ser utilizada com maior frequência no meio fisioterapêutico, mensurando a quantidade de movimento articular. O ângulo poplíteo foi escolhido por ser uma medida indireta da flexibilidade da musculatura ísquiossural. A retração dos isquiossurais pode resultar em problemas posturais significativos e produzir uma inclinação posterior contínua da pelve, afetando a marcha e o gesto esportivo, sendo também uma das causas de dores musculares ou articulares. Objetivos: Verificar as confiabilidades intra e inter-examinador da análise de ângulo poplíteo através a fotogrametria computadorizada, utilizando o software para avaliação postural (SAPo, buscando estabelecer a repetibilidade e a reprodutibilidade do método. Métodos: Foram avaliados 23 indivíduos (46 joelhos. Os voluntários foram posicionados em decúbito dorsal, com quadril e joelho do membro inferior avaliado fletidos a 90 graus, mantendo o membro contralateral em extensão. A seguir, o joelho do membro testado era estendido passivamente, até o ponto no qual se percebia primeira resistência dos músculos ao alongamento. Neste ponto, foi feito o registro do ângulo poplíteo. A análise estatística da confiabilidade inter e intra-examinador foi averiguada pelos resultados do coeficiente de correlação intra-classe (ICC dos tipos 1,1 e 3,1 no teste de correlação de Pearson, considerando um nível de significância de p<0,05. Resultados: O método de avaliação do ângulo poplíteo pela biofotogrametria apresentou confiabilidade forte (ICC = 0,786 intra-examinador e confiabilidade muito forte (ICC = 0,920 inter-examinador. Conclusão: A biofotogrametria é um método apropriado para a avaliação do ângulo poplíteo uma vez que os valores

  13. Energization of the Ring Current through Convection of Substorm Enhancements of the Plasma Sheet Source.

    Science.gov (United States)

    Menz, A.; Kistler, L. M.; Mouikis, C.; Spence, H. E.; Henderson, M. G.; Matsui, H.

    2017-12-01

    It has been shown that electric field strength and night-side plasma sheet density are the two best predictors of the adiabatic energy gain of the ring current during geomagnetic storms (Liemohn and Khazanov, 2005). While H+ dominates the ring current during quiet times, O+ can contribute substantially during geomagnetic storms. Substorm activity provides a mechanism to enhance the energy density of O+ in the plasma sheet during geomagnetic storms, which is then convected adiabatically into the inner-magnetosphere. Using the Van Allen Probes data in the the plasma sheet source region (defined as L>5.5 during storms) and the inner magnetosphere, along with LANL-GEO data to identify substorm injection times, we show that adiabatic convection of O+ enhancements in the source region can explain the observed enhancements in the inner magnetosphere. We use the UNH-IMEF electric field model to calculate drift times from the source region to the inner magnetosphere to test whether enhancements in the inner-magnetosphere can be explained by dipolarization driven enhancements in the plasma sheet source hours before.

  14. Efecto del argon en películas CNxHy depositadas mediante ECR-CVD

    Directory of Open Access Journals (Sweden)

    Albella, J. M.

    2004-04-01

    Full Text Available Carbon nitride films have been deposited by ECR-CVD, from Ar/CH4/N2 gas mixtures with different methane concentrations. Infrared Spectroscopy (IRS and Elastic Recoil Detection Analysis (ERDA have been used for films characterisation and Optical Emission Spectroscopy (OES for plasma analysis. Argon concentration in the gas mixture controls the growth rate as well as the composition of the film. In the proposed model, argon plays a key role in the activation of methane molecules. Also, during the growth of the film, two processes may be considered: i Film formation and ii Etching of the growing surface. Changing the gas mixture composition affects both processes, which results in films with different composition and structure as well as different deposition rates.Se ha estudiado el efecto del argon durante el proceso de CVD asistido por un plasma ECR para la síntesis de películas de nitruro de carbono (CNxHy a partir de mezclas gaseosas Ar/CH4/N2 con diferente contenido de metano. Las películas depositadas han sido analizadas mediante espectroscopía infrarroja (IRS y ERDA (Elastic Recoil Detection Analysis, y el análisis del plasma ha sido realizado utilizando la técnica de espectroscopía de emisión óptica (OES. La velocidad de deposición y la composición de las películas depositadas se encuentran determinadas por la concentración de argon en la mezcla gaseosa. Se propone un modelo, según el cual el argon juega un papel fundamental como activador de las moléculas de metano. El modelo propuesto incluye dos procesos simultáneos durante el crecimiento de las capas : i formación de la capa y ii ataque de la superficie de crecimiento. Según la composición de la mezcla gaseosa se favorece uno u otro proceso, lo que conduce a velocidades de deposición diferentes así como a depósitos con diferente composición y estructura atómica.

  15. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  16. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  17. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  18. Immobilization of glucoamylase on ceramic membrane surfaces modified with a new method of treatment utilizing SPCP-CVD.

    Science.gov (United States)

    Ida; Matsuyama; Yamamoto

    2000-07-01

    Glucoamylase, as a model enzyme, was immobilized on a ceramic membrane modified by surface corona discharge induced plasma chemical process-chemical vapor deposition (SPCP-CVD). Characterizations of the immobilized enzyme were then discussed. Three kinds of ceramic membranes with different amounts of amino groups on the surface were prepared utilizing the SPCP-CVD method. Each with 1-time, 3-times and 5-times surface modification treatments and used for supports in glucoamylase immobilization. The amount of immobilized glucoamylase increased with the increase in the number of surface modification treatments and saturated to a certain maximum value estimated by a two-dimensional random packing. The operational stability of the immobilized glucoamylase also increased with the increase in the number of the surface treatment. It was almost the same as the conventional method, while the activity of immobilized enzyme was higher. The results indicated the possibility of designing the performance of the immobilized enzyme by controlling the amount of amino groups. The above results showed that the completely new surface modification method using SPCP was effective in modifying ceramic membranes for enzyme immobilization.

  19. Modeling of magnetically enhanced capacitively coupled plasma sources: Ar discharges

    International Nuclear Information System (INIS)

    Kushner, Mark J.

    2003-01-01

    Magnetically enhanced capacitively coupled plasma sources use transverse static magnetic fields to modify the performance of low pressure radio frequency discharges. Magnetically enhanced reactive ion etching (MERIE) sources typically use magnetic fields of tens to hundreds of Gauss parallel to the substrate to increase the plasma density at a given pressure or to lower the operating pressure. In this article results from a two-dimensional hybrid-fluid computational investigation of MERIE reactors with plasmas sustained in argon are discussed for an industrially relevant geometry. The reduction in electron cross field mobility as the magnetic field increases produces a systematic decrease in the dc bias (becoming more positive). This decrease is accompanied by a decrease in the energy and increase in angular spread of the ion flux to the substrate. Similar trends are observed when decreasing pressure for a constant magnetic field. Although for constant power the magnitudes of ion fluxes to the substrate increase with moderate magnetic fields, the fluxes decreased at larger magnetic fields. These trends are due, in part, to a reduction in the contributions of more efficient multistep ionization

  20. Thermal Analysis of Cold Vacuum Drying (CVD) of Spent Nuclear Fuel (SNF)

    International Nuclear Information System (INIS)

    PIEPHO, M.G.

    2000-01-01

    The thermal analysis examined transient thermal and chemical behavior of the Multi-Canister Overpack (MCO) container for a broad range of cases that represent the Cold Vacuum Drying (CVD) processes. The cases were defined to consider both normal and off-normal operations at the CVD Facility for an MCO with N Reactor spent fuel. This analysis provides the basis for the MCO thermal behavior at the CVD Facility in support of the safety basis documentation

  1. Terahertz-Radiation-Enhanced Emission of Fluorescence from Gas Plasma

    International Nuclear Information System (INIS)

    Liu Jingle; Zhang, X.-C.

    2009-01-01

    We report the study of femtosecond laser-induced air plasma fluorescence under the illumination of terahertz (THz) pulses. Semiclassical modeling and experimental verification indicate that time-resolved THz radiation-enhanced emission of fluorescence is dominated by the electron kinetics and the electron-impact excitation of gas molecules or ions. We demonstrate that the temporal waveform of the THz field could be retrieved from the transient enhanced fluorescence, making omnidirectional, coherent detection available for THz time-domain spectroscopy.

  2. Microwave plasma-assisted photoluminescence enhancement in nitrogen-doped ultrananocrystalline diamond film

    Directory of Open Access Journals (Sweden)

    Yu Lin Liu

    2012-06-01

    Full Text Available Optical properties and conductivity of nitrogen-doped ultrananocrystal diamond (UNCD films were investigated following treatment with low energy microwave plasma at room temperature. The plasma also generated vacancies in UNCD films and provided heat for mobilizing the vacancies to combine with the impurities, which formed the nitrogen-vacancy defect centers. The generated color centers were distributed uniformly in the samples. The conductivity of nitrogen-doped UNCD films treated by microwave plasma was found to decrease slightly due to the reduced grain boundaries. The photoluminescence emitted by the plasma treated nitrogen-doped UNCD films was enhanced significantly compared to the untreated films.

  3. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  4. Development of composite membranes of PVA-TEOS doped KOH for alkaline membrane fuel cell

    International Nuclear Information System (INIS)

    Haryadi,; Sugianto, D.; Ristopan, E.

    2015-01-01

    Anion exchange membranes (AEMs) play an important role in separating fuel and oxygen (or air) in the Alkaline Membrane Fuel Cells. Preparation of hybrid organic inorganic materials of Polyvinylalcohol (PVA) - Tetraethylorthosilicate (TEOS) composite membrane doped KOH for direct alcohol alkaline fuel cell application has been investigated. The sol-gel method has been used to prepare the composite membrane of PVA-TEOS through crosslinking step and catalyzed by concentrated of hydrochloric acid. The gel solution was cast on the membrane plastic plate to obtain membrane sheets. The dry membranes were then doped by immersing in various concentrations of KOH solutions for about 4 hours. Investigations of the cross-linking process and the presence of hydroxyl group were conducted by FTIR as shown for frequency at about 1600 cm −1 and 3300 cm −1 respectively. The degree of swelling in ethanol decreased as the KOH concentration for membrane soaking process increased. The ion exchange capacity (IEC) of the membrane was 0.25meq/g. This composite membranes display significant ionic conductivity of 3.23 x 10 −2 S/cm in deionized water at room temperature. In addition, the morphology observation by scanning electron microscope (SEM) of the membrane indicates that soaking process of membrane in KOH increased thermal resistant

  5. Development of composite membranes of PVA-TEOS doped KOH for alkaline membrane fuel cell

    Science.gov (United States)

    Haryadi, Sugianto, D.; Ristopan, E.

    2015-12-01

    Anion exchange membranes (AEMs) play an important role in separating fuel and oxygen (or air) in the Alkaline Membrane Fuel Cells. Preparation of hybrid organic inorganic materials of Polyvinylalcohol (PVA) - Tetraethylorthosilicate (TEOS) composite membrane doped KOH for direct alcohol alkaline fuel cell application has been investigated. The sol-gel method has been used to prepare the composite membrane of PVA-TEOS through crosslinking step and catalyzed by concentrated of hydrochloric acid. The gel solution was cast on the membrane plastic plate to obtain membrane sheets. The dry membranes were then doped by immersing in various concentrations of KOH solutions for about 4 hours. Investigations of the cross-linking process and the presence of hydroxyl group were conducted by FTIR as shown for frequency at about 1600 cm-1 and 3300 cm-1 respectively. The degree of swelling in ethanol decreased as the KOH concentration for membrane soaking process increased. The ion exchange capacity (IEC) of the membrane was 0.25meq/g. This composite membranes display significant ionic conductivity of 3.23 x 10-2 S/cm in deionized water at room temperature. In addition, the morphology observation by scanning electron microscope (SEM) of the membrane indicates that soaking process of membrane in KOH increased thermal resistant.

  6. Development of composite membranes of PVA-TEOS doped KOH for alkaline membrane fuel cell

    Energy Technology Data Exchange (ETDEWEB)

    Haryadi,, E-mail: haryadi@polban.ac.id; Sugianto, D.; Ristopan, E. [Department of Chemical Engineering, Politeknik Negeri Bandung Jl. Gegerkalong Hilir, Ds. Ciwaruga, Bandung West Java (Indonesia)

    2015-12-29

    Anion exchange membranes (AEMs) play an important role in separating fuel and oxygen (or air) in the Alkaline Membrane Fuel Cells. Preparation of hybrid organic inorganic materials of Polyvinylalcohol (PVA) - Tetraethylorthosilicate (TEOS) composite membrane doped KOH for direct alcohol alkaline fuel cell application has been investigated. The sol-gel method has been used to prepare the composite membrane of PVA-TEOS through crosslinking step and catalyzed by concentrated of hydrochloric acid. The gel solution was cast on the membrane plastic plate to obtain membrane sheets. The dry membranes were then doped by immersing in various concentrations of KOH solutions for about 4 hours. Investigations of the cross-linking process and the presence of hydroxyl group were conducted by FTIR as shown for frequency at about 1600 cm{sup −1} and 3300 cm{sup −1} respectively. The degree of swelling in ethanol decreased as the KOH concentration for membrane soaking process increased. The ion exchange capacity (IEC) of the membrane was 0.25meq/g. This composite membranes display significant ionic conductivity of 3.23 x 10{sup −2} S/cm in deionized water at room temperature. In addition, the morphology observation by scanning electron microscope (SEM) of the membrane indicates that soaking process of membrane in KOH increased thermal resistant.

  7. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  8. InN grown by migration enhanced afterglow (MEAglow)

    International Nuclear Information System (INIS)

    Butcher, Kenneth Scott A.; Alexandrov, Dimiter; Terziyska, Penka; Georgiev, Vasil; Georgieva, Dimka; Binsted, Peter W.

    2012-01-01

    InN thin films were grown by a new technique, migration enhanced afterglow (MEAglow), a chemical vapour deposition (CVD) form of migration enhanced epitaxy (MEE). Here we describe the apparatus used for this form of film deposition, which includes a scalable hollow cathode nitrogen plasma source. Initial film growth results for InN are also presented including atomic force microscopy (AFM) images that indicate step flow growth with samples having root mean square (RMS) surface roughness of as little as 0.103 nm in some circumstances for film growth on sapphire substrates. X-ray diffraction (XRD) results are also provided for samples with a full width half maximum (FWHM) of the (0002) ω-2θ peak of as little as 290 arcsec. Low pressure conditions that can result in damage to the InN during growth are described. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Diamond radiation detectors II. CVD diamond development for radiation detectors

    International Nuclear Information System (INIS)

    Kania, D.R.

    1997-01-01

    Interest in radiation detectors has supplied some of the impetus for improving the electronic properties of CVD diamond. In the present discussion, we will restrict our attention to polycrystalhne CVD material. We will focus on the evolution of these materials over the past decade and the correlation of detector performance with other properties of the material

  10. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  11. Myeloperoxidase in the plasma and placenta of normal pregnant women and women with pregnancies complicated by preeclampsia and intrauterine growth restriction.

    Science.gov (United States)

    Hung, T-H; Chen, S-F; Lo, L-M; Li, M-J; Yeh, Y-L; Hsieh, T-T

    2012-04-01

    Myeloperoxidase (MPO) is a heme protein produced and released by activated neutrophils and monocytes, and increased MPO is considered important in the pathophysiology of cardiovascular diseases (CVD). Accumulating evidence suggests that preeclampsia (PE), idiopathic intrauterine growth restriction (IUGR), and CVD share many similar metabolic disturbances, including an enhanced systemic inflammatory response and endothelial dysfunction. We hypothesized that MPO plays an important role in the development of PE and IUGR. Plasma samples were collected mid-gestation and at delivery from women with normal pregnancies (n = 40) and those who subsequently developed PE (n = 20), IUGR (n = 11) or both (PE + IUGR, n = 8). Placental samples were obtained immediately after delivery from 22 women with normal pregnancies, 19 women with PE, 14 women with IUGR, and 14 women with PE + IUGR. The MPO concentrations were measured using ELISA. Women with PE + IUGR had significantly higher plasma MPO before delivery than normal pregnant women. There was no difference in plasma levels at mid-gestation or the placental concentrations between women with normal pregnancies and those who developed PE, IUGR, or PE + IUGR. Using explants prepared from the placentas of 8 women with normal pregnancies and 8 women with PE, we found no difference in the levels of MPO in the tissue homogenates and culture media between these two groups of women. Together, these results indicate that increased maternal circulating MPO in women with PE + IUGR is likely a result of enhanced systemic inflammation caused by the established disease rather than a primary pathophysiological factor. Copyright © 2012 Elsevier Ltd. All rights reserved.

  12. Enhanced field emission from carbon nanotubes by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Zhi, C.Y.; Bai, X.D.; Wang, E.G.

    2002-01-01

    The field emission capability of the carbon nanotubes (CNTs) has been improved by hydrogen plasma treatment, and the enhanced emission mechanism has been studied systematically using Fourier-transform infrared spectroscopy, Raman, and transmission electron microscopy. The hydrogen concentration in the samples increases with increasing plasma treatment duration. A C δ- -H δ+ dipole layer may form on CNTs' surface and a high density of defects results from the plasma treatment, which is likely to make the external surface of CNTs more active to emit electrons after treatment. In addition, the sharp edge of CNTs' top, after removal of the catalyst particles, may increase the local electronic field more effectively. The present study suggests that hydrogen plasma treatment is a useful method for improving the field electron emission property of CNTs

  13. Enhancing Cold Atmospheric Plasma Treatment Efficiency for Cancer Therapy

    Science.gov (United States)

    Cheng, Xiaoqian

    To improve efficiency and safety of anti-cancer therapies the researchers and clinicians alike are prompted to develop targeted combined therapies that especially minimize damage to healthy tissues while eradicating the body of cancerous tissues. Previous research in cold atmospheric plasma (CAP) and cancer cell interaction has repeatedly proven that cold plasma induced cell death. In this study, we seek to integrate the medical application of CAP. We proposed and implemented 3 novel ideas to enhance efficacy and selectivity of cancer therapy. It is postulated that the reactive oxygen species (ROS) and reactive nitrogen species (RNS) play a major role in the CAP cancer therapy. We determined a mechanism of CAP therapy on glioblastoma cells (U87) through an understanding of the composition of CAP, including output voltage, treatment time, and gas flow-rate. We varied the characteristics of the cold plasma in order to obtain different major species (such as O, OH, N2+, and N2 lines). "plasma dosage" D ~ Q * V * t. is defined, where D is the entire "plasma dosage"; Q is the flow rate of feeding gas; V is output voltage; t is treatment time. The proper CAP dosage caused 3-fold cell death in the U87 cells compared to the normal human astrocytes E6/E7 cells. We demonstrated there is a synergy between AuNPS and CAP in cancer therapy. Specifically, the concentration of AuNPs plays an important role on plasma therapy. At an optimal concentration, gold nanoparticles can significantly induce U87 cell death up to a 30% overall increase compared to the control group with the same plasma dosage but no AuNPs applied. The ROS intensity of the corresponding conditions has a reversed trend compared to cell viability. This matches with the theory that intracellular ROS accumulation results in oxidative stress, which further changes the intracellular pathways, causing damage to the proteins, lipids and DNA. Our results show that this synergy has great potential in improving the

  14. Töölepingu lõpetamine töötaja süüteo tõttu : [bakalaureusetöö] / Marili Lämmergas ; Tartu Ülikooli Õigusinstituut ; juhendaja: Heino Siigur

    Index Scriptorium Estoniae

    Lämmergas, Marili

    2005-01-01

    Töötaja töökohustused, töölepingu lõpetamine töötajapoolsel töökohustuste rikkumisel, töötaja suhtes usalduse kaotamisel, töötaja vääritu teo tõttu, vorminõuete rikkumine ja asjaolude tõendamine töölepingu lõpetamisel töötaja süüteo tõttu

  15. Effects of Dietary Macronutrients on Plasma Lipid Levels and the Consequence for Cardiovascular Disease

    Directory of Open Access Journals (Sweden)

    Emilie Daoud

    2014-10-01

    Full Text Available Despite gaining focus, cardiovascular disease (CVD remains the leading cause of death worldwide. Health promotion agencies have traditionally recommended diets that are low in fat in order to reduce CVD risk however, much debate remains about which dietary approaches are the most efficient for effective disease prevention. Common markers of CVD include elevated plasma triglycerides (TG and low-density lipoprotein (LDL cholesterol levels, as well as reduced high-density lipoprotein (HDL cholesterol levels. While weight loss alone can significantly reduce markers of CVD, manipulating dietary macronutrient content contributes to the beneficial effects of weight loss and furthers the improvement of lipid profiles even without the alteration of total caloric intake. Considering the recent attention to diets that are low in carbohydrates rather than fat, it remains to be elucidated the beneficial effects of each diet type when establishing new recommendations for CVD prevention. This review aims to examine the effects of different macronutrient compositions on lipid markers, thus providing insight into the potential roles of various diet types in the targeted prevention against CVD.

  16. A Hybrid Information Mining Approach for Knowledge Discovery in Cardiovascular Disease (CVD

    Directory of Open Access Journals (Sweden)

    Stefania Pasanisi

    2018-04-01

    Full Text Available The healthcare ambit is usually perceived as “information rich” yet “knowledge poor”. Nowadays, an unprecedented effort is underway to increase the use of business intelligence techniques to solve this problem. Heart disease (HD is a major cause of mortality in modern society. This paper analyzes the risk factors that have been identified in cardiovascular disease (CVD surveillance systems. The Heart Care study identifies attributes related to CVD risk (gender, age, smoking habit, etc. and other dependent variables that include a specific form of CVD (diabetes, hypertension, cardiac disease, etc.. In this paper, we combine Clustering, Association Rules, and Neural Networks for the assessment of heart-event-related risk factors, targeting the reduction of CVD risk. With the use of the K-means algorithm, significant groups of patients are found. Then, the Apriori algorithm is applied in order to understand the kinds of relations between the attributes within the dataset, first looking within the whole dataset and then refining the results through the subsets defined by the clusters. Finally, both results allow us to better define patients’ characteristics in order to make predictions about CVD risk with a Multilayer Perceptron Neural Network. The results obtained with the hybrid information mining approach indicate that it is an effective strategy for knowledge discovery concerning chronic diseases, particularly for CVD risk.

  17. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  18. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  19. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  20. Cs_7Sm_1_1[TeO_3]_1_2Cl_1_6 and Rb_7Nd_1_1[TeO_3]_1_2Br_1_6, the new tellurite halides of the tetragonal Rb_6LiNd_1_1[SeO_3]_1_2Cl_1_6 structure type

    International Nuclear Information System (INIS)

    Charkin, Dmitri O.; Black, Cameron; Downie, Lewis J.; Sklovsky, Dmitry E.; Berdonosov, Peter S.; Olenev, Andrei V.; Zhou, Wuzong; Lightfoot, Philip; Dolgikh, Valery A.

    2015-01-01

    Two new rare-earth – alkali – tellurium oxide halides were synthesized by a salt flux technique and characterized by single-crystal X-ray diffraction. The structures of the new compounds Cs_7Sm_1_1[TeO_3]_1_2Cl_1_6 (I) and Rb_7Nd_1_1[TeO_3]_1_2Br_1_6 (II) (both tetragonal, space group I4/mcm) correspond to the sequence of [MLn_1_1(TeO_3)_1_2] and [M_6X_1_6] layers and bear very strong similarities to those of known selenite analogs. We discuss the trends in similarities and differences in compositions and structural details between the Se and Te compounds; more members of the family are predicted. - Graphical abstract: Two new rare-earth – alkali – tellurium oxide halides were predicted and synthesized. - Highlights: • Two new rare-earth – alkali – tellurium oxide halides were synthesized. • They adopt slab structure of rare earth-tellurium-oxygen and CsCl-like slabs. • The Br-based CsCl-like slabs have been observed first in this layered family.

  1. Photovoltaic effect in Bi2TeO5 photorefractive crystal

    International Nuclear Information System (INIS)

    Oliveira, Ivan de; Capovilla, Danilo Augusto; Carvalho, Jesiel F.; Montenegro, Renata; Fabris, Zanine V.; Frejlich, Jaime

    2015-01-01

    We report on the presence of a strong photovoltaic effect on nominally undoped photorefractive Bi 2 TeO 5 crystals and estimated their Glass photovoltaic constant and photovoltaic field for λ = 532 nm illumination. We directly measured the photovoltaic-based photocurrent in this material under λ = 532 nm wavelength laser light illumination and compared its behavior with that of a well known photovoltaic Fe-doped Lithium Niobate crystal. We also show the photovoltaic current to strongly depend on the polarization direction of light. Holographic diffraction efficiency oscillation during recording and the behavior of fringe-locked running holograms in self-stabilized experiments are also demonstrated here as additional indirect proofs of the photovoltaic nature of this material

  2. Electric behavior of functional glasses based on TeO2

    International Nuclear Information System (INIS)

    Terny, S.; Rubia, M. a. de la; Barolin, S.; Alonso, R. E.; Frutos, J. de; Frechero, M. A.

    2014-01-01

    In this paper we study the structural and electrical behavior of glass-ceramic material of general formula: xMgO (1-x) (0.5V 2 O 5 .0.5MoO 3 )2TeO 2 (0≤ x≤0.9) through measurements of density, molar volume, oxygen packing density (OPD), differential scanning calorimetry (DSC) and Raman spectroscopy: electric behavior was studied by impedance spectroscopy. We found that magnesium cation induces the growth of slightly crystallized areas inside the material. Those nanocrystallizations were detected to a greater extent by atomic force microscopy (AFM) and in lesser extent by X-ray diffraction (XRD). Regarding the electrical measurements, it can be established that magnesium cation does not act as good ionic conductor in this material. (Author)

  3. Influence of CVD diamond tips and Er:YAG laser irradiation on bonding of different adhesive systems to dentin.

    Science.gov (United States)

    da Silva, Melissa Aline; Di Nicolo, Rebeca; Barcellos, Daphne Camara; Batista, Graziela Ribeiro; Pucci, Cesar Rogerio; Rocha Gomes Torres, Carlos; Borges, Alessandra Bühler

    2013-01-01

    The aim of this study was to compare the microtensile bond strength of three adhesive systems, using different methods of dentin preparation. A hundred and eight bovine teeth were used. The dentin from buccal face was exposed and prepared with three different methods, divided in 3 groups: Group 1 (DT)- diamond tip on a high-speed handpiece; Group 2 (CVD)-CVD tip on a ultrasonic handpiece; Group 3 (LA)-Er: YAG laser. The teeth were divided into 3 subgroups, according adhesive systems used: Subgroup 1-Adper Single Bond Plus/3M ESPE (SB) total-etch adhesive; Subgroup 2-Adper Scotchbond SE/3M ESPE (AS) selfetching adhesive; Subgroup 3-Clearfil SE Bond/Kuraray (CS) selfetching adhesive. Blocks of composite (Filtek Z250-3M ESPE) 4 mm high were built up and specimens were stored in deionized water for 24 hours at 37°C. Serial mesiodistal and buccolingual cuts were made and stick-like specimens were obtained, with transversal section of 1.0 mm(2). The samples were submitted to microtensile test at 1 mm/min and load of 10 kg in a universal testing machine. Data (MPa) were subjected to ANOVA and Tukey's tests (p adhesive produced significantly lower bond strength values compared to other groups. Surface treatment with Er: YAG laser associated with Single Bond Plus or Clearfil SE Bond adhesives and surface treatment with CVD tip associated with Adper Scotchbond SE adhesive produced significantly lower bond strength values compared to surface treatment with diamond or CVD tips associated with Single Bond Plus or Adper Scotchbond SE adhesives. Interactions between laser and the CVD tip technologies and the different adhesive systems can produce a satisfactory bonding strength result, so that these associations may be beneficial and enhance the clinical outcomes.

  4. Use of organosilicate precursors for transparent coatings on organic substrates by plasma CVD

    International Nuclear Information System (INIS)

    Lasorsa, C; Versaci, R; Perillo, P

    2006-01-01

    This work discusses the production of transparent coatings of SiOxCy on substrates polycarbonated by PECVD at temperatures below 80 o C, with a gaseous mixture using different precursors with which, in similar processes produced the same results with respect to the coating obtained, with the same excellent quality and in accordance with international standards for optic coatings. Chlorinated precursors were excluded because they are highly corrosive as well as those with operating risks (toxic or explosive). The precursors used were tetraethyl orthosilicate (TEOS), tetramethylsilanete (TMS,) tetramethoxy silane (TMOS), hexamethyldisilizane (HMDS), and methyltrimethoxysilane (Z6070), with the contribution of O 2 and methane as reactive gases. Fourier transform infrared spectroscopy (FTIR) was used as well as X-ray generated photoelectron spectroscopy (XPS/ESCA). The functional groups were studied together with the film elements and its mechanical properties, transparency and refraction index. Irregardless of the precursor used, by properly modifying the process variables (pressure of the gaseous mixture, radio frequency power, relationship of processing gases and their flow), similar coatings can be chemically obtained, having the same morphology and, therefore, with identical adherence, structural and optic properties. None of the works consulted refer to the possibility of the indistinct use of different precursors for obtaining the same coating. These results are relevant when considering the difference in costs and their market availability. The influence of the addition of methane was studied in two processing variants, a) with oxygen and methane and b) with oxygen alone. For all the precursors used and with identical processing conditions, the carbon contributed by the addition of methane increased the concentration of carbon compounds, considerably reducing the presence of silanol, which being absorbent produces structural instability and cracking of the

  5. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  6. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  7. Flavonoids and Reduction of Cardiovascular Disease (CVD) in Chronic Obstructive Pulmonary Disease (COPD).

    Science.gov (United States)

    Russo, Patrizia; Prinzi, Giulia; Lamonaca, Palma; Cardaci, Vittorio; Fini, Massimo

    2018-05-13

    Chronic obstructive pulmonary disease (COPD) and cardiovascular diseases (CV) often coexist. COPD and CVD are complex diseases characterized by a strict interaction between environment and genetic. The mechanisms linking these two diseases are complex, multifactorial and not entirely understood, influencing the therapeutic approach. COPD is characterized by several comorbidities, it is hypothesizable that treatment of cardiovascular co-morbidities may reduce morbidity and mortality. Flavonoids are an important class of plant low molecular weight secondary metabolites (SMs). Convincing data from laboratory, epidemiological, and human clinical studies point to an important effects on CVD risk prevention. This review aims to provide up-to-date information on the ability of Flavonoids to reduce the CVD risk. Current studies support the potential of Flavonoids to prevent the risk of CVD. Well-designed clinical studies are suggested to evaluate advantages and limits of Flavonoids for managing CVD comorbidity in COPD. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  8. Impact of the new equation of state of seawater (TEOS-10) on the estimates of water mass mixture and meridional transport in the Atlantic Ocean

    Science.gov (United States)

    Almeida, Lucas; de Azevedo, José Luiz Lima; Kerr, Rodrigo; Araujo, Moacyr; Mata, Mauricio M.

    2018-03-01

    The equation of state of seawater (EOS) provides a simple way to link the properties of seawater that are the most important for ocean dynamics and the ocean-atmosphere climate system. In 2010, the set of equations used to derive all thermodynamic properties of seawater were updated using a thermodynamic approach. The new approach, named TEOS-10, results in better estimates of seawater properties, such as salinity and temperature, when compared to the previous EOS version (EOS-80). Since several physical processes in the oceans are driven by these properties, improvements in the EOS performance are expected to lead to a better and more realistic representation of the ocean. This work focuses on assessing the main differences of the: (i) contribution of water masses to a total mixture, (ii) baroclinic velocity, and (iii) volume and heat transport, as calculated by the EOS-80 and by the TEOS-10, along four zonal transects at 26.5°N, 10°N, 11°S, and 34.5°S in the Atlantic Ocean. The density differences (always between TEOS-10 and EOS-80) increase with depth and hence the results indicate that the most significant difference in the water mass contributions was found for Antarctic Bottom Water. Within that layer, the differences reach up to 10% on its fraction of the mixture when calculated by the TEOS-10, although the difference in the North Atlantic Deep Water contribution was not negligible either. The estimated baroclinic velocities showed considerable differences in all studied areas, being more significant over boundary current systems. The Gulf Stream presented lower velocity, while the Brazil Current presented increasing velocity when using TEOS-10. The comparison between values computed for volume transported by the Atlantic Meridional Overturning Circulation showed a total difference of about +6%, which cannot be neglected when considering the space and time variability involved. The heat transport showed significant differences in the study areas at the

  9. Spin transport in two-layer-CVD-hBN/graphene/hBN heterostructures

    Science.gov (United States)

    Gurram, M.; Omar, S.; Zihlmann, S.; Makk, P.; Li, Q. C.; Zhang, Y. F.; Schönenberger, C.; van Wees, B. J.

    2018-01-01

    We study room-temperature spin transport in graphene devices encapsulated between a layer-by-layer-stacked two-layer-thick chemical vapor deposition (CVD) grown hexagonal boron nitride (hBN) tunnel barrier, and a few-layer-thick exfoliated-hBN substrate. We find mobilities and spin-relaxation times comparable to that of SiO2 substrate-based graphene devices, and we obtain a similar order of magnitude of spin relaxation rates for both the Elliott-Yafet and D'Yakonov-Perel' mechanisms. The behavior of ferromagnet/two-layer-CVD-hBN/graphene/hBN contacts ranges from transparent to tunneling due to inhomogeneities in the CVD-hBN barriers. Surprisingly, we find both positive and negative spin polarizations for high-resistance two-layer-CVD-hBN barrier contacts with respect to the low-resistance contacts. Furthermore, we find that the differential spin-injection polarization of the high-resistance contacts can be modulated by dc bias from -0.3 to +0.3 V with no change in its sign, while its magnitude increases at higher negative bias. These features point to the distinctive spin-injection nature of the two-layer-CVD-hBN compared to the bilayer-exfoliated-hBN tunnel barriers.

  10. Numerical analysis of the effect of plasma flow control on enhancing the aerodynamic characteristics of stratospheric screw propeller

    International Nuclear Information System (INIS)

    Cheng Yufeng; Nie Wansheng

    2012-01-01

    Based on the body force aerodynamic actuation mechanism of dielectric barrier discharge (DBD) plasma, the effect of plasma flow control on enhancing the aerodynamic characteristics of ten blade elements equably along the stratospheric screw propeller blade was numerical studied. Then the effect of plasma flow control enhancing the aerodynamic characteristics of stratospheric screw propeller was compared that by the blade element theory method. The results show that the flow separate phenomena will easily happen in the root region and top end region of screw propeller, and the blade elements in the root region of screw propeller may work on the negative attack angle condition. DBD plasma flow control can entirely restrain the faintish flow separate phenomena in middle region of screw propeller. Although DBD plasma flow control can not entirely restrain the badly flow separate phenomena in top end region of screw propeller, it also can enhance the aerodynamic characteristics of blade elements in these regions in same degree. But effect of DBD plasma flow control on enhancing the aerodynamic characteristics of the blade elements working on the negative attack angle condition is ineffectively. It can be concluded that DBD plasma flow control can enhance the aerodynamic characteristics of stratospheric screw propeller, the thrust of the whole propeller and the propeller efficiency in the case of plasma on will increases by a factor of 28.27% and 12.3% respectively compared with that in the case of plasma off studied. (authors)

  11. Enhanced electromagnetic emission from plasmas containing positive dust grains and electrons

    International Nuclear Information System (INIS)

    Shukla, P.K.; Shukla, Nitin; Stenflo, L.

    2007-01-01

    Large amplitude high-frequency (HF) electromagnetic (EM) waves can scatter off dust-acoustic waves in plasmas containing positive dust grains and electrons, and can thus be responsible for HF enhanced electromagnetic emissions (EEE). An expression for the ensemble average of the squared HF-EEE vector potential is therefore derived, following the standard parametric interaction formalism and adopting the Rostoker superposition principle. The results should be useful for deducing the dust plasma parameters (e.g. the dust number density and dust charge) in situ, and HF intense EM beams can thus be used for diagnosis of positive dust-electron plasmas in space and laboratories

  12. Evaluation of tetraethoxysilane (TEOS) sol–gel coatings, modified with green synthesized zinc oxide nanoparticles for combating microfouling

    Energy Technology Data Exchange (ETDEWEB)

    Krupa, A. Nithya Deva; Vimala, R., E-mail: vimala.r@vit.ac.in

    2016-04-01

    Green synthesis of zinc oxide nanoparticles (ZnO-NPs) is gaining importance as an eco-friendly alternative to conventional methods due to its enormous applications. The present work reports the synthesis of ZnO-NPs using the endosperm of Cocos nucifera (coconut water) and the bio-molecules responsible for nanoparticle formation have been identified. The synthesized nanoparticles were characterized using UV–Visible spectroscopy (UV–Vis), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Zeta potential measurement. The results obtained reveal that the synthesized nanoparticles are moderately stable with the size ranging from 20 to 80 nm. The bactericidal effect of the nanoparticles was proved by well diffusion assay and determination of minimum inhibitory concentration (MIC) against marine biofilm forming bacteria. Further the green synthesized ZnO-NPs were doped with TEOS sol–gels (TESGs) in order to assess their antimicrofouling capability. Different volumes of liquid sol–gels were coated on to 96-well microtitre plate and cured under various conditions. The optimum curing conditions were found to be temperature 60 °C, time 72 h and volume 200 μl. Antiadhesion test of the undoped (SG) and ZnO-NP doped TEOS sol–gel (ZNSG) coatings were evaluated using marine biofilm forming bacteria. ZNSG coatings exhibited highest biofilm inhibition (89.2%) represented by lowest OD value against Pseudomonasotitidis strain NV1. - Highlights: • The study reports low cost, and simple procedure for the synthesis of ZnO-NPs using coconut water. • XRD result shows the high crystalline nature of the synthesized ZnO-NPs. • TEM and zeta potential distribution confirms the nanostructure, stability of the synthesized ZnO-NPs. • ZnO-NPs doped with TEOS sol¬-gels (TESGs) exhibited excellent antimicrofouling activity.

  13. Vertically aligned Si nanocrystals embedded in amorphous Si matrix prepared by inductively coupled plasma chemical vapor deposition (ICP-CVD)

    Energy Technology Data Exchange (ETDEWEB)

    Nogay, G. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Saleh, Z.M., E-mail: zaki.saleh@aauj.edu [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Physics, Arab American University–Jenin (AAUJ), Jenin, Palestine (Country Unknown); Özkol, E. [Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey); Department of Chemical Engineering, Middle East Technical University (METU), Ankara 06800 (Turkey); Turan, R. [Department of Physics, Middle East Technical University (METU), Ankara 06800 (Turkey); Center of Solar Energy Research and Application (GÜNAM), Middle East Technical University (METU), Ankara 06800 (Turkey)

    2015-06-15

    Highlights: • Inductively-coupled plasma is used for nanostructured silicon at room temperature. • Low temperature deposition allows device processing on various substrates. • Deposition pressure is the most effective parameter in controlling nanostructure. • Films consist of quantum dots in a-Si matrix and exhibit columnar vertical growth. • Films are porous to oxygen infusion along columnar grain boundaries. - Abstract: Vertically-aligned nanostructured silicon films are deposited at room temperature on p-type silicon wafers and glass substrates by inductively-coupled, plasma-enhanced chemical vapor deposition (ICPCVD). The nanocrystalline phase is achieved by reducing pressure and increasing RF power. The crystalline volume fraction (X{sub c}) and the size of the nanocrystals increase with decreasing pressure at constant power. Columnar growth of nc-Si:H films is observed by high resolution transmission electron microscopy (HRTEM) and scanning electron microscopy (SEM). The films exhibit cauliflower-like structures with high porosity that leads to slow but uniform oxidation after exposure to air at room temperature. Films deposited at low pressures exhibit photoluminescence (PL) signals that may be deconvoluted into three distinct Gaussian components: 760–810, 920–935, and 990–1000 nm attributable to the quantum confinement and interface defect states. Hydrogen dilution is manifested in significant enhancement of the PL, but it has little effect on the nanocrystal size and X{sub c}.

  14. Enhancement of the Laser Transmission Weldability between Polyethylene and Polyoxymethylene by Plasma Surface Treatment

    Directory of Open Access Journals (Sweden)

    Huixia Liu

    2017-12-01

    Full Text Available Due to their large compatibility difference, polyethylene (PE and polyoxymethylene (POM cannot be welded together by laser transmission welding. In this study, PE and POM are pretreated using plasma that significantly enhances their laser transmission welding strength. To understand the mechanism underlying the laser welding strength enhancement, surface modification is analyzed using contact angle measurements, atomic force microscopy (AFM, optical microscopy, and X-ray photoelectron spectroscopy (XPS. Characterization results show that the plasma surface treatment improves the surface free energy, significantly enhancing the wettability of the materials. The increase in surface roughness and the generation of homogeneous bubbles contribute to the formation of mechanical micro-interlocking. The oxygen-containing groups introduced by the oxygen plasma treatment improve the compatibility of PE and POM, and facilitate the diffusion and entanglement of molecular chains and the formation of van der Waals force.

  15. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  16. Defining the relationship between COPD and CVD: what are the implications for clinical practice?

    Science.gov (United States)

    Morgan, Ann D; Zakeri, Rosita; Quint, Jennifer K

    2018-01-01

    Cardiovascular diseases (CVDs) are arguably the most important comorbidities in chronic obstructive pulmonary disease (COPD). CVDs are common in people with COPD, and their presence is associated with increased risk for hospitalization, longer length of stay and all-cause and CVD-related mortality. The economic burden associated with CVD in this population is considerable and the cumulative cost of treating comorbidities may even exceed that of treating COPD itself. Our understanding of the biological mechanisms that link COPD and various forms of CVD has improved significantly over the past decade. But despite broad acceptance of the prognostic significance of CVDs in COPD, there remains widespread under-recognition and undertreatment of comorbid CVD in this population. The reasons for this are unclear; however institutional barriers and a lack of evidence-based guidelines for the management of CVD in people with COPD may be contributory factors. In this review, we summarize current knowledge relating to the prevalence and incidence of CVD in people with COPD and the mechanisms that underlie their coexistence. We discuss the implications for clinical practice and highlight opportunities for improved prevention and treatment of CVD in people with COPD. While we advocate more active assessment for signs of cardiovascular conditions across all age groups and all stages of COPD severity, we suggest targeting those aged under 65 years. Evidence indicates that the increased risks for CVD are particularly pronounced in COPD patients in mid-to-late-middle-age and thus it is in this age group that the benefits of early intervention may prove to be the most effective. PMID:29355081

  17. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  18. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  19. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Science.gov (United States)

    Kundrát, Vojtěch; Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin; Sullivan, John; Ye, Haitao

    2015-04-01

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) - tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  20. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Energy Technology Data Exchange (ETDEWEB)

    Kundrát, Vojtěch; Sullivan, John; Ye, Haitao, E-mail: h.ye@aston.ac.uk [School of Engineering and Applied Science, Aston University, Birmingham, B4 7ET (United Kingdom); Zhang, Xiaoling; Cooke, Kevin; Sun, Hailin [Miba Coating Group: Teer Coatings Ltd, West-Stone-House, West-Stone, Berry-Hill-Industrial-Estate, WR9 9AS, Droitwich (United Kingdom)

    2015-04-15

    Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD) processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42) substrates using a multi-structured molybdenum (Mo) – tungsten (W) interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  1. A novel Mo-W interlayer approach for CVD diamond deposition on steel

    Directory of Open Access Journals (Sweden)

    Vojtěch Kundrát

    2015-04-01

    Full Text Available Steel is the most widely used material in engineering for its cost/performance ratio and coatings are routinely applied on its surface to further improve its properties. Diamond coated steel parts are an option for many demanding industrial applications through prolonging the lifetime of steel parts, enhancement of tool performance as well as the reduction of wear rates. Direct deposition of diamond on steel using conventional chemical vapour deposition (CVD processes is known to give poor results due to the preferential formation of amorphous carbon on iron, nickel and other elements as well as stresses induced from the significant difference in the thermal expansion coefficients of those materials. This article reports a novel approach of deposition of nanocrystalline diamond coatings on high-speed steel (M42 substrates using a multi-structured molybdenum (Mo – tungsten (W interlayer to form steel/Mo/Mo-W/W/diamond sandwich structures which overcome the adhesion problem related to direct magnetron sputtering deposition of pure tungsten. Surface, interface and tribology properties were evaluated to understand the role of such an interlayer structure. The multi-structured Mo-W interlayer has been proven to improve the adhesion between diamond films and steel substrates by acting as an effective diffusion barrier during the CVD diamond deposition.

  2. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  3. Delaminated Transfer of CVD Graphene

    Science.gov (United States)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  4. Combustion Enhancement Via Stabilized Piecewise Nonequilibrium Gliding Arc Plasma Discharge (Postprint)

    National Research Council Canada - National Science Library

    Ombrello, Timothy; Qin, Xiao; Ju, Yiguang; Gutsol, Alexander; Fridman, Alexander; Carter, Campbell

    2006-01-01

    ... enhancement of methane-air diffusion flames. The results showed that the new system provided a well-defined flame geometry for the understanding of the basic mechanism of the plasma-flame interaction...

  5. CVD calibration light systems specifications. Rev. 0

    International Nuclear Information System (INIS)

    Mcllwain, A. K.

    1992-04-01

    Two prototype Cerenkov Viewing Device Calibration Light systems for the Mark IV CVD have been fabricated. They consist of a maintenance unit that will be used by the IAEA maintenance staff and a field unit that will be used by IAEA inspectors. More detailed information on the design of the calibration units can be obtained from the document SSP-39 and additional information on the Mark IV CVD can be obtained from the operating manual published as Canadian Safeguards Support Program document CSSP 6. The specifications refer to the prototype units which will be demonstrated to the IAEA in 1992 May. Based upon the feedback from the IAEA, the instruments will be changed in the final production models to provide devices that more closely satisfy the needs of the end users

  6. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  7. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  8. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  9. Enhancement and stabilization of plasma using collinear long-short double-pulse laser-induced breakdown spectroscopy

    Science.gov (United States)

    Cui, Minchao; Deguchi, Yoshihiro; Wang, Zhenzhen; Fujita, Yuki; Liu, Renwei; Shiou, Fang-Jung; Zhao, Shengdun

    2018-04-01

    A collinear long-short dual-pulse laser-induced breakdown spectroscopy (DP-LIBS) method was employed to enhance and stabilize the laser-induced plasma from steel sample. The long-pulse-width laser beam with the pulse width of 60 μs was generated by a Nd: YAG laser which was operated at FR (free running) mode. The comparative experiments were carried out between single pulse LIBS (SP-LIBS) and long-short DP-LIBS. The recorded results showed that the emission intensities and the temperature of plasma were enhanced by long-short DP-LIBS. The plasma images showed that the plasma was bigger and had a longer lifetime in long-short DP-LIBS situation. Through the calculation of time-resolved plasma temperature and intensity ratio, it can be concluded that the plasma was stabilized by the long-pulse-width laser beam. The long-short DP-LIBS method also generated the stable plasma condition from the samples with different initial temperatures, which overcame the difficulties of LIBS in the online measurement for steel production line.

  10. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  11. Hexagonal Boron Nitride assisted transfer and encapsulation of large area CVD graphene

    Science.gov (United States)

    Shautsova, Viktoryia; Gilbertson, Adam M.; Black, Nicola C. G.; Maier, Stefan A.; Cohen, Lesley F.

    2016-07-01

    We report a CVD hexagonal boron nitride (hBN-) assisted transfer method that enables a polymer-impurity free transfer process and subsequent top encapsulation of large-area CVD-grown graphene. We demonstrate that the CVD hBN layer that is utilized in this transfer technique acts as a buffer layer between the graphene film and supporting polymer layer. We show that the resulting graphene layers possess lower doping concentration, and improved carrier mobilities compared to graphene films produced by conventional transfer methods onto untreated SiO2/Si, SAM-modified and hBN covered SiO2/Si substrates. Moreover, we show that the top hBN layer used in the transfer process acts as an effective top encapsulation resulting in improved stability to ambient exposure. The transfer method is applicable to other CVD-grown 2D materials on copper foils, thereby facilitating the preparation of van der Waals heterostructures with controlled doping.

  12. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  13. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  14. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    International Nuclear Information System (INIS)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S.; Kim, Yoon-Jae; Park, Man-Jin; Moon, Dae Won

    2012-01-01

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m 2 SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  15. Proteome changes in rat plasma in response to sibutramine.

    Science.gov (United States)

    Choi, Jung-Won; Joo, Jeong In; Kim, Dong Hyun; Wang, Xia; Oh, Tae Seok; Choi, Duk Kwon; Yun, Jong Won

    2011-04-01

    Sibutramine is an anti-obesity agent that induces weight loss by selective inhibition of neuronal reuptake of serotonin and norepinephrine; however, it is associated with the risk of cardiovascular diseases (CVD), including heart attack and stroke. Here, we analyzed global protein expression patterns in plasma of control and sibutramine-treated rats using proteomic analysis for a better understanding of the two conflicting functions of this drug, appetite regulation, and cardiovascular risk. The control (n=6) and sibutramine-treated groups (n=6) were injected by vehicle and sibutramine, respectively, and 2-DE combined with MALDI-TOF/MS were performed. Compared to control rats, sibutramine-administered rats gained approximately 18% less body weight and consumed about 13% less food. Plasma leptin and insulin levels also showed a significant decrease in sibutramine-treated rats. As a result of proteomic analysis, 23 differentially regulated proteins were discovered and were reconfirmed by immunoblot analysis. Changed proteins were classified into appetite regulation and cardiovascular risk, according to their regulation pattern. Because the differential levels of proteins that have been well recognized as predictors of CVD risk were not well matched with the results of our proteomic analysis, this study does not conclusively prove that sibutramine has an effect on CVD risk. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Experimental investigation of lift enhancement for flying wing aircraft using nanosecond DBD plasma actuators

    Science.gov (United States)

    Yao, Junkai; Zhou, Danjie; He, Haibo; He, Chengjun; Shi, Zhiwei; Du, Hai

    2017-04-01

    The effects of the arrangement position and control parameters of nanosecond dielectric barrier discharge (NS-DBD) plasma actuators on lift enhancement for flying wing aircraft were investigated through wind tunnel experiments at a flow speed of 25 m s-1. The aerodynamic forces and moments were obtained by a six-component balance at angles of attack ranging from -4° to 28°. The lift, drag and pitching moment coefficients were compared for the cases with and without plasma control. The results revealed that the maximum control effect was achieved by placing the actuator at the leading edge of the inner and middle wing, for which the maximum lift coefficient increased by 37.8% and the stall angle of attack was postponed by 8° compared with the plasma-off case. The effects of modulation frequency and discharge voltage were also investigated. The results revealed that the lift enhancement effect of the NS-DBD plasma actuators was strongly influenced by the modulation frequency. Significant control effects were obtained at f = 70 Hz, corresponding to F + ≈ 1. The result for the pitching moment coefficient demonstrated that the plasma actuator can induce the reattachment of the separation flows when it is actuated. However, the results indicated that the discharge voltage had a negligible influence on the lift enhancement effect.

  17. Universal Design: Supporting Students with Color Vision Deficiency (CVD) in Medical Education

    Science.gov (United States)

    Meeks, Lisa M.; Jain, Neera R.; Herzer, Kurt R.

    2016-01-01

    Color Vision Deficiency (CVD) is a commonly occurring condition in the general population. For medical students, it has the potential to create unique challenges in the classroom and clinical environments. Few studies have provided medical educators with comprehensive recommendations to assist students with CVD. This article presents a focused…

  18. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    Science.gov (United States)

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Association of BMI with risk of CVD mortality and all-cause mortality.

    Science.gov (United States)

    Kee, Chee Cheong; Sumarni, Mohd Ghazali; Lim, Kuang Hock; Selvarajah, Sharmini; Haniff, Jamaiyah; Tee, Guat Hiong Helen; Gurpreet, Kaur; Faudzi, Yusoff Ahmad; Amal, Nasir Mustafa

    2017-05-01

    To determine the relationship between BMI and risk of CVD mortality and all-cause mortality among Malaysian adults. Population-based, retrospective cohort study. Participants were followed up for 5 years from 2006 to 2010. Mortality data were obtained via record linkages with the Malaysian National Registration Department. Multiple Cox regression was applied to compare risk of CVD and all-cause mortality between BMI categories adjusting for age, gender and ethnicity. Models were generated for all participants, all participants the first 2 years of follow-up, healthy participants, healthy never smokers, never smokers, current smokers and former smokers. All fourteen states in Malaysia. Malaysian adults (n 32 839) aged 18 years or above from the third National Health and Morbidity Survey. Total follow-up time was 153 814 person-years with 1035 deaths from all causes and 225 deaths from CVD. Underweight (BMIBMI ≥30·0 kg/m2) was associated with a heightened risk of CVD mortality. Overweight (BMI=25·0-29·9 kg/m2) was inversely associated with risk of all-cause mortality. Underweight was significantly associated with all-cause mortality in all models except for current smokers. Overweight was inversely associated with all-cause mortality in all participants. Although a positive trend was observed between BMI and CVD mortality in all participants, a significant association was observed only for severe obesity (BMI≥35·0 kg/m2). Underweight was associated with increased risk of all-cause mortality and obesity with increased risk of CVD mortality. Therefore, maintaining a normal BMI through leading an active lifestyle and healthy dietary habits should continue to be promoted.

  20. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  1. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  2. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  3. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  4. CVD diamond pixel detectors for LHC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  5. CVD diamond pixel detectors for LHC experiments

    International Nuclear Information System (INIS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described

  6. In vitro genotoxicity assessment of MTES, GPTES and TEOS, three precursors intended for use in food contact coatings.

    Science.gov (United States)

    Lionti, Krystelle; Séverin, Isabelle; Dahbi, Laurence; Toury, Bérangère; Chagnon, Marie-Christine

    2014-03-01

    Organoalkoxysilanes are precursors that are used increasingly in the synthesis of food contact coatings. To comply with the EU regulation, their potential toxicity must be assessed, and very little information is known. The genotoxicity of three common precursors was studied, namely, tetraethylorthosilicate (TEOS), methyltriethoxysilane (MTES) and 3-glycidyloxypropyltriethoxysilane (GPTES). By the Ames test, MTES and TEOS were not mutagenic for bacteria. A significant positive response was observed with GPTES in the TA100 and TA1535 strains. The mutagenic effect was more pronounced in the presence of the exogenous metabolic activation system with an increase of the induction factor (ten-fold higher for the TA1535 strain). In the micronucleus assay performed with a human hepatoma cell line (HepG2 cells), GPTES gave negative results even in the presence of an exogenous activation system. To ascertain the possibility of using this precursor in food contact material, its migration must be monitored according to the coating formulation because migration might result in hazardous human exposure. Copyright © 2014. Published by Elsevier Ltd.

  7. Prevalence of undiagnosed cardiovascular risk factors and 10-year CVD risk in male steel industry workers.

    Science.gov (United States)

    Gray, Benjamin J; Bracken, Richard M; Turner, Daniel; Morgan, Kerry; Mellalieu, Stephen D; Thomas, Michael; Williams, Sally P; Williams, Meurig; Rice, Sam; Stephens, Jeffrey W

    2014-05-01

    To assess the prevalence of undiagnosed cardiovascular disease (CVD) in a cohort of male steelworkers in South Wales, UK. Male steel industry workers (n = 221) with no prior diagnosis of CVD or diabetes accepted a CVD risk assessment within the work environment. Demographic, anthropometric, family, and medical histories were all recorded and capillary blood samples obtained. The 10-year CVD risk was predicted using the QRISK2-2012 algorithm. Up to 81.5% of workers were either overweight or obese. More than 20% of workers were found to have diastolic hypertension, high total cholesterol, and/or a total cholesterol/high-density lipoprotein ratio of six or more. Over one quarter of workers assessed had an increased 10-year CVD risk. Despite a physically demanding occupation, risk assessment in the workplace uncovered significant occult factors in CVD risk in a sample of male heavy industry workers.

  8. COMPARATIVE EVALUATION OF RISK FACTORS FOR CARDIOVASCULAR DISEASE (CVD) IN GENETICALLY PREDISPOSED RATS

    Science.gov (United States)

    Rodent CVD models are increasingly used for understanding individual differences in susceptibility to environmental stressors such as air pollution. We characterized pathologies and a number of known human risk factors of CVD in genetically predisposed, male young adult Spontaneo...

  9. CVD diamond pixel detectors for LHC experiments

    CERN Document Server

    Wedenig, R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Wagner, A; Walsh, A M; Weilhammer, Peter; White, C; Zeuner, W; Ziock, H J; Zöller, M

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described. (9 refs).

  10. Plasma clot lysis time and its association with cardiovascular risk factors in black Africans.

    Directory of Open Access Journals (Sweden)

    Zelda de Lange

    Full Text Available Studies in populations of European descent show longer plasma clot lysis times (CLT in patients with cardiovascular disease (CVD than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid urbanisation with increased CVD prevalence. We investigated associations between known CVD risk factors and CLT in black Africans and whether CLTs differ between rural and urban participants in light of differences in CVD risk.Data from 1000 rural and 1000 urban apparently healthy black South Africans (35-60 years were cross-sectionally analysed.Increased PAI-1(act, BMI, HbA1c, triglycerides, the metabolic syndrome, fibrinogen concentration, CRP, female sex and positive HIV status were associated with increased CLTs, while habitual alcohol consumption associated with decreased CLT. No differences in CLT were found between age and smoking categories, contraceptive use or hyper- and normotensive participants. Urban women had longer CLT than rural women while no differences were observed for men.CLT was associated with many known CVD risk factors in black Africans. Differences were however observed, compared to data from populations of European descent available in the literature, suggesting possible ethnic differences. The effect of urbanisation on CLT is influenced by traditional CVD risk factors and their prevalence in urban and rural communities.

  11. Plasma clot lysis time and its association with cardiovascular risk factors in black Africans.

    Science.gov (United States)

    de Lange, Zelda; Pieters, Marlien; Jerling, Johann C; Kruger, Annamarie; Rijken, Dingeman C

    2012-01-01

    Studies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid urbanisation with increased CVD prevalence. We investigated associations between known CVD risk factors and CLT in black Africans and whether CLTs differ between rural and urban participants in light of differences in CVD risk.Data from 1000 rural and 1000 urban apparently healthy black South Africans (35-60 years) were cross-sectionally analysed.Increased PAI-1(act), BMI, HbA1c, triglycerides, the metabolic syndrome, fibrinogen concentration, CRP, female sex and positive HIV status were associated with increased CLTs, while habitual alcohol consumption associated with decreased CLT. No differences in CLT were found between age and smoking categories, contraceptive use or hyper- and normotensive participants. Urban women had longer CLT than rural women while no differences were observed for men.CLT was associated with many known CVD risk factors in black Africans. Differences were however observed, compared to data from populations of European descent available in the literature, suggesting possible ethnic differences. The effect of urbanisation on CLT is influenced by traditional CVD risk factors and their prevalence in urban and rural communities.

  12. Large plasma density enhancements occurring in the northern polar region during the 6 April 2000 superstorm

    Science.gov (United States)

    Horvath, Ildiko; Lovell, Brian C.

    2014-06-01

    We focus on the ionospheric response of northern high-latitude region to the 6 April 2000 superstorm and aim to investigate how the storm-enhanced density (SED) plume plasma became distributed in the regions of auroral zone and polar cap plus to study the resultant ionospheric features and their development. Multi-instrument observational results combined with model-generated, two-cell convection maps permitted identifying the high-density plasma's origin and the underlying plasma transportation processes. Results show the plasma density feature of polar cap enhancement (PCE; 600 × 103 i+/cm3) appearing for 7 h during the main phase and characterized by increases reaching up to 6 times of the quiet time values. Meanwhile, strong westward convections ( 17,500 m/s) created low plasma densities in a wider region of the dusk cell. Oppositely, small ( 750 m/s) but rigorous westward drifts drove the SED plume plasma through the auroral zone, wherein plasma densities doubled. As the SED plume plasma traveled along the convection streamlines and entered the polar cap, a continuous enhancement of the tongue of ionization (TOI) developed under steady convection conditions. However, convection changes caused slow convections and flow stagnations and thus segmented the TOI feature by locally depleting the plasma in the affected regions of the auroral zone and polar cap. From the strong correspondence of polar cap potential drop and subauroral polarization stream (SAPS), we conclude that the SAPS E-field strength remained strong, and under its prolonged influence, the SED plume provided a continuous supply of downward flowing high-density plasma for the development and maintenance of PCEs.

  13. Particle Sampling and Real Time Size Distribution Measurement in H2/O2/TEOS Diffusion Flame

    International Nuclear Information System (INIS)

    Ahn, K.H.; Jung, C.H.; Choi, M.; Lee, J.S.

    2001-01-01

    Growth characteristics of silica particles have been studied experimentally using in situ particle sampling technique from H 2 /O 2 /Tetraethylorthosilicate (TEOS) diffusion flame with carefully devised sampling probe. The particle morphology and the size comparisons are made between the particles sampled by the local thermophoretic method from the inside of the flame and by the electrostatic collector sampling method after the dilution sampling probe. The Transmission Electron Microscope (TEM) image processed data of these two sampling techniques are compared with Scanning Mobility Particle Sizer (SMPS) measurement. TEM image analysis of two sampling methods showed a good agreement with SMPS measurement. The effects of flame conditions and TEOS flow rates on silica particle size distributions are also investigated using the new particle dilution sampling probe. It is found that the particle size distribution characteristics and morphology are mostly governed by the coagulation process and sintering process in the flame. As the flame temperature increases, the effect of coalescence or sintering becomes an important particle growth mechanism which reduces the coagulation process. However, if the flame temperature is not high enough to sinter the aggregated particles then the coagulation process is a dominant particle growth mechanism. In a certain flame condition a secondary particle formation is observed which results in a bimodal particle size distribution

  14. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  15. Role of plasma enhanced atomic layer deposition reactor wall conditions on radical and ion substrate fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Sowa, Mark J., E-mail: msowa@ultratech.com [Ultratech/Cambridge NanoTech, 130 Turner Street, Building 2, Waltham, Massachusetts 02453 (United States)

    2014-01-15

    Chamber wall conditions, such as wall temperature and film deposits, have long been known to influence plasma source performance on thin film processing equipment. Plasma physical characteristics depend on conductive/insulating properties of chamber walls. Radical fluxes depend on plasma characteristics as well as wall recombination rates, which can be wall material and temperature dependent. Variations in substrate delivery of plasma generated species (radicals, ions, etc.) impact the resulting etch or deposition process resulting in process drift. Plasma enhanced atomic layer deposition is known to depend strongly on substrate radical flux, but film properties can be influenced by other plasma generated phenomena, such as ion bombardment. In this paper, the chamber wall conditions on a plasma enhanced atomic layer deposition process are investigated. The downstream oxygen radical and ion fluxes from an inductively coupled plasma source are indirectly monitored in temperature controlled (25–190 °C) stainless steel and quartz reactors over a range of oxygen flow rates. Etch rates of a photoresist coated quartz crystal microbalance are used to study the oxygen radical flux dependence on reactor characteristics. Plasma density estimates from Langmuir probe ion saturation current measurements are used to study the ion flux dependence on reactor characteristics. Reactor temperature was not found to impact radical and ion fluxes substantially. Radical and ion fluxes were higher for quartz walls compared to stainless steel walls over all oxygen flow rates considered. The radical flux to ion flux ratio is likely to be a critical parameter for the deposition of consistent film properties. Reactor wall material, gas flow rate/pressure, and distance from the plasma source all impact the radical to ion flux ratio. These results indicate maintaining chamber wall conditions will be important for delivering consistent results from plasma enhanced atomic layer deposition

  16. Thermodynamic and experimental studies of the CVD of A-15 superconductors. I

    International Nuclear Information System (INIS)

    Madar, R.; Weiss, F.; Fruchart, R.; Bernard, C.

    1978-01-01

    This paper deals with the experimental and thermodynamic study of the chemical vapor deposition (CVD) synthesis of Nb 3 Ga layers on various metallic and insulating substrates using the coreduction of mixed halides by hydrogen. Thermodynamic equilibrium in the seven-component system Nb-Ga-H-Cl-Si-O-Ar has been calculated using the method of minimization of the system Gibbs free energy as a function of the variables directly available in the CVD system. The chosen variables were the chloride ratio, the reduction and dilution parameters and the temperature of the deposition zone. The equilibrium compositions were calculated for the two composition limits of the A-15 phase: NbGasub(0.15) and Nb 3 Ga. They are presented in the form of CVD phase diagrams. A CVD reactor has been set up and more than one hundred measurements have been made in order to check the validity of the equilibrium calculations. The comparisons between equilibrium and experimental results show a good agreement and lead to a better understanding of the chemistry and thermodynamics of the system. (Auth.)

  17. Brightness enhancement of plasma ion source by utilizing anode spot for nano applications

    Energy Technology Data Exchange (ETDEWEB)

    Park, Yeong-Shin; Lee, Yuna; Chung, Kyoung-Jae; Hwang, Y. S. [Department of Nuclear Engineering, Seoul National University, Seoul 151-742 (Korea, Republic of); Kim, Yoon-Jae [Samsung Electronics Co. Ltd., Gyeonggi 445-701 (Korea, Republic of); Park, Man-Jin [Research Institute of Nano Manufacturing System, Seoul National University of Science and Technology, Seoul 139-743 (Korea, Republic of); Moon, Dae Won [Nanobio Fusion Research Center, Korea Research Institute of Standards and Science, Daejeon 305-600 (Korea, Republic of)

    2012-02-15

    Anode spots are known as additional discharges on positively biased electrode immersed in plasmas. The anode spot plasma ion source (ASPIS) has been investigated as a high brightness ion source for nano applications such as focused ion beam (FIB) and nano medium energy ion scattering (nano-MEIS). The generation of anode spot is found to enhance brightness of ion beam since the anode spot increases plasma density near the extraction aperture. Brightness of the ASPIS has been estimated from measurement of emittance for total ion beam extracted through sub-mm aperture. The ASPIS is installed to the FIB system. Currents and diameters of the focused beams with/without anode spot are measured and compared. As the anode spot is turned on, the enhancement of beam current is observed at fixed diameter of the focused ion beam. Consequently, the brightness of the focused ion beam is enhanced as well. For argon ion beam, the maximum normalized brightness of 12 300 A/m{sup 2} SrV is acquired. The ASPIS is applied to nano-MEIS as well. The ASPIS is found to increase the beam current density and the power efficiency of the ion source for nano-MEIS. From the present study, it is shown that the ASPIS can enhance the performance of devices for nano applications.

  18. Mass production of CNTs using CVD multi-quartz tubes

    Energy Technology Data Exchange (ETDEWEB)

    Yousef, Samy; Mohamed, Alaa [Dept. of Production Engineering and Printing Technology, Akhbar Elyom Academy, Giza (Egypt)

    2016-11-15

    Carbon nanotubes (CNTs) have become the backbone of modern industries, including lightweight and heavy-duty industrial applications. Chemical vapor deposition (CVD) is considered as the most common method used to synthesize high yield CNTs. This work aims to develop the traditional CVD for the mass production of more economical CNTs, meeting the growing CNT demands among consumers by increasing the number of three particular reactors. All reactors housing is connected by small channels to provide the heat exchange possibility between the chambers, thereby decreasing synthesis time and reducing heat losses inside the ceramic body of the furnace. The novel design is simple and cheap with a lower reacting time and heat loss compared with the traditional CVD design. Methane, hydrogen, argon, and catalyzed iron nanoparticles were used as a carbon source and catalyst during the synthesis process. In addition, CNTs were produced using only a single quartz tube for comparison. The produced samples were examined using XRD, TEM, SEM, FTIR, and TGA. The results showed that the yield of CNTs increases by 287 % compared with those synthesized with a single quartz tube. Moreover, the total synthesis time of CNTs decreases by 37 % because of decreased heat leakage.

  19. Investigation of CVD graphene topography and surface electrical properties

    International Nuclear Information System (INIS)

    Wang, Rui; Pearce, Ruth; Gallop, John; Patel, Trupti; Pollard, Andrew; Hao, Ling; Zhao, Fang; Jackman, Richard; Klein, Norbert; Zurutuza, Amaia

    2016-01-01

    Combining scanning probe microscopy techniques to characterize samples of graphene, a selfsupporting, single atomic layer hexagonal lattice of carbon atoms, provides far more information than a single technique can. Here we focus on graphene grown by chemical vapour deposition (CVD), grown by passing carbon containing gas over heated copper, which catalyses single atomic layer growth of graphene on its surface. To be useful for applications the graphene must be transferred onto other substrates. Following transfer it is important to characterize the CVD graphene. We combine atomic force microscopy (AFM) and scanning Kelvin probe microscopy (SKPM) to reveal several properties of the transferred film. AFM alone provides topographic information, showing ‘wrinkles’ where the transfer provided incomplete substrate attachment. SKPM measures the surface potential indicating regions with different electronic properties for example graphene layer number. By combining AFM and SKPM local defects and impurities can also be observed. Finally, Raman spectroscopy can confirm the structural properties of the graphene films, such as the number of layers and level of disorder, by observing the peaks present. We report example data on a number of CVD samples from different sources. (paper)

  20. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: The rotterdam ischemic heart disease and stroke computer simulation (RISC) model

    NARCIS (Netherlands)

    B.J.H. van Kempen (Bob); B.S. Ferket (Bart); A. Hofman (Albert); E.W. Steyerberg (Ewout); E.B. Colkesen (Ersen); S.M. Boekholdt (Matthijs); N.J. Wareham (Nick); K-T. Khaw (Kay-Tee); M.G.M. Hunink (Myriam)

    2012-01-01

    textabstractBackground: We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established.Methods: The Rotterdam Ischemic

  1. Model of enhanced energy deposition in a Z-pinch plasma

    International Nuclear Information System (INIS)

    Velikovich, A. L.; Davis, J.; Thornhill, J. W.; Giuliani, J. L. Jr.; Rudakov, L. I.; Deeney, C.

    2000-01-01

    In numerous experiments, magnetic energy coupled to strongly radiating Z-pinch plasmas exceeds the thermalized kinetic energy, sometimes by a factor of 2-3. An analytical model describing this additional energy deposition based on the concept of macroscopic magnetohydrodynamic (MHD) turbulent pinch heating proposed by Rudakov and Sudan [Phys. Reports 283, 253 (1997)] is presented. The pinch plasma is modeled as a foam-like medium saturated with toroidal ''magnetic bubbles'' produced by the development of surface m=0 Rayleigh-Taylor and MHD instabilities. As the bubbles converge to the pinch axis, their magnetic energy is converted to thermal energy of the plasma through pdV work. Explicit formulas for the average dissipation rate of this process and the corresponding contribution to the resistance of the load, which compare favorably to the experimental data and simulation results, are presented. The possibility of using this enhanced (relative to Ohmic heating) dissipation mechanism to power novel plasma radiation sources and produce high K-shell yields using long current rise time machines is discussed. (c) 2000 American Institute of Physics

  2. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  3. Predictive properties of plasma amino acid profile for cardiovascular disease in patients with type 2 diabetes.

    Directory of Open Access Journals (Sweden)

    Shinji Kume

    Full Text Available Prevention of cardiovascular disease (CVD is an important therapeutic object of diabetes care. This study assessed whether an index based on plasma free amino acid (PFAA profiles could predict the onset of CVD in diabetic patients. The baseline concentrations of 31 PFAAs were measured with high-performance liquid chromatography-electrospray ionization-mass spectrometry in 385 Japanese patients with type 2 diabetes registered in 2001 for our prospective observational follow-up study. During 10 years of follow-up, 63 patients developed cardiovascular composite endpoints (myocardial infarction, angina pectoris, worsening of heart failure and stroke. Using the PFAA profiles and clinical information, an index (CVD-AI consisting of six amino acids to predict the onset of any endpoints was retrospectively constructed. CVD-AI levels were significantly higher in patients who did than did not develop CVD. The area under the receiver-operator characteristic curve of CVD-AI (0.72 [95% confidence interval (CI: 0.64-0.79] showed equal or slightly better discriminatory capacity than urinary albumin excretion rate (0.69 [95% CI: 0.62-0.77] on predicting endpoints. A multivariate Cox proportional hazards regression analysis showed that the high level of CVD-AI was identified as an independent risk factor for CVD (adjusted hazard ratio: 2.86 [95% CI: 1.57-5.19]. This predictive effect of CVD-AI was observed even in patients with normoalbuminuria, as well as those with albuminuria. In conclusion, these results suggest that CVD-AI based on PFAA profiles is useful for identifying diabetic patients at risk for CVD regardless of the degree of albuminuria, or for improving the discriminative capability by combining it with albuminuria.

  4. Predictive Properties of Plasma Amino Acid Profile for Cardiovascular Disease in Patients with Type 2 Diabetes

    Science.gov (United States)

    Kume, Shinji; Araki, Shin-ichi; Ono, Nobukazu; Shinhara, Atsuko; Muramatsu, Takahiko; Araki, Hisazumi; Isshiki, Keiji; Nakamura, Kazuki; Miyano, Hiroshi; Koya, Daisuke; Haneda, Masakazu; Ugi, Satoshi; Kawai, Hiromichi; Kashiwagi, Atsunori; Uzu, Takashi; Maegawa, Hiroshi

    2014-01-01

    Prevention of cardiovascular disease (CVD) is an important therapeutic object of diabetes care. This study assessed whether an index based on plasma free amino acid (PFAA) profiles could predict the onset of CVD in diabetic patients. The baseline concentrations of 31 PFAAs were measured with high-performance liquid chromatography-electrospray ionization-mass spectrometry in 385 Japanese patients with type 2 diabetes registered in 2001 for our prospective observational follow-up study. During 10 years of follow-up, 63 patients developed cardiovascular composite endpoints (myocardial infarction, angina pectoris, worsening of heart failure and stroke). Using the PFAA profiles and clinical information, an index (CVD-AI) consisting of six amino acids to predict the onset of any endpoints was retrospectively constructed. CVD-AI levels were significantly higher in patients who did than did not develop CVD. The area under the receiver-operator characteristic curve of CVD-AI (0.72 [95% confidence interval (CI): 0.64–0.79]) showed equal or slightly better discriminatory capacity than urinary albumin excretion rate (0.69 [95% CI: 0.62–0.77]) on predicting endpoints. A multivariate Cox proportional hazards regression analysis showed that the high level of CVD-AI was identified as an independent risk factor for CVD (adjusted hazard ratio: 2.86 [95% CI: 1.57–5.19]). This predictive effect of CVD-AI was observed even in patients with normoalbuminuria, as well as those with albuminuria. In conclusion, these results suggest that CVD-AI based on PFAA profiles is useful for identifying diabetic patients at risk for CVD regardless of the degree of albuminuria, or for improving the discriminative capability by combining it with albuminuria. PMID:24971671

  5. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weimin [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Zhou, Xiaoyan, E-mail: zhouxiaoyan@njfu.edu.cn [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Zhang, Xiaotao [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Bian, Jie [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China); Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi [College of Materials Science and Engineering, Nanjing Forestry University, Nanjing 210037 (China); Jiangsu Engineering Research Center of Fast-growing Trees and Agri-fiber Materials, Nanjing 210037 (China); Wan, Jinglin [Nanjing Suman Plasma Technology Co., Ltd, Enterprise of Graduate Research Station of Jiangsu Province, No. 3 Youyihe Road, Nanjing 210001 (China)

    2017-06-15

    Highlights: • Plasma working under low pressure is easy to realize industrialization. • Enhancing process finished within 75 s. • Plasma treatment leads to the increase in equilibrium contact angle by 330%. • Tinfoil film with simple chemical structure was used to reveal the mechanism. - Abstract: The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Si−O−C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  6. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    Science.gov (United States)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  7. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    International Nuclear Information System (INIS)

    Chen, Z; Yin, C; Wang, S; Fu, Q M; Deng, Q R; Fu, P; Lin, Z D; Zhang, Y; Ito, K

    2017-01-01

    A polysulfone/TiO 2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO 2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result. (paper)

  8. Plasmon-Induced Plasma Spectroscopy

    Science.gov (United States)

    2016-11-10

    is, no new vibrational bands are observed when MB is adsorbed on non- plasmonic surfaces (e.g. glass , platinum, aluminum and gold) and excited with...e.g., TeO2 that we have observed at ∼576.0 eV for samples exposed to air for longer times) are completely absent, and therefore none of the spectral...freshly prepared LB films for which signatures of TeO2 are absent in the XPS spectra. On the other hand, significant blue-shift and spectral broadening

  9. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  10. Movers and stayers: The geography of residential mobility and CVD hospitalisations in Auckland, New Zealand.

    Science.gov (United States)

    Exeter, Daniel J; Sabel, Clive E; Hanham, Grant; Lee, Arier C; Wells, Susan

    2015-05-01

    The association between area-level disadvantage and health and social outcomes is unequivocal. However, less is known about the health impact of residential mobility, particularly at intra-urban scales. We used an encrypted National Health Index (eNHI) number to link individual-level data recorded in routine national health databases to construct a cohort of 641,532 participants aged 30+ years to investigate the association between moving and CVD hospitalisations in Auckland, New Zealand. Residential mobility was measured for participants according to changes in the census Meshblock of usual residence, obtained from the Primary Health Organisation (PHO) database for every calendar quarter between 1/1/2006 and 31/12/2012. The NZDep2006 area deprivation score at the start and end of a participant's inclusion in the study was used to measure deprivation mobility. We investigated the relative risk of movers being hospitalised for CVD relative to stayers using multi-variable binomial regression models, controlling for age, gender, deprivation and ethnicity. Considered together, movers were 1.22 (1.19-1.26) times more likely than stayers to be hospitalised for CVD. Using the 5×5 deprivation origin-destination matrix to model a patient's risk of CVD based on upward, downward or sideways deprivation mobility, movers within the least deprived (NZDep2006 Quintile 1) areas were 10% less likely than stayers to be hospitalised for CVD, while movers within the most deprived (NZDep2006 Q5) areas were 45% more likely than stayers to have had their first CVD hospitalisation in 2006-2012 (RR: 1.45 [1.35-1.55]). Participants who moved upward also had higher relative risks of having a CVD event, although their risk was less than those observed for participants experiencing downward deprivation mobility. This research suggests that residential mobility is an important determinant of CVD in Auckland. Further investigation is required to determine the impact moving has on the risk of

  11. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  12. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  13. Enhancement of the neutral-beam stopping cross section in fusion plasmas due to multistep collision processes

    International Nuclear Information System (INIS)

    Boley, C.D.; Janev, R.K.; Post, D.E.

    1983-10-01

    Multistep processes involving excited atomic states are found to produce a substantial increase in the stopping cross section for a neutral hydrogen beam injected into a plasma, and thus to reduce the beam penetration. For typical plasma and beam parameters of current large tokamak experiments, the stopping cross-sectional enhancement is found to vary from 25% to 50% depending on the beam energy, plasma density, and impurity level. For neutral hydrogen beams with energies greater than or equal to 500 keV, envisioned in tokamak amd mirror reactor designs, the enhancement can be as large as 80 to 90%

  14. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model

    NARCIS (Netherlands)

    van Kempen, Bob J. H.; Ferket, Bart S.; Hofman, Albert; Steyerberg, Ewout W.; Colkesen, Ersen B.; Boekholdt, S. Matthijs; Wareham, Nicholas J.; Khaw, Kay-Tee; Hunink, M. G. Myriam

    2012-01-01

    Background: We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods: The Rotterdam Ischemic Heart Disease

  15. Adhesion enhancement of Al coatings on carbon/epoxy composite surfaces by atmospheric plasma

    International Nuclear Information System (INIS)

    Coulon, J.F.; Tournerie, N.; Maillard, H.

    2013-01-01

    Adhesion strengths between aluminium thin film coatings and manufactured carbon/epoxy composite surfaces were measured by assessing fracture tensile strengths using pull-off tests. The effect of the substrate roughness (nm to μm) of these composite surfaces on adhesion was studied by examining the surface free energies and adhesion strengths. The adhesion strengths of the coatings varied significantly. To improve the coating adhesion, each composite surface was treated with atmospheric plasma prior to deposition, which resulted in an increase in the surface free energy from approximately 40 mJ/m 2 to 70 mJ/m 2 because the plasma pretreatment led to the formation of hydrophilic C-O and C=O bonds on the composite surfaces, as demonstrated by X-ray photoelectron spectroscopy analyses. The adhesion strengths of the coatings were enhanced for all surface roughnesses studied. In our study, the effect of mechanical adhesion due to roughness was separated from the effect of modifying the chemical bonds with plasma activation. The adhesion ability of the pure resin was relatively weak. Increasing the surface roughness largely improved the adhesion of the resin surface. Plasma treatment of the pure resin also increased the surface adhesion. Our study shows that plasma activation effectively enhances the adhesion of manufactured composites, even when the surface roughness is on the order of microns. The ageing of the surface activation was also investigated, and the results demonstrate that atmospheric plasma has potential for use in the pretreatment of composite materials.

  16. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  17. Enhanced coagulation activation in preeclampsia: the role of APC resistance, microparticles and other plasma constituents

    NARCIS (Netherlands)

    VanWijk, Marja J.; Boer, Kees; Berckmans, René J.; Meijers, Joost C. M.; van der Post, Joris A. M.; Sturk, Augueste; VanBavel, Ed; Nieuwland, Rienk

    2002-01-01

    Coagulation activation in pregnancy is further enhanced in preeclampsia. We investigated whether this results from increased thrombin generation by the plasma itself or its cell-derived microparticles. Plasma samples were obtained from preeclamptic, normal pregnant and nonpregnant women (each n =

  18. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  19. Performance of irradiated CVD diamond micro-strip sensors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15 /cm 2 ) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10 15 p/cm 2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10 15 π/cm 2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations

  20. Performance of irradiated CVD diamond micro-strip sensors

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  1. Structure and properties of TeO2-WO3 system glasses

    International Nuclear Information System (INIS)

    Kolobkov, V.P.; Ovcharenko, N.V.; Morozova, I.N.; Chebotarev, S.A.; Chikovskij, A.N.; Arkatova, T.G.

    1987-01-01

    Study of TeO 2 -WO 3 system is of interest for production of high-refractive-glasses with comparatively low crystallizability. Results of investigating some properties and structural features of this system glasses are presented. Composition and properties of studied glasses are presented. The properties were studied using the following techniques: the density was measured by hydrostatic weighing in toluene; thermal expansion coefficient was measured in quartz dilatometer DKV-5A; dilatometric temperature of glass softening (T g ) was defined as an intersection point of linear and curved parts of the plot of thermal expansion coefficient; refractive index (RI) - by immersion method; dielectric properties are measured. Consideration of vibronic spectra permits to conclude that in tungsten-tellurium glasses rare earth activator ions are arranged near tellurite and tungstate groupings proportional to glass-forming component content

  2. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  3. Flebotomíneos de Timóteo, Estado de Minas Gerais, Brasil (Diptera: Psychodidae Sand flies in Timóteo, Minas Gerais, Brazil (Diptera: Psychodidae

    Directory of Open Access Journals (Sweden)

    José Dilermando Andrade Filho

    1997-10-01

    Full Text Available Casos esporádicos de leishmaniose tegumentar têm ocorrido no Município de Timóteo, Minas Gerais, basicamente na população rural. Para conhecer a fauna de flebotomíneos da região, foram instaladas sete armadilhas luminosas de New Jersey na cidade, em sete diferentes bairros. As coletas foram realizadas no período de junho a outubro de 1994, dezembro de 1994 e janeiro a março de 1995, com um total de 3.240 horas por armadilha. Foram capturados 4.396 flebotomíneos, distribuídos em dois gêneros e vinte espécies: Brumptomyia cunhai, Brumptomyia nitzulescui, Lutzomyia (Nyssomyia whitmani, Lutzomyia (Nyssomyia intermedia, Lutzomyia quinquefer, Lutzomyia lenti, Lutzomyia (Pintomyia fischeri, Lutzomyia migonei, Lutzomyia sallesi, Lutzomyia termitophila, Lutzomyia aragaoi, Lutzomyia borgmeieri, Lutzomyia (Psathyromyia lutziana, Lutzomyia (Sciopemyia sordellii, Lutzomyia (Pintomyia pessoai, Lutzomyia (Trichopygomyia longispina, Lutzomyia misionensis, Lutzomyia (Psychodopygus davisi, Lutzomyia lanei, Lutzomyia (Pressatia sp. A espécie L. (N. whitmani foi a mais freqüente com 52,12%, seguida de L. (N. intermedia com 34,10%, e ambas podem estar participando da transmissão de leishmaniose cutânea na região.Sporadic cases of tegumentary leishmaniasis have occurred in Timóteo, Minas Gerais State, basically among the rural population. In order to study the region's sand fly population, New Jersey light traps were set in seven different neighborhoods. Specimens were gathered from June through October 1994, December 1994, and January through March 1995, with a total of 3,240 hours per trap. A total of 4,396 sand flies were captured, distributed among two genera and twenty species: Brumptomyia cunhai, Brumptomyia nitzulescui, Lutzomyia (Nyssomyia whitmani, Lutzomyia (Nyssomyia intermedia, Lutzomyia quinquefer, Lutzomyia lenti, Lutzomyia (Pintomyia fischeri, Lutzomyia migonei, Lutzomyia sallesi, Lutzomyia termitophila, Lutzomyia aragaoi, Lutzomyia

  4. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  5. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  6. Coffee consumption is not associated with prevalent subclinical cardiovascular disease (CVD) or the risk of CVD events, in nonalcoholic fatty liver disease: results from the multi-ethnic study of atherosclerosis.

    Science.gov (United States)

    Simon, Tracey G; Trejo, Maria Esther Perez; Zeb, Irfan; Frazier-Wood, Alexis C; McClelland, Robyn L; Chung, Raymond T; Budoff, Matthew J

    2017-10-01

    Atherosclerosis and its clinical sequelae represent the leading cause of mortality among patients with nonalcoholic fatty liver disease (NAFLD). While epidemiologic data support the hepatoprotective benefits of coffee in NAFLD, whether coffee improves NAFLD-associated CVD risk is unknown. We examined 3710 ethnically-diverse participants from the Multi-Ethnic Study of Atherosclerosis (MESA) cohort, without history of known liver disease, and with available coffee data from a validated 120-item food frequency questionnaire. All participants underwent baseline non-contrast cardiac CT from which NAFLD was defined by liver:spleen ratio (L:S0. Major CVD events were defined by the first occurrence of myocardial infarction, cardiac arrest, angina, stroke, or CVD death. We used log-binomial regression to calculate the adjusted prevalence ratio (PR) for CAC>0 by coffee intake and NAFLD status, and events were compared between groups using frequency of events within adjusted Cox proportional hazard regression models. Seventeen percent (N=637) of participants met criteria for NAFLD. NAFLD participants were more likely to have elevated BMI (mean 31.1±5.5kg/m 2 vs. 28.0±5.2kg/m 2 , pcoffee consumption (p=0.97). Among NAFLD participants, coffee consumption was not associated with prevalent, baseline CAC>0 (PR=1.02 [0.98-1.07]). Over 12.8years of follow-up, 93 NAFLD and 415 non-NAFLD participants experienced a CV event. However, coffee intake was not associated with incident CVD events, in either NAFLD (HR=1.05 [0.91-1.21]) or non-NAFLD participants (HR=1.03 [0.97-1.11]). In a large, population-based cohort, coffee consumption was not associated with the prevalence of subclinical CVD, nor did coffee impact the future risk of major CVD events, regardless of underlying NAFLD status. Copyright © 2017 Elsevier Inc. All rights reserved.

  7. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  8. Higher plasma soluble Receptor for Advanced Glycation End Products (sRAGE) levels are associated with incident cardiovascular disease and all-cause mortality in type 1 diabetes

    DEFF Research Database (Denmark)

    Nin, Johanna W M; Jorsal, Anders; Ferreira, Isabel

    2010-01-01

    To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal dysfunct......To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal...

  9. Enhanced Detection of Human Plasma Proteins on Nanostructured Silver Surfaces

    Directory of Open Access Journals (Sweden)

    Zuzana Orságová Králová

    2013-08-01

    enhancement factor of 3.6×102 was achieved for a band with a Raman shift of 2104cm‐1 for globulin deposited onto silver nanostructured film on unpolished stainless steel substrate. The detection limit was 400g/mL. Plasma or serum could present a preferable material for non‐ invasive cancer disease diagnosis using the SERS method.

  10. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  11. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  12. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  13. Plasma position and current control system enhancements for the JET ITER-like wall

    Energy Technology Data Exchange (ETDEWEB)

    De Tommasi, G. [Associazione EURATOM-ENEA-CREATE, Univ. di Napoli Federico II, Via Claudio 21, 80125 Napoli (Italy); Maviglia, F. [Associazione EURATOM-ENEA-CREATE, Via Claudio 21, 80125 Napoli (Italy); Neto, A.C. [Ass. EURATOM-IST, Instituto de Plasmas e Fusão Nuclear, IST, 1049-001 Lisboa (Portugal); Lomas, P.J.; McCullen, P.; Rimini, F.G. [Euratom-CCFE, Culham Science Centre, OX14 3DB Abingdon (United Kingdom)

    2014-03-15

    Highlights: • JET plasma position and current control system enhanced for the JET ITER like wall. • Vertical stabilization system enhanced to speed up its response and to withstand larger perturbations. • Improved termination management system. • Implementation of the current limit avoidance system. • Implementation of PFX-on-early-task. - Abstract: The upgrade of Joint European Torus (JET) to a new all-metal wall, the so-called ITER-like wall (ILW), has posed a set of new challenges regarding both machine operation and protection. The plasma position and current control (PPCC) system plays a crucial role in minimizing the possibility that the plasma could permanently damage the ILW. The installation of the ILW has driven a number of upgrades of the two PPCC components, namely the Vertical Stabilization (VS) system and the Shape Controller (SC). The VS system has been enhanced in order to speed up its response and to withstand larger perturbations. The SC upgrade includes three new features: an improved termination management system, the current limit avoidance system, and the PFX-on-early-task. This paper describes the PPCC upgrades listed above, focusing on the implementation issues and on the experimental results achieved during the 2011–12 JET experimental campaigns.

  14. On the enhancement of pervaporation properties of plasma-deposited hybrid silica membranes

    Energy Technology Data Exchange (ETDEWEB)

    Ngamou, P.H.T.; Creatore, M. [Department of Applied Physics, Eindhoven University of Technology, 5600 MB Eindhoven (Netherlands); Overbeek, J.P.; Kreiter, R.; Van Veen, H.M.; Vente, J.F. [ECN, Energy research Centre of the Netherlands, Petten (Netherlands); Cuperus, P.F. [SolSep BV, Apeldoorn (Netherlands)

    2013-06-24

    The separation performance of a polymeric-supported hybrid silica membrane in the dehydration process of a butanol-water mixture at 95C has been enhanced by applying a bias to the substrate during the plasma deposition.

  15. Performance of irradiated CVD diamond micro-strip sensors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B

    2002-01-11

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a {beta}-source and the performance before and after intense (>10{sup 15}/cm{sup 2}) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10{sup 15} p/cm{sup 2} lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10{sup 15} {pi}/cm{sup 2} lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  16. Performance of irradiated CVD diamond micro-strip sensors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a $\\beta$-source and the performance before and after intense ($>10^{15}/{\\rm cm^2}$) proton- and pion-irradiations. We find that low dose irradiations increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiations with protons ($2.2\\times 10^{15}~p/{\\rm cm^2}$) lowers the signal-to-noise ratio slightly. Intense irradiation with pions ($2.9\\times 10^{15}~\\pi/{\\rm cm^2}$) lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  17. Synthesis of AlN fine particles by surface corona discharge-CVD; Enmen corona hoden CVD ni yoru AlN biryushi no gosei

    Energy Technology Data Exchange (ETDEWEB)

    Oyama, Y.; Chiba, S. [Hokkaido National Industrial Research Institute, Sapporo (Japan); Harima, K> ; Kondo, K.; Shinohara, K. [Hokkaido University, Sapporo (Japan)

    1994-09-15

    With an objective to improve insulating and heat dissipating substrates substituting for the conventional alumina substrates, discussions been given on synthesis of AlN fine particles by means of gaseous phase reaction between AlCl3 and NH3 using surface corona discharge as a reaction exciting source. AIN particles should be highly pure to acquire high-heat conductivity, and fine and uniform particles to obtain dense sinters at low temperatures. The particles obtained by using the present method were amorphous particles having nearly spherical form and smooth surface. The particle diameter depends on the initial concentration of AlCl3, and is proportional to 0.4 square of the concentration. Within the range in the present experiment, the diameters ranged from 208 nm to 431 nm. The particle diameter increased in proportion to 0.2 square of an average gas stagnating time within the plasma generating region. The particle size distribution consisted of highly uniform fine particles having the standard deviation at about the same degree as that in the conventional thermal CVD process. The alumina-based oxygen was removed completely by reduction due to graphite powder, but the re-oxidation during removal of the remaining graphite using combustion had oxygen remained at 7.4% by weight. 16 refs., 7 figs.

  18. Morphology of Diamond Layers Grown on Different Facets of Single Crystal Diamond Substrates by a Microwave Plasma CVD in CH4-H2-N2 Gas Mixtures

    Directory of Open Access Journals (Sweden)

    Evgeny E. Ashkinazi

    2017-06-01

    Full Text Available Epitaxial growth of diamond films on different facets of synthetic IIa-type single crystal (SC high-pressure high temperature (HPHT diamond substrate by a microwave plasma CVD in CH4-H2-N2 gas mixture with the high concentration (4% of nitrogen is studied. A beveled SC diamond embraced with low-index {100}, {110}, {111}, {211}, and {311} faces was used as the substrate. Only the {100} face is found to sustain homoepitaxial growth at the present experimental parameters, while nanocrystalline diamond (NCD films are produced on other planes. This observation is important for the choice of appropriate growth parameters, in particular, for the production of bi-layer or multilayer NCD-on-microcrystalline diamond (MCD superhard coatings on tools when the deposition of continuous conformal NCD film on all facet is required. The development of the film morphology with growth time is examined with SEM. The structure of hillocks, with or without polycrystalline aggregates, that appear on {100} face is analyzed, and the stress field (up to 0.4 GPa within the hillocks is evaluated based on high-resolution mapping of photoluminescence spectra of nitrogen-vacancy NV optical centers in the film.

  19. Plasma-enhanced chemical vapor deposition of graphene on copper substrates

    Directory of Open Access Journals (Sweden)

    Nicolas Woehrl

    2014-04-01

    Full Text Available A plasma enhanced vapor deposition process is used to synthesize graphene from a hydrogen/methane gas mixture on copper samples. The graphene samples were transferred onto SiO2 substrates and characterized by Raman spectroscopic mapping and atomic force microscope topographical mapping. Analysis of the Raman bands shows that the deposited graphene is clearly SLG and that the sheets are deposited on large areas of several mm2. The defect density in the graphene sheets is calculated using Raman measurements and the influence of the process pressure on the defect density is measured. Furthermore the origin of these defects is discussed with respect to the process parameters and hence the plasma environment.

  20. Spectroscopic and optical properties of the VO2+ ion doped TeO2-TiO2-ZnO-Nb2O5 glass system

    Science.gov (United States)

    Swapna; Upender, G.; Sreenivasulu, V.; Prasad, M.

    2016-04-01

    Studies such as optical absorption, Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, Electron paramagnetic resonance (EPR) spectroscopy and Differential scanning calorimetry (DSC) were carried out on VO2+ ion doped TeO2-TiO2-ZnO-Nb2O5 glass system. Raman and FTIR spectra of the glasses revealed the presence of [TeO3], [TeO4] and [NbO6] structural units in the glass network. The Urbach energy (Δ E), cut-off wavelength (λ c ), optical band gap ( E opt ), optical basicity (Λ) and electron polarizability ( α) of the glasses were determined from optical absorption studies. The density ( ρ), molar volume ( V m ), oxygen molar volume ( V o ) and refractive index ( n) were also measured. Spin-Hamiltonian parameters were calculated from the EPR studies. When Nb2O5 was increased at the expense of ZnO, the density, optical band gap and Urbach energy of the glasses increased, and the electronic polarizability and optical basicity decreased. The EPR spectra clearly showed that vanadium was in the glass as VO2+ and occupied octahedral sites with tetrahedral compression. Spin-Hamiltonian parameters g‖ and g⊥ decreased as Nb2O5 content increased in the glass. The glass transition temperature ( T g ) also increased with increasing Nb2O5 content in the glass.

  1. The inverse association of incident cardiovascular disease with plasma bilirubin is unaffected by adiponectin

    NARCIS (Netherlands)

    Dullaart, Robin P. F.; Boersema, Jeltje; Lefrandt, Joop D.; Wolffenbuttel, Bruce H. R.; Bakker, Stephan J. L.

    Objective: Bilirubin may protect against atherosclerotic cardiovascular disease (CVD). The heme oxygenase pathway is crucial for bilirubin generation, and is stimulated by adiponectin. We tested the relationship of plasma bilirubin with adiponectin, and determined whether the association of incident

  2. Metallo–organic compound-based plasma enhanced CVD of ZrO2 ...

    Indian Academy of Sciences (India)

    Unknown

    require a passivation barrier (oxynitride or nitride) to prevent interfacial layer growth (Ngai et al 2000). Zirconium dioxide (ZrO2) is one of the few high-k dielectrics predicted to be thermodynamically stable in contact with silicon (Qi et al 1999). ZrO2 was also characterized for low electrical conductivity and chemical inertness ...

  3. Improvements in 130Te double beta decay search with cryogenic TeO2 array detectors

    International Nuclear Information System (INIS)

    Alessandrello, A.; Brofferio, C.; Bucci, C.; Caspani, P.; Cremonesi, O.; Fiorini, E.; Giuliani, A.; Nucciotti, A.; Pavan, M.; Pessina, G.; Previtali, E.; Zanotti, L.

    1996-01-01

    Single crystal TeO 2 bolometers have been used since 5 years ago to search for neutrinoless DBD of 130 Te. During the last year, our group has been studying and preparing the first array of 4 crystals, 340 g each, opening this technique to new frontiers in rare events' physics. The results and perspectives of this second generation cryogenic detectors are here reported and discussed, with particular emphasis on the peculiarities which make them feasible for a consistent upgrading of our previous result in DBD search. (orig.)

  4. Bingham viscosity and yield stress of molten (TeO2)0.78(WO3)0.22 glass

    International Nuclear Information System (INIS)

    Churbanov, M.F.; Snopatin, G.E.; Shaposhnikov, R.M.; Shabarov, V.V.; Plotnichenko, V.G.

    2007-01-01

    The flow of molten (TeO 2 ) 0.78 (WO 3 ) 0.22 glass in a circular-cylindrical channel has been studied at temperatures from 390 to 430 deg C. The variation of the measured volumetric flow rate with the gas pressure over the melt attests to non-Newtonian flow behavior. The flow rates calculated in the pseudo plastic model were used to determine the yield stress and plastic (Bingham) viscosity of the melt [ru

  5. Enhancing the performance of dye-sensitized solar cells by incorporating nanosilicate platelets in gel electrolyte

    KAUST Repository

    Lai, Yi-Hsuan; Chiu, Chih-Wei; Chen, Jian-Ging; Wang, Chun-Chieh; Lin, Jiang-Jen; Lin, King-Fu; Ho, Kuo-Chuan

    2009-01-01

    Two kinds of gel-type dye-sensitized solar cells (DSSCs), composed of two types of electrolytes, were constructed and the respective cell performance was evaluated in this study. One electrolyte, TEOS-Triton X-100 gel, was based on a hybrid organic/inorganic gel electrolyte made by the sol-gel method and the other was based on poly(vinyidene fluoride-co-hexafluoro propylene) (PVDF-HFP) copolymer. TEOS-Triton X-100 gel was based on the reticulate structure of silica, formed by hydrolysis, and condensation of tetraethoxysilane (TEOS), while its organic subphase was a mixture of surfactant (Triton X-100) and ionic liquid electrolytes. Both DSSC gel-type electrolytes were composed of iodine, 1-propy-3-methyl-imidazolium iodide, and 3-methoxypropionitrile to create the redox couple of I3 -/I-. Based on the results obtained from the I-V characteristics, it was found that the optimal iodine concentrations for the TEOS-Triton X-100 gel electrolyte and PVDF-HFP gel electrolyte are 0.05 M and 0.1 M, respectively. Although the increase in the iodine concentration could enhance the short-circuit current density (JSC), a further increase in the iodine concentration would reduce the JSC due to increased dark current. Therefore, the concentration of I2 is a significant factor in determining the performance of DSSCs. In order to enhance cell performance, the addition of nanosilicate platelets (NSPs) in the above-mentioned gel electrolytes was investigated. By incorporating NSP-Triton X-100 into the electrolytes, the JSC of the cells increased due to the decrease of diffusion resistance, while the open circuit voltage (VOC) remained almost the same. As the loading of the NSP-Triton X-100 in the TEOS-Triton X-100 gel electrolyte increased to 0.5 wt%, the JSC and the conversion efficiency increased from 8.5 to 12 mA/cm2 and from 3.6% to 4.7%, respectively. However, the JSC decreased as the loading of NSP-Triton X-100 exceeded 0.5 wt%. At higher NSP-Triton X-100 loading, NSPs acted as

  6. Enhancing the performance of dye-sensitized solar cells by incorporating nanosilicate platelets in gel electrolyte

    KAUST Repository

    Lai, Yi-Hsuan

    2009-10-01

    Two kinds of gel-type dye-sensitized solar cells (DSSCs), composed of two types of electrolytes, were constructed and the respective cell performance was evaluated in this study. One electrolyte, TEOS-Triton X-100 gel, was based on a hybrid organic/inorganic gel electrolyte made by the sol-gel method and the other was based on poly(vinyidene fluoride-co-hexafluoro propylene) (PVDF-HFP) copolymer. TEOS-Triton X-100 gel was based on the reticulate structure of silica, formed by hydrolysis, and condensation of tetraethoxysilane (TEOS), while its organic subphase was a mixture of surfactant (Triton X-100) and ionic liquid electrolytes. Both DSSC gel-type electrolytes were composed of iodine, 1-propy-3-methyl-imidazolium iodide, and 3-methoxypropionitrile to create the redox couple of I3 -/I-. Based on the results obtained from the I-V characteristics, it was found that the optimal iodine concentrations for the TEOS-Triton X-100 gel electrolyte and PVDF-HFP gel electrolyte are 0.05 M and 0.1 M, respectively. Although the increase in the iodine concentration could enhance the short-circuit current density (JSC), a further increase in the iodine concentration would reduce the JSC due to increased dark current. Therefore, the concentration of I2 is a significant factor in determining the performance of DSSCs. In order to enhance cell performance, the addition of nanosilicate platelets (NSPs) in the above-mentioned gel electrolytes was investigated. By incorporating NSP-Triton X-100 into the electrolytes, the JSC of the cells increased due to the decrease of diffusion resistance, while the open circuit voltage (VOC) remained almost the same. As the loading of the NSP-Triton X-100 in the TEOS-Triton X-100 gel electrolyte increased to 0.5 wt%, the JSC and the conversion efficiency increased from 8.5 to 12 mA/cm2 and from 3.6% to 4.7%, respectively. However, the JSC decreased as the loading of NSP-Triton X-100 exceeded 0.5 wt%. At higher NSP-Triton X-100 loading, NSPs acted as

  7. Comportamiento eléctrico de vidrios funcionales con base en TeO2

    Directory of Open Access Journals (Sweden)

    Terny, S.

    2014-02-01

    Full Text Available In this paper we study the structural and electrical behavior of glass-ceramic material of general formula: xMgO (1-x (0.5V2O5.0.5MoO32TeO2 (0≤x≤0.9 through measurements of density, molar volume, oxygen packing density (OPD, differential scanning calorimetry (DSC and Raman spectroscopy: electric behavior was studied by impedance spectroscopy. We found that magnesium cation induces the growth of slightly crystallized areas inside the material. Those nanocrystallizations were detected to a greater extent by atomic force microscopy (AFM and in lesser extent by X-ray diffraction (XRD. Regarding the electrical measurements, it can be established that magnesium cation does not act as good ionic conductor in this material.En este trabajo estudiamos la estructura de un material vítreo cuya fórmula general es: xMgO(1-x(0.5V2O5.0.5MoO32TeO2 (0≤x≤0.9, mediante medidas de densidad, volumen molar, empaquetamiento denso de oxigeno (OPD, calorimetría diferencial de barrido (DSC y espectroscopia Raman; el comportamiento eléctrico se estudió por medio de espectroscopia de impedancia. Encontramos que el catión magnesio induce el crecimiento de zonas levemente cristalizadas dentro del material. Dichas nanocristalizaciones pudieron ser detectadas en mayor medida por microscopia de fuerzas atómicas (AFM y en menor medida se pudo observar en los patrones de difracción de Rayos X (DRX. Con respecto a las propiedades eléctricas, se puede establecer que el catión magnesio no actúa como buen conductor iónico en este material.

  8. Research on EBEP (Electron Beam Excited Plasma) applications; EBEP (denshi beam reiki plasma) no tekiyo gijutsu ni kansuru kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Yanase, E.; Ryoji, M.; Mori, Y.; Tokai, M. [Kawasaki Heavy Industries, Ltd., Kobe (Japan)

    1996-04-20

    Research and development is actively conducted on machining technologies using plasma in various fields, with studies energetically pursued on etching techniques or those of forming a thin film by the use of high frequency and microwave plasma. The EBEP system jointly developed by Kawasaki Heavy Industries Ltd. and Institute of Physical and Chemical Research is a plasma source for forming a high density plasma by implanting into a plasma chamber from the outside a high-current electron beam accelerated to an energy of approximately 60 to 100eV where the collision cross-section of gas ionization is maximized. The characteristics of the system are such as (1) it enables electron energy distribution to be controlled from outside by varying acceleration voltage, (2) it excels in the controllability of ion energy and (3) it allows to form a steady high-density plasma in a nonmagnetic field. This paper presents the generating principle of EBEP, its plasma characteristics, etching technique using EBEP, thin film forming technique by EBEP-CVD method, and multipurpose apparatus for research and development. 6 refs., 7 figs., 1 tab.

  9. Enhancing quality of carbon nanotubes through a real-time controlled CVD process with application to next-generation nanosystems

    Science.gov (United States)

    Laxminarayana, Karthik; Jalili, Nader

    2004-07-01

    Nanocrystals and nanostructures will be the building blocks for future materials that will exhibit enhanced or entirely new combinations of properties with tremendous opportunity for novel technologies that can have far-reaching impact on our society. It is, however, realized that a major challenge for the near future is the design, synthesis and integration of nanostructures to develop functional nanosystems. In view of this, this exploratory research seeks to facilitate the development of a controlled and deterministic framework for nanomanufacturing of nanotubes as the most suitable choice among nanostructures for a plethora of potential applications in areas such as nanoelectronic devices, biological probes, fuel cell electrodes, supercapacitors and filed emission devices. Specifically, this paper proposes to control and maintain the most common nanotube growth parameters (i.e., reaction temperature and gas flow rate) through both software and hardware modifications. The influence of such growth parameters in a CVD process on some of the most vital and crucial aspects of nanotubes (e.g., length, diameter, yield, growth rate and structure) can be utilized to arrive at some unique and remarkable properties for the nanotubes. The objective here is, therefore, to control the process parameters to pinpoint accuracy, which would enable us to fabricate nanotubes having the desired properties and thereby maximize their ability to function at its fullest potential. To achieve this and in order to provide for experimental validation of the proposed research program, an experimental test-bed using the nanotube processing test chamber and a mechatronics workstation are being constructed.

  10. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  11. Accuracy enhancement of laser induced breakdown spectra using permittivity and size optimized plasma confinement rings.

    Science.gov (United States)

    Li, An; Guo, Shuai; Wazir, Nasrullah; Chai, Ke; Liang, Liang; Zhang, Min; Hao, Yan; Nan, Pengfei; Liu, Ruibin

    2017-10-30

    The inevitable problems in laser induced breakdown spectroscopy are matrix effect and statistical fluctuation of the spectral signal, which can be partly avoided by utilizing a proper confined unit. The dependences of spectral signal enhancement on relative permittivity were studied by varying materials to confine the plasma, which include polytetrafluoroethylene(PTFE), nylon/dacron, silicagel, and nitrile-butadiene rubber (NBR) with the relative permittivity 2.2, ~3.3, 3.6, 8~13, 15~22. We found that higher relative permittivity rings induce stronger enhancement ability, which restricts the energy dissipation of plasma better and due to the reflected electromagnetic wave from the wall of different materials, the electromagnetic field of plasma can be well confined and makes the distribution of plasma more orderly. The spectral intensities of the characteristic lines Si I 243.5 nm and Si I 263.1 nm increased approximately 2 times with relative permittivity values from 2.2 to ~20. The size dependent enhancement of PTFE was further checked and the maximum gain was realized by using a confinement ring with a diameter size of 5 mm and a height of 3 mm (D5mmH3mm), and the rings with D2mmH1mm and D3mmH2mm also show higher enhancement factor. In view of peak shift, peak lost and accidental peaks in the obtained spectra were properly treated in data progressing; the spectral fluctuation decreased drastically for various materials with different relative permittivities as confined units, which means the core of plasma is stabilized, attributing to the confinement effect. Furthermore, the quantitative analysis in coal shows wonderful results-the prediction fitting coefficient R 2 reaches 0.98 for ash and 0.99 for both volatile and carbon.

  12. Use of Amino‐Functionalized CNTs and CVD Grown CNTs for Better Dispersion in Al Powder in the Fabrication of Composites

    Energy Technology Data Exchange (ETDEWEB)

    Singhal, S. K.; Mathur, R. B. [National Physical Laboratory (CSIR), New Delhi‐1100 12 (India); Mamta,; Teotia, Satish [Guru Jambheshwar University of Science and Technology, Hisar (India); Chahal, Rajiv [Nanoscience and Nanotechnology, Panjab University, Chandigarh (India)

    2011-12-12

    We report an improved process for the better dispersion of multiwalled carbon nanotubes (MWCNTs) in Al powder used for the fabrication of Al‐matrix composites employing powder metallurgy process. For obtaining a better dispersion of MWCNTs in Al, we used two types of MWCNTs. In the first type, the MWCNTs were firstly functionalized by using ammonium bi‐carbonate and mix with Al powder using a high energy ball mill in the presence of a process control agent. In the second type we grew MWCNTs directly on Al powder using CVD. Various mechanical properties of the composites including micro hardness, compressive strength etc. were determined. It has been observed that using functionalized MWCNTs (fCNTs) and CVD grown MWCNTs, these properties were found to enhance significantly. The dispersion of functionalized CNTs was studied by SEM and the interfacial bonding between functionalized CNTs and Al matrix using high resolution transmission electron microscopy (HRTEM).

  13. TSC response of irradiated CVD diamond films

    CERN Document Server

    Borchi, E; Bucciolini, M; Guasti, A; Mazzocchi, S; Pirollo, S; Sciortino, S

    1999-01-01

    CVD diamond films have been irradiated with electrons, sup 6 sup 0 Co photons and protons in order to study the dose response to exposure to different particles and energies and to investigate linearity with dose. The Thermally Stimulated Current (TSC) has been studied as a function of the dose delivered to polymethilmetacrilate (PMMA) in the range from 1 to 12 Gy with 20 MeV electrons from a linear accelerator. The TSC spectrum has revealed the presence of two components with peak temperatures of about 470 and 520 K, corresponding to levels lying in the diamond band gap with activation energies of the order of 0.7 - 1 eV. After the subtraction of the exponential background the charge emitted during the heating scan has been evaluated and has been found to depend linearly on the dose. The thermally emitted charge of the CVD diamond films has also been studied using different particles. The samples have been irradiated with the same PMMA dose of about 2 Gy with 6 and 20 MeV electrons from a Linac, sup 6 sup 0 ...

  14. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  15. Muusikamaailm : Arvo Pärdi uus teos. Telemanni päevad Magdeburgis. Uus orkester Soomes. Ingvar Lidholm 80. Auhindu ja preemiaid / Priit Kuusk

    Index Scriptorium Estoniae

    Kuusk, Priit, 1938-

    2001-01-01

    21. veebr. tuli Oxfordis maailmaesiettekandele A. Pärdi teos "Littlemore Tractus". Telemanni päevade raames toimuvast rahvusvahelisest kammeransamblite konkursist Magdeburgis. O. Mustonen asutas Helsingis uue orkestri. Lühidalt I.Lidholmi tegevusest ja loomingust. P. Norgaardile ja H. von Manen'ile antud autasust ja preemiast

  16. Nitrogen and hydrogen related infrared absorption in CVD diamond films

    Energy Technology Data Exchange (ETDEWEB)

    Titus, E. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal)]. E-mail: elby@mec.ua.pt; Ali, N. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Cabral, G. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Madaleno, J.C. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Neto, V.F. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Gracio, J. [Department of Mechanical Engineering, University of Aveiro, 3810-193 (Portugal); Ramesh Babu, P [Materials Ireland, Polymer research Centre, School of Physics, Dublin (Ireland); Sikder, A.K. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India); Okpalugo, T.I. [Northern Ireland Bio-Engineering Centre, NIBEC, University of Ulster (United Kingdom); Misra, D.S. [Department of Physics, Indian Institute of Technology (IIT), Bombay (India)

    2006-09-25

    In this paper, we investigate on the presence of hydrogen and nitrogen related infrared absorptions in chemical vapour deposited (CVD) diamond films. Investigations were carried out in cross sections of diamond windows, deposited using hot filament CVD (HFCVD). The results of Scanning Electron Microscopy (SEM), Fourier Transform Infrared (FTIR) and Raman spectroscopy carried out in a cross section of self-standing diamond sheets are presented. The FTIR spectra showed several features that have not been reported before. In order to confirm the frequency of nitrogen related vibrations, ab-initio calculations were carried out using GAMESS program. The investigations showed the presence of several C-N related peaks in one-phonon (1000-1333 cm{sup -1}). The deconvolution of the spectra in the three-phonon region (2700-3150 cm{sup -1}) also showed a number of vibration modes corresponding to sp {sup m}CH {sub n} phase of carbon. Elastic recoil detection analysis (ERDA) was employed to compare the H content measured using FTIR technique. Using these measurements we point out that the oscillator strength of the different IR modes varies depending upon the structure and H content of CVD diamond sheets.

  17. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry

    International Nuclear Information System (INIS)

    Petitfils, A.

    2007-09-01

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  18. Freeze-dried plasma enhances clot formation and inhibits fibrinolysis in the presence of tissue plasminogen activator similar to pooled liquid plasma.

    Science.gov (United States)

    Huebner, Benjamin R; Moore, Ernest E; Moore, Hunter B; Sauaia, Angela; Stettler, Gregory; Dzieciatkowska, Monika; Hansen, Kirk; Banerjee, Anirban; Silliman, Christopher C

    2017-08-01

    Systemic hyperfibrinolysis is an integral part of trauma-induced coagulopathy associated with uncontrolled bleeding. Recent data suggest that plasma-first resuscitation attenuates hyperfibrinolysis; however, the availability, transport, storage, and administration of plasma in austere environments remain challenging and have limited its use. Freeze-dried plasma (FDP) is a potential alternative due to ease of storage, longer shelf life, and efficient reconstitution. FDP potentially enhances clot formation and resists breakdown better than normal saline (NS) and albumin and similar to liquid plasma. Healthy volunteers underwent citrated blood draw followed by 50% dilution with NS, albumin, pooled plasma (PP), or pooled freeze-dried plasma (pFDP). Citrated native and tissue plasminogen activator (t-PA)-challenge (75 ng/mL) thrombelastography were done. Proteins in PP, pFDP, and albumin were analyzed by mass spectroscopy. pFDP and PP had superior clot-formation rates (angle) and clot strength (maximum amplitude) compared with NS and albumin in t-PA-challenge thrombelastographies (angle: pFDP, 67.9 degrees; PP, 67.8 degrees; NS, 40.6 degrees; albumin, 35.8 degrees; maximum amplitude: pFDP, 62.4 mm; PP, 63.5 mm; NS, 44.8 mm; albumin, 41.1 mm). NS and albumin dilution increased susceptibility to t-PA-induced hyperfibrinolysis compared with pFDP and PP (NS, 62.4%; albumin, 62.6%; PP, 8.5%; pFDP, 6.7%). pFDP was similar to PP in the attenuation of t-PA-induced fibrinolysis. Most proteins (97%) were conserved during the freeze-dry process, with higher levels in 12% of pFDP proteins compared with PP. pFDP enhances clot formation and attenuates hyperfibrinolysis better than NS and albumin and is a potential alternative to plasma resuscitation in the treatment of hemorrhagic shock. © 2017 AABB.

  19. Cold Vacuum Drying (CVD) OCRWM Loop Error Determination

    International Nuclear Information System (INIS)

    PHILIPP, B.L.

    2000-01-01

    Characterization is specifically identified by the Richland Operations Office (RL) for the Office of Civilian Radioactive Waste Management (OCRWM) of the US Department of Energy (DOE), as requiring application of the requirements in the Quality Assurance Requirements and Description (QARD) (RW-0333P DOE 1997a). Those analyses that provide information that is necessary for repository acceptance require application of the QARD. The cold vacuum drying (CVD) project identified the loops that measure, display, and record multi-canister overpack (MCO) vacuum pressure and Tempered Water (TW) temperature data as providing OCRWM data per Application of the Office of Civilian Radioactive Waste Management (OCRWM) Quality Assurance Requirements to the Hanford Spent Nuclear Fuel Project HNF-SD-SNF-RPT-007. Vacuum pressure transmitters (PT 1*08, 1*10) and TW temperature transmitters (TIT-3*05, 3*12) are used to verify drying and to determine the water content within the MCO after CVD

  20. Enhancing electronic and optoelectronic performances of tungsten diselenide by plasma treatment.

    Science.gov (United States)

    Xie, Yuan; Wu, Enxiu; Hu, Ruixue; Qian, Shuangbei; Feng, Zhihong; Chen, Xuejiao; Zhang, Hao; Xu, Linyan; Hu, Xiaodong; Liu, Jing; Zhang, Daihua

    2018-06-21

    Transition metal dichalcogenides (TMDCs) have recently become spotlighted as nanomaterials for future electronic and optoelectronic devices. In this work, we develop an effective approach to enhance the electronic and optoelectronic performances of WSe2-based devices by N2O plasma treatment. The hole mobility and sheet density increase by 2 and 5 orders of magnitude, reaching 110 cm2 V-1 s-1 and 2.2 × 1012 cm-2, respectively, after the treatment. At the same time, the contact resistance (Rc) between WSe2 and its metal electrode drop by 5 orders of magnitude from 1.0 GΩ μm to 28.4 kΩ μm. The WSe2 photoconductor exhibits superior performance with high responsivity (1.5 × 105 A W-1), short response time (106). We have also built a lateral p-n junction on a single piece of WSe2 flake by selective plasma exposure. The junction reaches an exceedingly high rectifying ratio of 106, an excellent photoresponsivity of 2.49 A W-1 and a fast response of 8 ms. The enhanced optoelectronic performance is attributed to band-engineering through the N2O plasma treatment, which can potentially serve as an effective and versatile approach for device engineering and optimization in a wide range of electronic and optoelectronic devices based on 2D materials.

  1. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB

    DEFF Research Database (Denmark)

    Engelbrechtsen, Line; Lundgren, J; Wewer Albrechtsen, Nicolai Jacob

    2017-01-01

    Background: Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment ......B, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower CVD risk. Including apoB measurements in clinical practice when monitoring patients with dislipidemia or CVD might prove to be useful....

  2. Double pulse laser ablation and plasma: Laser induced breakdown spectroscopy signal enhancement

    International Nuclear Information System (INIS)

    Babushok, V.I.; DeLucia, F.C.; Gottfried, J.L.; Munson, C.A.; Miziolek, A.W.

    2006-01-01

    A review of recent results of the studies of double laser pulse plasma and ablation for laser induced breakdown spectroscopy applications is presented. The double pulse laser induced breakdown spectroscopy configuration was suggested with the aim of overcoming the sensitivity shortcomings of the conventional single pulse laser induced breakdown spectroscopy technique. Several configurations have been suggested for the realization of the double pulse laser induced breakdown spectroscopy technique: collinear, orthogonal pre-spark, orthogonal pre-heating and dual pulse crossed beam modes. In addition, combinations of laser pulses with different wavelengths, different energies and durations were studied, thus providing flexibility in the choice of wavelength, pulse width, energy and pulse sequence. The double pulse laser induced breakdown spectroscopy approach provides a significant enhancement in the intensity of laser induced breakdown spectroscopy emission lines up to two orders of magnitude greater than a conventional single pulse laser induced breakdown spectroscopy. The double pulse technique leads to a better coupling of the laser beam with the plasma plume and target material, thus providing a more temporally effective energy delivery to the plasma and target. The experimental results demonstrate that the maximum effect is obtained at some optimum separation delay time between pulses. The optimum value of the interpulse delay depends on several factors, such as the target material, the energy level of excited states responsible for the emission, and the type of enhancement process considered. Depending on the specified parameter, the enhancement effects were observed on different time scales ranging from the picosecond time level (e.g., ion yield, ablation mass) up to the hundred microsecond level (e.g., increased emission intensity for laser induced breakdown spectroscopy of submerged metal target in water). Several suggestions have been proposed to explain

  3. Radiation tolerance of CVD diamond detectors for pions and protons

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F. E-mail: f.hartjes@nikhef.nl; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2002-01-11

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  4. Radiation tolerance of CVD diamond detectors for pions and protons

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/ c pions and 24 GeV/ c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal.

  5. Radiation tolerance of CVD diamond detectors for pions and protons

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2002-01-01

    The paper gives new results on the radiation tolerance of CVD diamond for irradiation with 300 MeV/c pions and 24 GeV/c protons. The measured charge signal spectrum is compared at several irradiation levels with the spectrum calculated by a model. Irradiation by particles causes damage leading to a decrease of the charge signal. However, both the measurements and the outcome from the model show that for tracker applications this drawback is at least partly counterbalanced by a narrowing of the distribution curve of the charge signal. As a result, the efficiency of a CVD diamond tracker is less affected by irradiation than the mean charge signal

  6. Cesium-plasma-conductivity enhancement in the advanced thermionic energy converter. Final report

    International Nuclear Information System (INIS)

    Manikopoulos, C.N.

    Two methods of plasma conductivity enhancement in a cesium vapor thermionic energy converter have been studied. The first involved resonance photoabsorption of several cesium lines and the second utilized cesium plasma sustenance by application of microwave power. An extensive study of ionization processes in a cesium discharge in the presence of resonance ionization was made. Calculations were made of expected percentage excitation levels for several cesium resonance transitions for different values of neutral density and temperature as well as incident radiation power levels. The results of some of these computations were tabulated. Several ionization schemes were considered. A number of cesium transitions were investigated in the range of 799 to 870 nanometers for four different cesium reservoir temperatures, 467, 511, 550 and 591 K. The related absorption coefficients of the radiation lines in the plasma were deduced and tabulated. The resulting plasma conductivity increase was recorded and the associated ionization enhancement was deduced. A microwave cavity was built where the emitter and collector of a simple thermionic converter made up two of the cavity walls and resonant microwave power was externally applied. The I-V characteristics of the thermionic converter were studied under several microwave power levels in the range of 0 to 2 watts. Significant shifts to higher currents were observed as the microwave power levels were raised. In conclusion, both methods show promise as auxiliary ionization mechanisms for the thermionic energy converter, especially at low emitter temperatures

  7. Annual review of Plasma Physics Laboratory, Kyoto University, April, 1983

    International Nuclear Information System (INIS)

    1983-04-01

    The devices for additionally heating joul-heated plasma in the Heliotron E, such as electron cyclotron resonance heating and neutral beam injection, were in operation in 1982. In the ECRH experiment, the microwaves of 200 kW at 28 GHz were generated by a gyrotron, but the pulse width was extended from 10 ms to 40 ms this year. By this, a currentless plasma of Te-1 keV was achieved. In the NB1 experiment, the neutral beam of about 1.5 MW was injected into joule-heated plasma, and the plasma of Ti(O)-950 eV, Te(O)-800 eV and Ne = 3 x 10 19 /m 3 was attained. The first experiment to inject neutral beam into ECRH currentless plasma was carried out. By this method, the density of the plasma increased as well as the ion temperature and electron temperature. As to the theory, a critical beta was calculated by using stellarator expansion, which should be 3 to 7 % in the Heliotron E. Two gyrotrons of 200 kW at 53 GHz each and an ion cyclotron resonance heating equipment of 1.5 MW at 26.7 MHz are prepared. As to the reactor study, the design of Heliotron H in the first phase was completed. The location of impurity sources in NB1 ion sources and beam lines was found. (Kako, I.)

  8. Langmuir probe study of a magnetically enhanced RF plasma source at pressures below 0.1 Pa

    Science.gov (United States)

    Kousal, Jaroslav; Tichý, Milan; Šebek, Ondřej; Čechvala, Juraj; Biederman, Hynek

    2011-08-01

    The majority of plasma polymerization sources operate at pressures higher than 1 Pa. At these pressures most common deposition methods do not show significant directionality. One way of enhancing the directional effects is to decrease the working pressure to increase the mean free path of the reactive molecules. The plasma source used in this work was designed to study the plasma polymerization process at pressures below 0.1 Pa. The source consists of the classical radio frequency (RF) (13.56 MHz, capacitive coupled) tubular reactor enhanced by an external magnetic circuit. The working gas is introduced into the discharge by a capillary. This forms a relatively localized zone of higher pressure where the monomer is activated. Due to the magnetic field, the plasma is constricted near the axis of the reactor with nearly collisionless gas flow. The plasma parameters were obtained using a double Langmuir probe. Plasma density in the range ni = 1013-1016 m-3 was obtained in various parts of the discharge under typical conditions. The presence of the magnetic field led to the presence of relatively strong electric fields (103 V m-1) and relatively high electron energies up to several tens of eV in the plasma.

  9. Langmuir probe study of a magnetically enhanced RF plasma source at pressures below 0.1 Pa

    Energy Technology Data Exchange (ETDEWEB)

    Kousal, Jaroslav; Tichy, Milan; Sebek, Ondrej; Cechvala, Juraj; Biederman, Hynek, E-mail: jaroslav.kousal@mff.cuni.cz [Charles University in Prague, Faculty of Mathematics and Physics, V Holesovickach 2, 180 00, Prague 8 (Czech Republic)

    2011-08-15

    The majority of plasma polymerization sources operate at pressures higher than 1 Pa. At these pressures most common deposition methods do not show significant directionality. One way of enhancing the directional effects is to decrease the working pressure to increase the mean free path of the reactive molecules. The plasma source used in this work was designed to study the plasma polymerization process at pressures below 0.1 Pa. The source consists of the classical radio frequency (RF) (13.56 MHz, capacitive coupled) tubular reactor enhanced by an external magnetic circuit. The working gas is introduced into the discharge by a capillary. This forms a relatively localized zone of higher pressure where the monomer is activated. Due to the magnetic field, the plasma is constricted near the axis of the reactor with nearly collisionless gas flow. The plasma parameters were obtained using a double Langmuir probe. Plasma density in the range n{sub i} = 10{sup 13}-10{sup 16} m{sup -3} was obtained in various parts of the discharge under typical conditions. The presence of the magnetic field led to the presence of relatively strong electric fields (10{sup 3} V m{sup -1}) and relatively high electron energies up to several tens of eV in the plasma.

  10. Refraction-enhanced backlit imaging of axially symmetric inertial confinement fusion plasmas.

    Science.gov (United States)

    Koch, Jeffrey A; Landen, Otto L; Suter, Laurence J; Masse, Laurent P; Clark, Daniel S; Ross, James S; Mackinnon, Andrew J; Meezan, Nathan B; Thomas, Cliff A; Ping, Yuan

    2013-05-20

    X-ray backlit radiographs of dense plasma shells can be significantly altered by refraction of x rays that would otherwise travel straight-ray paths, and this effect can be a powerful tool for diagnosing the spatial structure of the plasma being radiographed. We explore the conditions under which refraction effects may be observed, and we use analytical and numerical approaches to quantify these effects for one-dimensional radial opacity and density profiles characteristic of inertial-confinement fusion (ICF) implosions. We also show how analytical and numerical approaches allow approximate radial plasma opacity and density profiles to be inferred from point-projection refraction-enhanced radiography data. This imaging technique can provide unique data on electron density profiles in ICF plasmas that cannot be obtained using other techniques, and the uniform illumination provided by point-like x-ray backlighters eliminates a significant source of uncertainty in inferences of plasma opacity profiles from area-backlit pinhole imaging data when the backlight spatial profile cannot be independently characterized. The technique is particularly suited to in-flight radiography of imploding low-opacity shells surrounding hydrogen ice, because refraction is sensitive to the electron density of the hydrogen plasma even when it is invisible to absorption radiography. It may also provide an alternative approach to timing shockwaves created by the implosion drive, that are currently invisible to absorption radiography.

  11. How dietary evidence for the prevention and treatment of CVD is translated into practice in those with or at high risk of CVD: a systematic review.

    Science.gov (United States)

    Schumacher, Tracy L; Burrows, Tracy L; Neubeck, Lis; Redfern, Julie; Callister, Robin; Collins, Clare E

    2017-01-01

    CVD is a leading cause of mortality and morbidity, and nutrition is an important lifestyle factor. The aim of the present systematic review was to synthesise the literature relating to knowledge translation (KT) of dietary evidence for the prevention and treatment of CVD into practice in populations with or at high risk of CVD. A systematic search of six electronic databases (CINAHL, Cochrane, EMBASE, MEDLINE, PsycINFO and Scopus) was performed. Studies were included if a nutrition or dietary KT was demonstrated to occur with a relevant separate measureable outcome. Quality was assessed using a tool adapted from two quality checklists. Population with or at high risk of CVD or clinicians likely to treat this population. A total of 4420 titles and abstracts were screened for inclusion, with 354 full texts retrieved to assess inclusion. Forty-three articles were included in the review, relating to thirty-five separate studies. No studies specifically stated their aim to be KT. Thirty-one studies were in patient or high-risk populations and four targeted health professionals. Few studies stated a theory on which the intervention was based (n 10) and provision of instruction was the most common behaviour change strategy used (n 26). KT in nutrition and dietary studies has been inferred, not stated, with few details provided regarding how dietary knowledge is translated to the end user. This presents challenges for implementation by clinicians and policy and decision makers. Consequently a need exists to improve the quality of publications in this area.

  12. Enhanced Hydrophilicity and Biocompatibility of Dental Zirconia Ceramics by Oxygen Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Ching-Chou Wu

    2015-02-01

    Full Text Available Surface properties play a critical role in influencing cell responses to a biomaterial. The objectives of this study were (1 to characterize changes in surface properties of zirconia (ZrO2 ceramic after oxygen plasma treatment; and (2 to determine the effect of such changes on biological responses of human osteoblast-like cells (MG63. The results indicated that the surface morphology was not changed by oxygen plasma treatment. In contrast, oxygen plasma treatment to ZrO2 not only resulted in an increase in hydrophilicity, but also it retained surface hydrophilicity after 5-min treatment time. More importantly, surface properties of ZrO2 modified by oxygen plasma treatment were beneficial for cell growth, whereas the surface roughness of the materials did not have a significant efficacy. It is concluded that oxygen plasma treatment was certified to be effective in modifying the surface state of ZrO2 and has the potential in the creation and maintenance of hydrophilic surfaces and the enhancement of cell proliferation and differentiation.

  13. Ion beam induced surface graphitization of CVD diamond for x-ray beam position monitor applications

    International Nuclear Information System (INIS)

    Liu, Chian; Shu, D.; Kuzay, T.M.; Wen, L.; Melendres, C.A.; Argonne National Lab., IL

    1996-01-01

    The Advanced Photon Source at ANL is a third-generation synchrotron facility that generates powerful x-ray beams on its undulator beamlines. It is important to know the position and angle of the x- ray beam during experiments. Due to very high heat flux levels, several patented x-ray beam position monitors (XBPM) exploiting chemical vapor deposition (CVD) diamond have been developed. These XBPMs have a thin layer of low-atomic-mass metallic coating so that photoemission from the x rays generate a minute but measurable current for position determination. Graphitization of the CVD diamond surface creates a very thin, intrinsic and conducting layer that can stand much higher temperatures and minimal x-ray transmission losses compared to the coated metallic layers. In this paper, a laboratory sputter ion source was used to transform selected surfaces of a CVD diamond substrate into graphite. The effect of 1-5 keV argon ion bombardment on CVD diamond surfaces at various target temperatures from 200 to 500 C was studied using Auger electron spectroscopy and in-situ electrical resistivity measurements. Graphitization after the ion bombardment has been confirmed and optimum conditions for graphitization studied. Raman spectroscopy was used to identify the overall diamond structure in the bulk of CVD diamond substrate after the ion bombardments. It was found that target temperature plays an important role in stability and electrical conductivity of the irradiated CVD diamonds

  14. Hole injection enhancement in organic light emitting devices using plasma treated graphene oxide

    Energy Technology Data Exchange (ETDEWEB)

    Jesuraj, P. Justin; Parameshwari, R. [Centre for Nanoscience and Nanotechnology, School of Physics, Bharathidasan University, Tiruchirappalli, 620 024, Tamil Nadu (India); Kanthasamy, K.; Koch, J. [Institut für Festkörperphysik, ATMOS, Appelstr. 2, D-30167, Hannover (Germany); Pfnür, H. [Institut für Festkörperphysik, ATMOS, Appelstr. 2, D-30167, Hannover (Germany); Laboratorium für Nano- und Quantene$ngineering, Schneiderberg 30, D-30167, Hannover (Germany); Jeganathan, K., E-mail: kjeganathan@yahoo.com [Centre for Nanoscience and Nanotechnology, School of Physics, Bharathidasan University, Tiruchirappalli, 620 024, Tamil Nadu (India)

    2017-03-01

    Graphical abstract: Plasma treated Graphene oxide for hole injection enhancement in OLEDs. - Highlights: • Oxygen (O{sub 2}) and hydrogen (H{sub 2}) plasma exposed graphene oxide (GO) sheets have been demonstrated as hole buffer layers in OLEDs. • O{sub 2} plasma exposure induces assimilation of oxygen contents in GO lattice resulting in improved work function that reduced the hole injection barrier further. Whereas, H{sub 2} plasma contrastingly reduced the GO by excluding oxygen which ensuing lower work function. • X-ray photoelectron spectroscopy and ultraviolet photoelectron spectroscopy investigations reveal the capricious amount of oxygen in GO lattice and its corresponding work function variations. • GO and O{sub 2} plasma treated GO significantly improves the current efficiency of OLEDs more than one order with notable reduction in turn on voltage. - Abstract: The hole injection layer (HIL) with high work function (WF) is desirable to reduce the injection barrier between anode and hole transport layer in organic light emitting devices (OLED). Here, we report a novel approach to tune the WF of graphene oxide (GO) using oxygen and hydrogen plasma treatment and its hole injection properties in OLEDs. The mild exposure of oxygen plasma on GO (O{sub 2}-GO) significantly reduces the injection barrier by increasing the WF of anode (4.98 eV) through expansion of C−O bonds. In contrast, the hole injection barrier was drastically increased for hydrogen plasma treated GO (H{sub 2}-GO) layers as the WF is lowered by the contraction of C−O bond. By employing active O{sub 2}-GO as HIL in OLEDs found to exhibit superior current efficiency of 4.2 cd/A as compared to 3.3 cd/A for pristine GO. Further, the high injection efficiency of O{sub 2}-GO infused hole only device can be attributed to the improved energy level matching. Ultraviolet and X-ray photoelectron spectroscopy were used to correlate the WF of HIL infused anode towards the enhanced performance of

  15. Surface-enhanced Raman scattering reveals adsorption of mitoxantrone on plasma membrane of living cells

    International Nuclear Information System (INIS)

    Breuzard, G.; Angiboust, J.-F.; Jeannesson, P.; Manfait, M.; Millot, J.-M.

    2004-01-01

    Surface-enhanced Raman scattering (SERS) spectroscopy was applied to analyze mitoxantrone (MTX) adsorption on the plasma membrane microenvironment of sensitive (HCT-116 S) or BCRP/MXR-type resistant (HCT-116 R) cells. The addition of silver colloid to MTX-treated cells revealed an enhanced Raman scattering of MTX. Addition of extracellular DNA induced a total extinction of MTX Raman intensity for both cell lines, which revealed an adsorption of MTX on plasma membrane. A threefold higher MTX Raman intensity was observed for HCT-116 R, suggesting a tight MTX adsorption in the plasma membrane microenvironment. Fluorescence confocal microscopy confirmed a relative MTX emission around plasma membrane for HCT-116 R. After 30 min at 4 deg. C, a threefold decrease of the MTX Raman scattering was observed for HCT-116 R, contrary to HCT-116 S. Permeation with benzyl alcohol revealed a threefold decrease of membrane MTX adsorption on HCT-116 R, exclusively. This additional MTX adsorption should correspond to the drug bound to an unstable site on the HCT-116 R membrane. This study showed that SERS spectroscopy could be a direct method to reveal drug adsorption to the membrane environment of living cells

  16. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  17. Comparison of gate dielectric plasma damage from plasma-enhanced atomic layer deposited and magnetron sputtered TiN metal gates

    Energy Technology Data Exchange (ETDEWEB)

    Brennan, Christopher J.; Neumann, Christopher M.; Vitale, Steven A., E-mail: steven.vitale@ll.mit.edu [Lincoln Laboratory, Massachusetts Institute of Technology, Lexington, Massachusetts 02420 (United States)

    2015-07-28

    Fully depleted silicon-on-insulator transistors were fabricated using two different metal gate deposition mechanisms to compare plasma damage effects on gate oxide quality. Devices fabricated with both plasma-enhanced atomic-layer-deposited (PE-ALD) TiN gates and magnetron plasma sputtered TiN gates showed very good electrostatics and short-channel characteristics. However, the gate oxide quality was markedly better for PE-ALD TiN. A significant reduction in interface state density was inferred from capacitance-voltage measurements as well as a 1200× reduction in gate leakage current. A high-power magnetron plasma source produces a much higher energetic ion and vacuum ultra-violet (VUV) photon flux to the wafer compared to a low-power inductively coupled PE-ALD source. The ion and VUV photons produce defect states in the bulk of the gate oxide as well as at the oxide-silicon interface, causing higher leakage and potential reliability degradation.

  18. Reference Intervals for Non-Fasting CVD Lipids and Inflammation Markers in Pregnant Indigenous Australian Women.

    Science.gov (United States)

    Schumacher, Tracy L; Oldmeadow, Christopher; Clausen, Don; Weatherall, Loretta; Keogh, Lyniece; Pringle, Kirsty G; Rae, Kym M

    2017-10-14

    Indigenous Australians experience high rates of cardiovascular disease (CVD). The origins of CVD may commence during pregnancy, yet few serum reference values for CVD biomarkers exist specific to the pregnancy period. The Gomeroi gaaynggal research project is a program that undertakes research and provides some health services to pregnant Indigenous women. Three hundred and ninety-nine non-fasting samples provided by the study participants (206 pregnancies and 175 women) have been used to construct reference intervals for CVD biomarkers during this critical time. A pragmatic design was used, in that women were not excluded for the presence of chronic or acute health states. Percentile bands for non-linear relationships were constructed according to the methods of Wright and Royston (2008), using the xriml package in StataIC 13.1. Serum cholesterol, triglycerides, cystatin-C and alkaline phosphatase increased as gestational age progressed, with little change seen in high-sensitivity C-Reactive Protein and γ glutamyl transferase. Values provided in the reference intervals are consistent with findings from other research projects. These reference intervals will form a basis with which future CVD biomarkers for pregnant Indigenous Australian women can be compared.

  19. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  20. Development of a CVD silica coating for UK advanced gas-cooled nuclear reactor fuel pins

    International Nuclear Information System (INIS)

    Bennett, M.J.; Houlton, M.R.; Moore, D.A.; Foster, A.I.; Swidzinski, M.A.M.

    1983-04-01

    Vapour deposited silica coatings could extend the life of the 20% Cr/25% Ni niobium stabilised (20/25/Nb) stainless steel fuel cladding of the UK advanced gas cooled reactors. A CVD coating process developed originally to be undertaken at atmospheric pressure has now been adapted for operation at reduced pressure. Trials on the LP CVD process have been pursued to the production scale using commercial equipment. The effectiveness of the LP CVD silica coatings in providing protection to 20/25/Nb steel surfaces against oxidation and carbonaceous deposition has been evaluated. (author)

  1. A Quantitative Method for Localizing User Interface Problems: The D-TEO Method

    Directory of Open Access Journals (Sweden)

    Juha Lamminen

    2009-01-01

    Full Text Available A large array of evaluation methods have been proposed to identify Website usability problems. In log-based evaluation, information about the performance of users is collected and stored into log files, and used to find problems and deficiencies in Web page designs. Most methods require the programming and modeling of large task models, which are cumbersome processes for evaluators. Also, because much statistical data is collected onto log files, recognizing which Web pages require deeper usability analysis is difficult. This paper suggests a novel quantitative method, called the D-TEO, for locating problematic Web pages. This semiautomated method explores the decomposition of interaction tasks of directed information search into elementary operations, deploying two quantitative usability criteria, search success and search time, to reveal how a user navigates within a web of hypertext.

  2. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  3. Cold Vacuum Dryer (CVD) Facility Fire Protection System Design Description (SYS 24)

    Energy Technology Data Exchange (ETDEWEB)

    SINGH, G.

    2000-10-17

    This system design description (SDD) addresses the Cold Vacuum Drying (CVD) Facility fire protection system (FPS). The primary features of the FPS for the CVD are a fire alarm and detection system, automatic sprinklers, and fire hydrants. The FPS also includes fire extinguishers located throughout the facility and fire hydrants to assist in manual firefighting efforts. In addition, a fire barrier separates the operations support (administrative) area from the process bays and process bay support areas. Administrative controls to limit combustible materials have been established and are a part of the overall fire protection program. The FPS is augmented by assistance from the Hanford Fire Department (HED) and by interface systems including service water, electrical power, drains, instrumentation and controls. This SDD, when used in conjunction with the other elements of the definitive design package, provides a complete picture of the FPS for the CVD Facility.

  4. Thermodynamic study of CVD-ZrO2 phase diagrams

    International Nuclear Information System (INIS)

    Torres-Huerta, A.M.; Vargas-Garcia, J.R.; Dominguez-Crespo, M.A.; Romero-Serrano, J.A.

    2009-01-01

    Chemical vapor deposition (CVD) of zirconium oxide (ZrO 2 ) from zirconium acetylacetonate Zr(acac) 4 has been thermodynamically investigated using the Gibbs' free energy minimization method and the FACTSAGE program. Thermodynamic data Cp o , ΔH o and S o for Zr(acac) 4 have been estimated using the Meghreblian-Crawford-Parr and Benson methods because they are not available in the literature. The effect of deposition parameters, such as temperature and pressure, on the extension of the region where pure ZrO 2 can be deposited was analyzed. The results are presented as calculated CVD stability diagrams. The phase diagrams showed two zones, one of them corresponds to pure monoclinic phase of ZrO 2 and the other one corresponds to a mix of monoclinic phase of ZrO 2 and graphite carbon.

  5. Vascularização arterial e venosa do linfonodo poplíteo em cães

    Directory of Open Access Journals (Sweden)

    Karina de Senna Villar

    2009-08-01

    Full Text Available O linfonodo poplíteo nos cães situa-se, à direita e à esquerda em um espaço designado fossa poplítea, no terço distal dos músculos bíceps femoral, lateralmente e semitendinoso, medialmente, projetando-se na altura da face caudal das articulações femoro tibial e femoro patelar (articulação do joelho. Neste estudo foram utilizados vinte e cinco cães, machos e fêmeas adultos, procedentes do canil municipal de Araçatuba, São Paulo, cujas capturas foram efetuadas pela defesa sanitária animal desta cidade. Os vasos arteriais destinados a esta estrutura derivam sempre, de ambos os lados, da artéria femoral caudal distal e variam de 10 a 1, com maior freqüência de 2 (7 vezes, 28% à direita e de 6 a 2 igualmente com maior freqüência de 2 (8 vezes, 32%. Tão logo tem-se ainda à direita 5 e 6 ramos (3 vezes, 12%, 1, 7 e 10 (1 vez, 4%. Relativamente às veias derivadas deste linfonodo, convergem sempre para a veia safena lateral, estes vasos oscilam entre 9 e 2, com maior freqüência de 3 (9 vezes, 3,6%, à direita e de 12 a 2, com maior freqüência de 3 (10 vezes, 40% à esquerda. Assim, os demais ramos oriundos da linfonodo poplíteo direito e que se inserem na veia supracitada são em número de 2 e 5 (5 vezes, 20%, 4 e 6 (2 vezes, 8% e 8 e 9 (1 vez, 4%. Não obstante, ao lado esquerdo verifica-se em número de 2 ramos (6 vezes, 24%, 4 (4 vezes, 16%, 6 (3 vezes, 12% e finalizando 9 e 12 ramos (1 vez, 4%. O tamanho do linfonodo poplíteo em cães soropositivos para Leishmaniose Visceral pode encontrar-se entre 7,8 x 3,8 x 6,1 a 50,0 x 20,7 x 28,5 , em mm, sendo a média 26,18 x 10,5 x 15,97 mm para o direito e 26,98 x 11,14 x 15,25 mm para o esquerdo (referente as medidas dorso-ventral, latero-lateral e crânio-caudal, respectivamente.

  6. Physical Modeling of the Processes Responsible for the Mid-Latitude Storm Enhanced Plasma Density

    Science.gov (United States)

    Fuller-Rowell, T. J.; Maruyama, N.; Fedrizzi, M.; Codrescu, M.; Heelis, R. A.

    2016-12-01

    Certain magnetic local time sectors at mid latitudes see substantial increases in plasma density in the early phases of a geomagnetic storm. The St. Patrick's Day storms of 2013 and 2015 were no exception, both producing large increases of total electron content at mid latitudes. There are theories for the build up of the storm enhanced density (SED), but can current theoretical ionosphere-thermosphere coupled models actually reproduce the response for an actual event? Not only is it necessary for the physical model to contain the appropriate physics, they also have to be forced by the correct drivers. The SED requires mid-latitude zonal transport to provide plasma stagnation in sunlight to provide the production. The theory also requires a poleward drift perpendicular to the magnetic field to elevate the plasma out of the body of the thermosphere to regions of substantially less loss rate. It is also suggested that equatorward winds are necessary to further elevate the plasma to regions of reduced loss. However, those same winds are also likely to transport molecular nitrogen rich neutral gas equatorward, potentially canceling out the benefits of the neutral circulation. Observations of mid-latitude zonal plasma flow are first analyzed to see if this first necessary ingredient is substantiated. The drift observations are then used to tune the driver to determine if, with the appropriate electric field driver, the latest physical models can reproduce the substantial plasma build up. If it can, the simulation can also be used to assess the contribution of the equatorward meridional wind; are they an asset to the plasma build up, or does the enhanced molecular species they carry counteract their benefit.

  7. Tribological Characteristics and Applications of Superhard Coatings: CVD Diamond, DLC, and c-BN

    Science.gov (United States)

    Miyoshi, Kazuhisa; Murakawa, Masao; Watanabe, Shuichi; Takeuchi, Sadao; Wu, Richard L. C.

    1999-01-01

    Results of fundamental research on the tribological properties of chemical-vapor-deposited (CVD) diamond, diamondlike carbon, and cubic boron nitride films in sliding contact with CVD diamond in ultrahigh vacuum, dry nitrogen, humid air, and water are discussed. Furthermore, the actual and potential applications of the three different superhard coatings in the field of tribology technology, particularly for wear parts and tools, are reviewed.

  8. Simulation of a perfect CVD diamond Schottky diode steep forward current–voltage characteristic

    Energy Technology Data Exchange (ETDEWEB)

    Kukushkin, V.A., E-mail: vakuk@appl.sci-nnov.ru [Institute of Applied Physics of the Russian Academy of Science, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Nizhny Novgorod State University named after N.I. Lobachevsky, 23 Gagarin pr., 603950 Nizhny Novgorod (Russian Federation)

    2016-10-01

    The kinetic equation approach to the simulation of the perfect CVD diamond Schottky diode current–voltage characteristic is considered. In result it is shown that the latter has a significantly steeper forward branch than that of perfect devices of such a type on usual semiconductors. It means that CVD diamond-based Schottky diodes have an important potential advantage over analogous devices on conventional materials.

  9. Increased plasma apolipoprotein (a) levels in IDDM patients with diabetic nephropathy

    DEFF Research Database (Denmark)

    Tarnow, L; Rossing, P; Nielsen, F S

    1996-01-01

    OBJECTIVE: The relative mortality from cardiovascular disease (CVD) is increased 40-fold in IDDM patients suffering from diabetic nephropathy as compared with nondiabetic subjects on average. We assessed the potential contribution of dyslipidemia in general and elevated serum apolipoprotein (a.......0001. Multiple logistic regression analysis of cardiovascular risk factors revealed that plasma apo(a) concentration > 300 U/l is an independent risk factor for coronary heart disease, odds ratio 1.86 (1.03-3.36) (P Dyslipidemia and raised plasma concentrations of apo(a), particularly > 300...

  10. Ba 3 (Cr 0.97(1) Te 0.03(1) ) 2 TeO 9 : in Search of Jahn–Teller Distorted Cr(II) Oxide

    Energy Technology Data Exchange (ETDEWEB)

    Li, Man-Rong; Deng, Zheng; Lapidus, Saul H.; Stephens, Peter W.; Segre, Carlo U.; Croft, Mark; Paria Sena, Robert; Hadermann, Joke; Walker, David; Greenblatt, Martha

    2016-10-17

    A novel 6H - type hexagonal perovskite Ba 3 (Cr 0.97(1) Te 0.03 (1 ) ) 2 TeO 9 was prepared at high pressure (6 GPa) and temperature ( 1 773 K). Both transmission electron microscopy and synchrotron powder x - ray diffraction data demonstrate that Ba 3 (Cr 0.97(1) Te 0.03(1) ) 2 TeO 9 crystallize s in P6 3 / mmc with face - shared (Cr 0.97(1) Te 0.03(1) )O 6 octahedral pairs interconnected with TeO 6 octahedra via corner - sharing. Structure analysis shows a mixed Cr 2+ /Cr 3+ valence state with ~ 10% Cr 2+ . The existence of Cr 2+ in Ba 3 (Cr 2+ 0.10(1) Cr 3+ 0.87(1) Te 6+ 0.03 ) 2 TeO 9 is further evidenced by x - ray absorption near edge spectr oscopy . Magnetic properties measurements show a paramagnetic response down to 4 K and a small glassy - state curvature at low temperature. In this work, the o ctahedral Cr 2+ O 6 component is stabilized in an oxide material for the first time ; the expected Jahn - Teller distortion of high - spin ( d 4 ) Cr 2+ is not found , which is attributed to the small proportion of Cr 2+ (~ 10%) and the face - sharing arrangement of CrO 6 octahedral pairs, that structu rally dis favor axial distortion.

  11. Transport analysis of pellet-enhanced ICRH plasma in JET

    International Nuclear Information System (INIS)

    Hammett, G.W.; Colestock, P.L.; Granetz, R.S.; McCune, D.C.; Phillips, C.K.; Schmidt, G.L.; Smithe, D.N.; Kupschus, P.

    1989-01-01

    Performance of JET ICRH heated discharges has been significantly enhanced by using pellet fueling to produce a peaked density target for ICRH. The central T i is observed to increase by up to 80%, central T e by up to 40%, and the neutron rate by up to 400%, over their no-pellet values (which are already in the enhanced 'monster-sawtooth' regime). In this paper we describe the transport analysis of these discharges using the TRANSP code. These results indicate that the thermal diffusivities χ i and χ e are reduced by a factor of ∼2 near the plasma center where the pellets have increased the density gradient. The paper focuses on JET discharge 16211 which is documented more fully in a companion paper. (author) 6 refs., 8 figs

  12. Structural and thermal properties of tellurite 20Li2O-80TeO2 glasses

    OpenAIRE

    Idalgo, E. [UNESP; Araújo, E. B. [UNESP

    2007-01-01

    O presente trabalho reporta estudos sobre a cristalização em vidros teluretos 20Li2O-80TeO2 induzida a partir de tratamentos térmicos realizados sobre vidros com tamanho de partículas entre 38 µm e 75 µm. Estes estudos foram conduzidos em duas matrizes vítreas tratadas e não tratadas termicamente para aliviar as tensões após o quenching, utilizando-se de forma combinada às técnicas de difração de raios X, calorimetria diferencial de varredura e espectroscopia no infravermelho. Os resultados r...

  13. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  14. Elevated thrombopoietin in plasma of burned patients without and with sepsis enhances platelet activation.

    Science.gov (United States)

    Lupia, E; Bosco, O; Mariano, F; Dondi, A E; Goffi, A; Spatola, T; Cuccurullo, A; Tizzani, P; Brondino, G; Stella, M; Montrucchio, G

    2009-06-01

    Thrombopoietin (TPO) is a humoral growth factor that does not induce platelet aggregation per se, but enhances platelet activation in response to several agonists. Circulating levels of TPO are increased in patients with sepsis and are mainly related to sepsis severity. To investigate the potential contribution of elevated TPO levels in platelet activation during burn injury complicated or not by sepsis. We studied 22 burned patients, 10 without and 12 with sepsis, and 10 healthy subjects. We measured plasma levels of TPO, as well as leukocyte-platelet binding and P-selectin expression. The priming activity of plasma from burned patients or healthy subjects on platelet aggregation and leukocyte-platelet binding, and the role of TPO in these effects were also studied in vitro. Burned patients without and with sepsis showed higher circulating TPO levels and increased monocyte-platelet binding compared with healthy subjects. Moreover, TPO levels, monocyte-platelet binding and P-selectin expression were significantly higher in burned patients with sepsis than in burned patients without sepsis. In vitro, plasma from burned patients without and with sepsis, but not from healthy subjects, primed platelet aggregation, monocyte-platelet binding and platelet P-selectin expression. The effect of plasma from burned patients with sepsis was significantly higher than that of plasma from burned patients without sepsis. An inhibitor of TPO prevented the priming effect of plasma from burned patients. Increased TPO levels may enhance platelet activation during burn injury and sepsis, potentially participating in the pathogenesis of multi-organ failure in these diseases.

  15. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    International Nuclear Information System (INIS)

    Wen-Juan, Hu; Fen-Yan, Xie; Qiang, Chen; Jing, Weng

    2008-01-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films

  16. Polyethylene Oxide Films Polymerized by Radio Frequency Plasma-Enhanced Chemical Vapour Phase Deposition and Its Adsorption Behaviour of Platelet-Rich Plasma

    Science.gov (United States)

    Hu, Wen-Juan; Xie, Fen-Yan; Chen, Qiang; Weng, Jing

    2008-10-01

    We present polyethylene oxide (PEO) functional films polymerized by rf plasma-enhanced vapour chemical deposition (rf-PECVD) on p-Si (100) surface with precursor ethylene glycol dimethyl ether (EGDME) and diluted Ar in pulsed plasma mode. The influences of discharge parameters on the film properties and compounds are investigated. The film structure is analysed by Fourier transform infrared (FTIR) spectroscopy. The water contact angle measurement and atomic force microscope (AFM) are employed to examine the surface polarity and to detect surface morphology, respectively. It is concluded that the smaller duty cycle in pulsed plasma mode contributes to the rich C-O-C (EO) group on the surfaces. As an application, the adsorption behaviour of platelet-rich plasma on plasma polymerization films performed in-vitro is explored. The shapes of attached cells are studied in detail by an optic invert microscope, which clarifies that high-density C-O-C groups on surfaces are responsible for non-fouling adsorption behaviour of the PEO films.

  17. Fast enhancement on hydrophobicity of poplar wood surface using low-pressure dielectric barrier discharges (DBD) plasma

    Science.gov (United States)

    Chen, Weimin; Zhou, Xiaoyan; Zhang, Xiaotao; Bian, Jie; Shi, Shukai; Nguyen, Thiphuong; Chen, Minzhi; Wan, Jinglin

    2017-06-01

    The hydrophilicity of woody products leads to deformation and cracks, which greatly limits its applications. Low-pressure dielectric barrier discharge (DBD) plasma using hexamethyldisiloxane was applied in poplar wood surface to enhance the hydrophobicity. The chemical properties, micro-morphology, and contact angles of poplar wood surface before and after plasma treatment were investigated by attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR), x-ray photoelectron spectroscopy (XPS), scanning electron microscope and energy dispersive analysis of X-ray (SEM-EDX), atomic force microscopy (AFM), and optical contact angle measurement (OCA). Moreover, tinfoil film was used as the base to reveal the enhancement mechanism. The results showed that hexamethyldisiloxane monomer is first broken into several fragments with active sites and hydrophobic chemical groups. Meanwhile, plasma treatment results in the formation of free radicals and active sites in the poplar wood surface. Then, the fragments are reacted with free radicals and incorporated into the active sites to form a network structure based on the linkages of Si-O-Si and Sisbnd Osbnd C. Plasma treatment also leads to the formation of acicular nano-structure in poplar wood surface. These facts synergistically enhance the hydrophobicity of poplar wood surface, demonstrating the dramatically increase in the equilibrium contact angle by 330%.

  18. Degradation of TCE by TEOS Coated nZVI in the Presence of Cu(II) for Groundwater Remediation

    International Nuclear Information System (INIS)

    Ramamurthy, A.S.; Eglal, M.M.

    2014-01-01

    The removal of TCE by nanofer zero valent iron (nanofer ZVI) coated with tetraethyl orthosilicate (TEOS) in the presence of Cu(II) at different environmental conditions was studied. The kinetics of TCE degradation by nanofer ZVI was determined. At a dosage of 10 mg of nanofer ZVI, almost 63% of TCE was removed, when Cu(II) and TCE were present. It contrasts with 42% degradation of TCE in the absence of Cu(II). SEM/EDS images indicated that Cu(II) is reduced to form Cu 0 and Cu 2 O. These formations are considered to be responsible for enhancing TCE degradation. Direct reduction involves hydrogenolysis and β-elimination in the transformation of TCE, while indirect reduction involves atomic hydrogen and no direct electron transfer from the metal to reactants. The reduction of activation energy was also noted indicating that the rate limiting step for TCE degradation in the presence of Cu(II) is surface chemical reaction rather than diffusion. Most of iron present in nanofer ZVI get dissolved causing the generation of localized positive charge regions and form metal chlorides. Local accumulation of hydrochloric acid inside the pits regenerates new reactive surfaces to serve as sources of continuous electron generation. No significant effect of TCE was noticed for Cu(II) sequestration.

  19. Increased risk of cardiovascular disease (CVD) with age in HIV-positive men

    DEFF Research Database (Denmark)

    Petoumenos, K; Reiss, P; Ryom, L

    2014-01-01

    equations. METHODS: We analysed three endpoints: myocardial infarction (MI), coronary heart disease (CHD: MI or invasive coronary procedure) and CVD (CHD or stroke). We fitted a number of parametric age effects, adjusting for known risk factors and antiretroviral therapy (ART) use. The best-fitting age...... rates per 1000 person-years increased from 2.29, 3.11 and 3.65 in those aged 40-45 years to 6.53, 11.91 and 15.89 in those aged 60-65 years, respectively. The best-fitting models included inverse age for MI and age + age(2) for CHD and CVD. In D:A:D there was a slowly accelerating increased risk of CHD...... and CVD per year older, which appeared to be only modest yet was consistently raised compared with the risk in the general population. The relative risk of MI with age was not different between D:A:D and the general population. CONCLUSIONS: We found only limited evidence of accelerating increased risk...

  20. High collection efficiency CVD diamond alpha detectors

    International Nuclear Information System (INIS)

    Bergonzo, P.; Foulon, F.; Marshall, R.D.; Jany, C.; Brambilla, A.; McKeag, R.D.; Jackman, R.B.

    1998-01-01

    Advances in Chemical Vapor Deposited (CVD) diamond have enabled the routine use of this material for sensor device fabrication, allowing exploitation of its unique combination of physical properties (low temperature susceptibility (> 500 C), high resistance to radiation damage (> 100 Mrad) and to corrosive media). A consequence of CVD diamond growth on silicon is the formation of polycrystalline films which has a profound influence on the physical and electronic properties with respect to those measured on monocrystalline diamond. The authors report the optimization of physical and geometrical device parameters for radiation detection in the counting mode. Sandwich and co-planar electrode geometries are tested and their performances evaluated with regard to the nature of the field profile and drift distances inherent in such devices. The carrier drift length before trapping was measured under alpha particles and values as high as 40% of the overall film thickness are reported. Further, by optimizing the device geometry, they show that a gain in collection efficiency, defined as the induced charge divided by the deposited charge within the material, can be achieved even though lower bias values are used

  1. Cardiovascular disease (CVD) and chronic kidney disease (CKD) event rates in HIV-positive persons at high predicted CVD and CKD risk

    DEFF Research Database (Denmark)

    Boyd, Mark A; Mocroft, Amanda; Ryom, Lene

    2017-01-01

    BACKGROUND: The Data Collection on Adverse Events of Anti-HIV Drugs (D:A:D) study has developed predictive risk scores for cardiovascular disease (CVD) and chronic kidney disease (CKD, defined as confirmed estimated glomerular filtration rate [eGFR] ≤ 60 ml/min/1.73 m2) events in HIV...

  2. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    Science.gov (United States)

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  3. In vacuo substrate pretreatments for enhancing nanodiamond formation in electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Teii, Kungen; Kouzuma, Yutaka; Uchino, Kiichiro

    2006-01-01

    Substrate pretreatment conditions at low pressures have been examined for enhancing nanocrystalline diamond formation on silicon in electron cyclotron resonance (ECR) plasma. Three kinds of pretreatments (I) exposure to an ECR H 2 plasma with application of a substrate bias from -100 to +30 V (II) hot-filament heating in H 2 gas, and (III) hot-filament heating in vacuum, were used alone or followed by carburization prior to a two-step process of ion-enhanced nucleation in an ECR plasma and subsequent growth in a hot-filament system. The number density of diamond particles after the final growth step was greatly increased up to the order of 10 7 -10 8 cm -2 when applying pretreatment (I) at the bias of 0 V corresponding to the ion-bombardment energy of around 10 eV. In this treatment, a clean and smooth surface with minimal damage was made by the dominance of anisotropic etching by hydrogen ions over isotropic etching by hydrogen atoms. The number density of diamond particles was still more increased when applying pretreatment (II), but the treated surface was unfavorably contaminated and roughened

  4. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  5. Cold-walled UHV/CVD batch reactor for the growth of Si1_x/Gex layers

    DEFF Research Database (Denmark)

    Thomsen, Erik Vilain; Christensen, Carsten; Andersen, C.R.

    1997-01-01

    A novel cold-walled, lamp-heated, ultrahigh vacuum chemical vapor deposition (UHV/CVD) batch system for the growth of SiGe layers is presented. This system combines the batch capability of the standard UHV/CVD furnace with the temperature processing available in rapid thermal processing (Rm...

  6. Higher plasma soluble Receptor for Advanced Glycation End Products (sRAGE) levels are associated with incident cardiovascular disease and all-cause mortality in type 1 diabetes: a 12-year follow-up study

    DEFF Research Database (Denmark)

    Nin, Johanna W M; Jorsal, Anders; Merces Ferreira, Isabel Maria

    2010-01-01

    To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal dysfunct......To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal...

  7. Enhancement of gas sensor response of nanocrystalline zinc oxide for ammonia by plasma treatment

    International Nuclear Information System (INIS)

    Hou, Yue; Jayatissa, Ahalapitiya H.

    2014-01-01

    The effect of oxygen plasma treatment on nanocrystalline ZnO thin film based gas sensor was investigated. ZnO thin films were synthesized on alkali-free glass substrates by a sol–gel process. ZnO thin films were treated with oxygen plasma to change the number of vacancies/defects in ZnO. The effect of oxygen plasma on the structural, electrical, optical and gas sensing properties was investigated as a function of plasma treatment time. The results suggest that the microstructure and the surface morphology can be tuned by oxygen plasma treatment. The optical transmission in the visible range varies after the oxygen plasma treatment. Moreover, it is found that the oxygen plasma has significant impact on the electrical properties of ZnO thin films indicating a variation of resistivity. The oxygen plasma treated ZnO thin film exhibits an enhanced sensing response towards NH 3 in comparison with that of the as-deposited ZnO sensor. When compared with the as-deposited ZnO film, the sensing response was improved by 50% for the optimum oxygen plasma treatment time of 8 min. The selectivity of 8 min plasma treated ZnO sensor was also examined for an important industrial gas mixture of H 2 , CH 4 and NH 3 .

  8. Thermoluminescence properties of undoped and nitrogen-doped CVD diamond exposed to gamma radiation

    International Nuclear Information System (INIS)

    Barboza-Flores, M.; Gastelum, S.; Cruz-Zaragoza, E.; Melendrez, R.; Chernov, V.; Pedroza-Montero, M.; Favalli, A.

    2008-01-01

    It is known that the thermoluminescence (TL) performance of CVD diamond depends on the impurity concentration and doping materials introduced during growing. We report on the TL properties of undoped and 750 ppm nitrogen-doped CVD diamond grown on (0 0 1) silicon substrate. The samples were exposed to gamma radiation from a Gammacell 200 Nordion irradiator in the 10-500 Gy dose range at 627 mGy/min dose rate. The nitrogen-doped CVD diamond sample exhibited a TL glow curve peaked around 537 K and a small shoulder about 411 K and a linear dose behavior in the 10-60 Gy dose range. In contrast, the undoped specimen showed a 591 K peaked TL glow curve and linear dose response for 10-100 Gy doses. However, both samples displayed a non-linear dose response for doses higher than 100 Gy. The doping effects seem to cause a higher TL efficiency, which may be attributed to the differences in the diamond bonding and amorphous carbon on the CVD samples as well as to the presence of nitrogen. In addition, the nitrogen content may produce some structural and morphological surface effects, which may account for the distinctive TL features and dose response of the diamond samples

  9. Muusika : Chopiniئvirtuoos ERSO ees. Teo Maiste 70. Virumaa noorteorkestri sünnipäev. "Jazzkaare" festivali peaesinejad. Kuningas Arthuri Gala 2002

    Index Scriptorium Estoniae

    2002-01-01

    Koos ERSOga astub üles poola tipp-pianist. Teo Maiste tähistab 70ndat juubelit laval. Virumaa noorteorkestr sai viie aastaseks. "Jazzkaare" festivali peaesinejateks on USA lauljatar-pianist Diane Schuur ja norra klahvpillimängija Bugge Wessetoft. Seekordne Kuningas Arthuri Gala 2002 toimub Narva Aleksandri kirikus

  10. Seminal plasma enhances cervical adenocarcinoma cell proliferation and tumour growth in vivo.

    Directory of Open Access Journals (Sweden)

    Jason R Sutherland

    Full Text Available Cervical cancer is one of the leading causes of cancer-related death in women in sub-Saharan Africa. Extensive evidence has shown that cervical cancer and its precursor lesions are caused by Human papillomavirus (HPV infection. Although the vast majority of HPV infections are naturally resolved, failure to eradicate infected cells has been shown to promote viral persistence and tumorigenesis. Furthermore, following neoplastic transformation, exposure of cervical epithelial cells to inflammatory mediators either directly or via the systemic circulation may enhance progression of the disease. It is well recognised that seminal plasma contains an abundance of inflammatory mediators, which are identified as regulators of tumour growth. Here we investigated the role of seminal plasma in regulating neoplastic cervical epithelial cell growth and tumorigenesis. Using HeLa cervical adenocarcinoma cells, we found that seminal plasma (SP induced the expression of the inflammatory enzymes, prostaglandin endoperoxide synthase (PTGS1 and PTGS2, cytokines interleukin (IL -6, and -11 and vascular endothelial growth factor-A (VEGF-A. To investigate the role of SP on tumour cell growth in vivo, we xenografted HeLa cells subcutaneously into the dorsal flank of nude mice. Intra-peritoneal administration of SP rapidly and significantly enhanced the tumour growth rate and size of HeLa cell xenografts in nude mice. As observed in vitro, we found that SP induced expression of inflammatory PTGS enzymes, cytokines and VEGF-A in vivo. Furthermore we found that SP enhances blood vessel size in HeLa cell xenografts. Finally we show that SP-induced cytokine production, VEGF-A expression and cell proliferation are mediated via the induction of the inflammatory PTGS pathway.

  11. Resonance-enhanced laser-induced plasma spectroscopy: ambient gas effects

    International Nuclear Information System (INIS)

    Lui, S.L.; Cheung, N.H.

    2003-01-01

    When performing laser-induced plasma spectroscopy for elemental analysis, the sensitivity could be significantly enhanced if the plume was resonantly rekindled by a dye laser pulse. The extent of the enhancement was found to depend on the ambient gas. Air, nitrogen, helium, argon and xenon at pressures ranging from vacuum to 1 bar were investigated. In vacuum, the analyte signal was boosted because of reduced cooling, but it soon decayed as the plume freely expanded. By choosing the right ambient gas at the right pressure, the expanding plume could be confined as well as thermally insulated to maximize the analyte signal. For instance, an ambient of 13 mbar xenon yielded a signal-to-noise ratio of 110. That ratio was 53 when the pellet was ablated in air, and decreased further to 5 if the dye laser was tuned off resonance

  12. Carbon-enhanced inductively coupled plasma mass spectrometric detection of arsenic and selenium and its application to arsenic speciation

    DEFF Research Database (Denmark)

    Larsen, Erik Huusfeldt; Sturup, Stefan

    1994-01-01

    Addition of carbon as methanol or ammonium carbonate to the aqueous analyte solutions in combination with increased plasma power input enhanced the inductively coupled plasma mass spectrometry (ICP-MS) signal intensities of arsenic and selenium. In the presence of the optimum 3% v/v methanol...... (noise) was not increased. Therefore, the observed increase in analyte sensitivity led to a similar increase in signal-to-noise ratio. The addition of carbon as ammonium carbonate enhanced the arsenic signal by a similar factor but caused severe contamination of the ICP-MS instrument by carbon. In the 3....../nebulization efficiency. It is proposed that an increased population of carbon ions or carbon-containing ions in the plasma facilitates a more complete ionization of analytes lower in ionization energy than carbon itself. The enhanced detection power for arsenic was applied to arsenic speciation by high...

  13. Mixed plasma-facing materials research at INEEL

    International Nuclear Information System (INIS)

    Anderl, R.A.; Longhurst, G.R.; Pawelko, R.J.

    2001-01-01

    Mixed-materials research at the Idaho National Engineering and Environmental Laboratory (INEEL) has focused on Be-C and W-C systems. The purpose of this work was to investigate hydrogen isotope retention in these systems. Plasma-mixed material layers using carbon coated Be and W specimens that were heat-treated and tungsten carbide specimens prepared by chemical vapor deposition (CVD) were simulated. Hydrogen isotope retention was investigated by means of thermal desorption spectroscopy (TDS) measurements on deuterium implanted samples

  14. Ho3+/Yb3+ co-doped TeO2-BaF2-Y2O3 glasses for ∼1.2 μm laser applications

    Science.gov (United States)

    Wang, Shunbin; Li, Chengzhi; Yao, Chuanfei; Jia, Shijie; Jia, Zhixu; Qin, Guanshi; Qin, Weiping

    2017-02-01

    Intense ∼1.2 μm fluorescence is observed in Ho3+/Yb3+ co-doped TeO2-BaF2-Y2O3 glasses under 915 nm laser diode excitation. The 1.2 μm emission can be ascribed to the transition 5I6→5I8 of Ho3+. With the introducing of BaF2, the content of OH in the glasses drops markedly, and the 1.2 μm emission intensity increases gradually as increasing the concentration percentage of BaF2. Furthermore, microstructured fibers based on the TeO2-BaF2-Y2O3 glasses are fabricated by using a rod-in-tube method, and a relative positive gain of ∼9.42 dB at 1175.3 nm is obtained in a 5 cm long fiber.

  15. Fish consumption and its motives in households with versus without self-reported medical history of CVD

    DEFF Research Database (Denmark)

    Pieniak, Zuzanna; Verbeke, Wim; Perez-Cueto, Federico

    2008-01-01

    subjective and objective knowledge related to nutrition issues about fish. In the other countries, objective knowledge about fish was on a low level, similar for CVD+ as for CVD- subjects, despite a higher claimed use of medical information sources about fish among CVD+ subjects. Conclusions - Although...... consumption. This study exemplifies the need for nutrition education and more effective communication about fish, not only to the people facing chronic diseases, but also to the broader public. European consumers are convinced that eating fish is healthy, but particular emphasis should be made...

  16. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  17. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Jong-Won, E-mail: jwlim@etri.re.kr [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Park, Hyung-Moo [Photonic/Wireless Convergence Components Dept., IT Materials and Components Lab., Electronics and Telecommunications Research Institute, Daejeon 305-700 (Korea, Republic of); Division of Electronics and Electrical Engineering, Dongguk University, Seoul (Korea, Republic of)

    2013-11-29

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f{sub T}) of 18 GHz, and a maximum oscillation frequency (f{sub max}) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz.

  18. Fabrication of enhancement-mode AlGaN/GaN high electron mobility transistors using double plasma treatment

    International Nuclear Information System (INIS)

    Lim, Jong-Won; Ahn, Ho-Kyun; Kim, Seong-il; Kang, Dong-Min; Lee, Jong-Min; Min, Byoung-Gue; Lee, Sang-Heung; Yoon, Hyung-Sup; Ju, Chull-Won; Kim, Haecheon; Mun, Jae-Kyoung; Nam, Eun-Soo; Park, Hyung-Moo

    2013-01-01

    We report the fabrication and DC and microwave characteristics of 0.5 μm AlGaN/GaN high electron mobility transistors using double plasma treatment process. Silicon nitride layers 700 and 150 Å thick were deposited by plasma-enhanced chemical vapor deposition at 260 °C to protect the device and to define the gate footprint. The double plasma process was carried out by two different etching techniques to obtain enhancement-mode AlGaN/GaN high electron mobility transistors with 0.5 μm gate lengths. The enhancement-mode AlGaN/GaN high electron mobility transistor was prepared in parallel to the depletion-mode AlGaN/GaN high electron mobility transistor device on one wafer. Completed double plasma treated 0.5 μm AlGaN/GaN high electron mobility transistor devices fabricated by dry etching exhibited a peak transconductance, gm, of 330 mS/mm, a breakdown voltage of 115 V, a current-gain cutoff frequency (f T ) of 18 GHz, and a maximum oscillation frequency (f max ) of 66 GHz. - Highlights: • The double plasma process was carried out by two different etching techniques. • Double plasma treated device exhibited a transconductance of 330 mS/mm. • Completed 0.5 μm gate device exhibited a current-gain cutoff frequency of 18 GHz. • The off-state breakdown voltage of 115 V for 0.5 μm gate device was obtained. • Continuous-wave output power density of 4.3 W/mm was obtained at 2.4 GHz

  19. Plasma Enhanced Chemical Vapour Deposition of Horizontally Aligned Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Matthew T. Cole

    2013-05-01

    Full Text Available A plasma-enhanced chemical vapour deposition reactor has been developed to synthesis horizontally aligned carbon nanotubes. The width of the aligning sheath was modelled based on a collisionless, quasi-neutral, Child’s law ion sheath where these estimates were empirically validated by direct Langmuir probe measurements, thereby confirming the proposed reactors ability to extend the existing sheath fields by up to 7 mm. A 7 mbar growth atmosphere combined with a 25 W plasma permitted the concurrent growth and alignment of carbon nanotubes with electric fields of the order of 0.04 V μm−1 with linear packing densities of up to ~5 × 104 cm−1. These results open up the potential for multi-directional in situ alignment of carbon nanotubes providing one viable route to the fabrication of many novel optoelectronic devices.

  20. Raman enhancement on ultra-clean graphene quantum dots produced by quasi-equilibrium plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Liu, Donghua; Chen, Xiaosong; Hu, Yibin; Sun, Tai; Song, Zhibo; Zheng, Yujie; Cao, Yongbin; Cai, Zhi; Cao, Min; Peng, Lan; Huang, Yuli; Du, Lei; Yang, Wuli; Chen, Gang; Wei, Dapeng; Wee, Andrew Thye Shen; Wei, Dacheng

    2018-01-15

    Graphene is regarded as a potential surface-enhanced Raman spectroscopy (SERS) substrate. However, the application of graphene quantum dots (GQDs) has had limited success due to material quality. Here, we develop a quasi-equilibrium plasma-enhanced chemical vapor deposition method to produce high-quality ultra-clean GQDs with sizes down to 2 nm directly on SiO 2 /Si, which are used as SERS substrates. The enhancement factor, which depends on the GQD size, is higher than conventional graphene sheets with sensitivity down to 1 × 10 -9  mol L -1 rhodamine. This is attributed to the high-quality GQDs with atomically clean surfaces and large number of edges, as well as the enhanced charge transfer between molecules and GQDs with appropriate diameters due to the existence of Van Hove singularities in the electronic density of states. This work demonstrates a sensitive SERS substrate, and is valuable for applications of GQDs in graphene-based photonics and optoelectronics.